LCOV - code coverage report
Current view: top level - build-llvm/lib/Target/Hexagon - HexagonGenAsmMatcher.inc (source / functions) Hit Total Coverage
Test: llvm-toolchain.info Lines: 878 1263 69.5 %
Date: 2018-10-20 13:21:21 Functions: 7 14 50.0 %
Legend: Lines: hit not hit

          Line data    Source code
       1             : /*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
       2             : |*                                                                            *|
       3             : |* Assembly Matcher Source Fragment                                           *|
       4             : |*                                                                            *|
       5             : |* Automatically generated file, do not edit!                                 *|
       6             : |*                                                                            *|
       7             : \*===----------------------------------------------------------------------===*/
       8             : 
       9             : 
      10             : #ifdef GET_ASSEMBLER_HEADER
      11             : #undef GET_ASSEMBLER_HEADER
      12             :   // This should be included into the middle of the declaration of
      13             :   // your subclasses implementation of MCTargetAsmParser.
      14             :   uint64_t ComputeAvailableFeatures(const FeatureBitset& FB) const;
      15             :   void convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
      16             :                        const OperandVector &Operands);
      17             :   void convertToMapAndConstraints(unsigned Kind,
      18             :                            const OperandVector &Operands) override;
      19             :   unsigned MatchInstructionImpl(const OperandVector &Operands,
      20             :                                 MCInst &Inst,
      21             :                                 uint64_t &ErrorInfo,
      22             :                                 bool matchingInlineAsm,
      23             :                                 unsigned VariantID = 0);
      24             : #endif // GET_ASSEMBLER_HEADER_INFO
      25             : 
      26             : 
      27             : #ifdef GET_OPERAND_DIAGNOSTIC_TYPES
      28             : #undef GET_OPERAND_DIAGNOSTIC_TYPES
      29             : 
      30             : #endif // GET_OPERAND_DIAGNOSTIC_TYPES
      31             : 
      32             : 
      33             : #ifdef GET_REGISTER_MATCHER
      34             : #undef GET_REGISTER_MATCHER
      35             : 
      36             : // Flags for subtarget features that participate in instruction matching.
      37             : enum SubtargetFeatureFlag : uint16_t {
      38             :   Feature_HasV65 = (1ULL << 5),
      39             :   Feature_HasV62 = (1ULL << 4),
      40             :   Feature_HasV60 = (1ULL << 3),
      41             :   Feature_HasV55 = (1ULL << 2),
      42             :   Feature_HasV4 = (1ULL << 0),
      43             :   Feature_HasV5 = (1ULL << 1),
      44             :   Feature_UseHVX64B = (1ULL << 8),
      45             :   Feature_UseHVX128B = (1ULL << 7),
      46             :   Feature_UseHVX = (1ULL << 6),
      47             :   Feature_UseHVXV60 = (1ULL << 9),
      48             :   Feature_UseHVXV62 = (1ULL << 10),
      49             :   Feature_UseHVXV65 = (1ULL << 11),
      50             :   Feature_None = 0
      51             : };
      52             : 
      53       43282 : static unsigned MatchRegisterName(StringRef Name) {
      54       43282 :   switch (Name.size()) {
      55             :   default: break;
      56        5544 :   case 2:        // 40 strings to match.
      57             :     switch (Name[0]) {
      58             :     default: break;
      59           2 :     case 'c':    // 2 strings to match.
      60             :       switch (Name[1]) {
      61             :       default: break;
      62             :       case '5':  // 1 string to match.
      63             :         return 24;       // "c5"
      64           0 :       case '8':  // 1 string to match.
      65           0 :         return 25;       // "c8"
      66             :       }
      67             :       break;
      68          78 :     case 'g':    // 7 strings to match.
      69             :       switch (Name[1]) {
      70             :       default: break;
      71             :       case '4':  // 1 string to match.
      72             :         return 45;       // "g4"
      73           0 :       case '5':  // 1 string to match.
      74           0 :         return 46;       // "g5"
      75           0 :       case '6':  // 1 string to match.
      76           0 :         return 47;       // "g6"
      77           0 :       case '7':  // 1 string to match.
      78           0 :         return 48;       // "g7"
      79           0 :       case '8':  // 1 string to match.
      80           0 :         return 49;       // "g8"
      81           0 :       case '9':  // 1 string to match.
      82           0 :         return 50;       // "g9"
      83          77 :       case 'p':  // 1 string to match.
      84          77 :         return 6;        // "gp"
      85             :       }
      86             :       break;
      87         139 :     case 'm':    // 2 strings to match.
      88             :       switch (Name[1]) {
      89             :       default: break;
      90             :       case '0':  // 1 string to match.
      91             :         return 73;       // "m0"
      92          93 :       case '1':  // 1 string to match.
      93          93 :         return 74;       // "m1"
      94             :       }
      95             :       break;
      96        1084 :     case 'p':    // 5 strings to match.
      97             :       switch (Name[1]) {
      98             :       default: break;
      99             :       case '0':  // 1 string to match.
     100             :         return 75;       // "p0"
     101         157 :       case '1':  // 1 string to match.
     102         157 :         return 76;       // "p1"
     103          91 :       case '2':  // 1 string to match.
     104          91 :         return 77;       // "p2"
     105         614 :       case '3':  // 1 string to match.
     106         614 :         return 78;       // "p3"
     107          11 :       case 'c':  // 1 string to match.
     108          11 :         return 10;       // "pc"
     109             :       }
     110             :       break;
     111          97 :     case 'q':    // 4 strings to match.
     112             :       switch (Name[1]) {
     113             :       default: break;
     114             :       case '0':  // 1 string to match.
     115             :         return 79;       // "q0"
     116             :       case '1':  // 1 string to match.
     117             :         return 80;       // "q1"
     118             :       case '2':  // 1 string to match.
     119             :         return 81;       // "q2"
     120             :       case '3':  // 1 string to match.
     121             :         return 82;       // "q3"
     122             :       }
     123             :       break;
     124         943 :     case 'r':    // 10 strings to match.
     125             :       switch (Name[1]) {
     126             :       default: break;
     127             :       case '0':  // 1 string to match.
     128             :         return 83;       // "r0"
     129             :       case '1':  // 1 string to match.
     130             :         return 84;       // "r1"
     131             :       case '2':  // 1 string to match.
     132             :         return 85;       // "r2"
     133             :       case '3':  // 1 string to match.
     134             :         return 86;       // "r3"
     135             :       case '4':  // 1 string to match.
     136             :         return 87;       // "r4"
     137             :       case '5':  // 1 string to match.
     138             :         return 88;       // "r5"
     139             :       case '6':  // 1 string to match.
     140             :         return 89;       // "r6"
     141             :       case '7':  // 1 string to match.
     142             :         return 90;       // "r7"
     143             :       case '8':  // 1 string to match.
     144             :         return 91;       // "r8"
     145             :       case '9':  // 1 string to match.
     146             :         return 92;       // "r9"
     147             :       }
     148             :       break;
     149         528 :     case 'v':    // 10 strings to match.
     150             :       switch (Name[1]) {
     151             :       default: break;
     152             :       case '0':  // 1 string to match.
     153             :         return 117;      // "v0"
     154             :       case '1':  // 1 string to match.
     155             :         return 118;      // "v1"
     156             :       case '2':  // 1 string to match.
     157             :         return 119;      // "v2"
     158             :       case '3':  // 1 string to match.
     159             :         return 120;      // "v3"
     160             :       case '4':  // 1 string to match.
     161             :         return 121;      // "v4"
     162             :       case '5':  // 1 string to match.
     163             :         return 122;      // "v5"
     164             :       case '6':  // 1 string to match.
     165             :         return 123;      // "v6"
     166             :       case '7':  // 1 string to match.
     167             :         return 124;      // "v7"
     168             :       case '8':  // 1 string to match.
     169             :         return 125;      // "v8"
     170             :       case '9':  // 1 string to match.
     171             :         return 126;      // "v9"
     172             :       }
     173             :       break;
     174             :     }
     175             :     break;
     176        5502 :   case 3:        // 65 strings to match.
     177             :     switch (Name[0]) {
     178             :     default: break;
     179         210 :     case 'c':    // 2 strings to match.
     180         210 :       if (Name[1] != 's')
     181             :         break;
     182             :       switch (Name[2]) {
     183             :       default: break;
     184             :       case '0':  // 1 string to match.
     185             :         return 26;       // "cs0"
     186           2 :       case '1':  // 1 string to match.
     187           2 :         return 27;       // "cs1"
     188             :       }
     189             :       break;
     190           1 :     case 'g':    // 13 strings to match.
     191             :       switch (Name[1]) {
     192             :       default: break;
     193           0 :       case '1':  // 6 strings to match.
     194             :         switch (Name[2]) {
     195             :         default: break;
     196             :         case '0':        // 1 string to match.
     197             :           return 51;     // "g10"
     198             :         case '1':        // 1 string to match.
     199             :           return 52;     // "g11"
     200             :         case '2':        // 1 string to match.
     201             :           return 53;     // "g12"
     202             :         case '3':        // 1 string to match.
     203             :           return 54;     // "g13"
     204             :         case '4':        // 1 string to match.
     205             :           return 55;     // "g14"
     206             :         case '5':        // 1 string to match.
     207             :           return 56;     // "g15"
     208             :         }
     209             :         break;
     210           0 :       case '2':  // 4 strings to match.
     211             :         switch (Name[2]) {
     212             :         default: break;
     213             :         case '0':        // 1 string to match.
     214             :           return 57;     // "g20"
     215             :         case '1':        // 1 string to match.
     216             :           return 58;     // "g21"
     217             :         case '2':        // 1 string to match.
     218             :           return 59;     // "g22"
     219             :         case '3':        // 1 string to match.
     220             :           return 60;     // "g23"
     221             :         }
     222             :         break;
     223           0 :       case '3':  // 2 strings to match.
     224             :         switch (Name[2]) {
     225             :         default: break;
     226             :         case '0':        // 1 string to match.
     227             :           return 61;     // "g30"
     228           0 :         case '1':        // 1 string to match.
     229           0 :           return 62;     // "g31"
     230             :         }
     231             :         break;
     232           1 :       case 's':  // 1 string to match.
     233           1 :         if (Name[2] != 'r')
     234             :           break;
     235             :         return 9;        // "gsr"
     236             :       }
     237             :       break;
     238          84 :     case 'l':    // 2 strings to match.
     239          84 :       if (Name[1] != 'c')
     240             :         break;
     241             :       switch (Name[2]) {
     242             :       default: break;
     243             :       case '0':  // 1 string to match.
     244             :         return 71;       // "lc0"
     245           0 :       case '1':  // 1 string to match.
     246           0 :         return 72;       // "lc1"
     247             :       }
     248             :       break;
     249        3300 :     case 'r':    // 22 strings to match.
     250             :       switch (Name[1]) {
     251             :       default: break;
     252        1574 :       case '1':  // 10 strings to match.
     253             :         switch (Name[2]) {
     254             :         default: break;
     255             :         case '0':        // 1 string to match.
     256             :           return 93;     // "r10"
     257             :         case '1':        // 1 string to match.
     258             :           return 94;     // "r11"
     259             :         case '2':        // 1 string to match.
     260             :           return 95;     // "r12"
     261             :         case '3':        // 1 string to match.
     262             :           return 96;     // "r13"
     263             :         case '4':        // 1 string to match.
     264             :           return 97;     // "r14"
     265             :         case '5':        // 1 string to match.
     266             :           return 98;     // "r15"
     267             :         case '6':        // 1 string to match.
     268             :           return 99;     // "r16"
     269             :         case '7':        // 1 string to match.
     270             :           return 100;    // "r17"
     271             :         case '8':        // 1 string to match.
     272             :           return 101;    // "r18"
     273             :         case '9':        // 1 string to match.
     274             :           return 102;    // "r19"
     275             :         }
     276             :         break;
     277         979 :       case '2':  // 10 strings to match.
     278             :         switch (Name[2]) {
     279             :         default: break;
     280             :         case '0':        // 1 string to match.
     281             :           return 103;    // "r20"
     282             :         case '1':        // 1 string to match.
     283             :           return 104;    // "r21"
     284             :         case '2':        // 1 string to match.
     285             :           return 105;    // "r22"
     286             :         case '3':        // 1 string to match.
     287             :           return 106;    // "r23"
     288             :         case '4':        // 1 string to match.
     289             :           return 107;    // "r24"
     290             :         case '5':        // 1 string to match.
     291             :           return 108;    // "r25"
     292             :         case '6':        // 1 string to match.
     293             :           return 109;    // "r26"
     294             :         case '7':        // 1 string to match.
     295             :           return 110;    // "r27"
     296             :         case '8':        // 1 string to match.
     297             :           return 111;    // "r28"
     298             :         case '9':        // 1 string to match.
     299             :           return 112;    // "r29"
     300             :         }
     301             :         break;
     302         624 :       case '3':  // 2 strings to match.
     303             :         switch (Name[2]) {
     304             :         default: break;
     305             :         case '0':        // 1 string to match.
     306             :           return 113;    // "r30"
     307         596 :         case '1':        // 1 string to match.
     308         596 :           return 114;    // "r31"
     309             :         }
     310             :         break;
     311             :       }
     312             :       break;
     313         542 :     case 's':    // 2 strings to match.
     314         542 :       if (Name[1] != 'a')
     315             :         break;
     316             :       switch (Name[2]) {
     317             :       default: break;
     318             :       case '0':  // 1 string to match.
     319             :         return 115;      // "sa0"
     320           0 :       case '1':  // 1 string to match.
     321           0 :         return 116;      // "sa1"
     322             :       }
     323             :       break;
     324           0 :     case 'u':    // 2 strings to match.
     325             :       switch (Name[1]) {
     326             :       default: break;
     327           0 :       case 'g':  // 1 string to match.
     328           0 :         if (Name[2] != 'p')
     329             :           break;
     330             :         return 14;       // "ugp"
     331           0 :       case 's':  // 1 string to match.
     332           0 :         if (Name[2] != 'r')
     333             :           break;
     334             :         return 18;       // "usr"
     335             :       }
     336             :       break;
     337         522 :     case 'v':    // 22 strings to match.
     338             :       switch (Name[1]) {
     339             :       default: break;
     340         230 :       case '1':  // 10 strings to match.
     341             :         switch (Name[2]) {
     342             :         default: break;
     343             :         case '0':        // 1 string to match.
     344             :           return 127;    // "v10"
     345             :         case '1':        // 1 string to match.
     346             :           return 128;    // "v11"
     347             :         case '2':        // 1 string to match.
     348             :           return 129;    // "v12"
     349             :         case '3':        // 1 string to match.
     350             :           return 130;    // "v13"
     351             :         case '4':        // 1 string to match.
     352             :           return 131;    // "v14"
     353             :         case '5':        // 1 string to match.
     354             :           return 132;    // "v15"
     355             :         case '6':        // 1 string to match.
     356             :           return 133;    // "v16"
     357             :         case '7':        // 1 string to match.
     358             :           return 134;    // "v17"
     359             :         case '8':        // 1 string to match.
     360             :           return 135;    // "v18"
     361             :         case '9':        // 1 string to match.
     362             :           return 136;    // "v19"
     363             :         }
     364             :         break;
     365         236 :       case '2':  // 10 strings to match.
     366             :         switch (Name[2]) {
     367             :         default: break;
     368             :         case '0':        // 1 string to match.
     369             :           return 137;    // "v20"
     370             :         case '1':        // 1 string to match.
     371             :           return 138;    // "v21"
     372             :         case '2':        // 1 string to match.
     373             :           return 139;    // "v22"
     374             :         case '3':        // 1 string to match.
     375             :           return 140;    // "v23"
     376             :         case '4':        // 1 string to match.
     377             :           return 141;    // "v24"
     378             :         case '5':        // 1 string to match.
     379             :           return 142;    // "v25"
     380             :         case '6':        // 1 string to match.
     381             :           return 143;    // "v26"
     382             :         case '7':        // 1 string to match.
     383             :           return 144;    // "v27"
     384             :         case '8':        // 1 string to match.
     385             :           return 145;    // "v28"
     386             :         case '9':        // 1 string to match.
     387             :           return 146;    // "v29"
     388             :         }
     389             :         break;
     390          54 :       case '3':  // 2 strings to match.
     391             :         switch (Name[2]) {
     392             :         default: break;
     393             :         case '0':        // 1 string to match.
     394             :           return 147;    // "v30"
     395          36 :         case '1':        // 1 string to match.
     396          36 :           return 148;    // "v31"
     397             :         }
     398             :         break;
     399             :       }
     400             :       break;
     401             :     }
     402             :     break;
     403        3212 :   case 4:        // 24 strings to match.
     404             :     switch (Name[0]) {
     405             :     default: break;
     406         182 :     case 'c':    // 5 strings to match.
     407             :       switch (Name[1]) {
     408             :       default: break;
     409             :       case '1':  // 1 string to match.
     410           0 :         if (memcmp(Name.data()+2, ":0", 2) != 0)
     411             :           break;
     412             :         return 165;      // "c1:0"
     413             :       case '3':  // 1 string to match.
     414           0 :         if (memcmp(Name.data()+2, ":2", 2) != 0)
     415             :           break;
     416             :         return 166;      // "c3:2"
     417             :       case '5':  // 1 string to match.
     418           0 :         if (memcmp(Name.data()+2, ":4", 2) != 0)
     419             :           break;
     420             :         return 167;      // "c5:4"
     421             :       case '7':  // 1 string to match.
     422           0 :         if (memcmp(Name.data()+2, ":6", 2) != 0)
     423             :           break;
     424             :         return 168;      // "c7:6"
     425             :       case '9':  // 1 string to match.
     426           2 :         if (memcmp(Name.data()+2, ":8", 2) != 0)
     427             :           break;
     428             :         return 169;      // "c9:8"
     429             :       }
     430             :       break;
     431           4 :     case 'g':    // 7 strings to match.
     432             :       switch (Name[1]) {
     433             :       default: break;
     434             :       case '1':  // 1 string to match.
     435           1 :         if (memcmp(Name.data()+2, ":0", 2) != 0)
     436             :           break;
     437             :         return 172;      // "g1:0"
     438             :       case '3':  // 1 string to match.
     439           1 :         if (memcmp(Name.data()+2, ":2", 2) != 0)
     440             :           break;
     441             :         return 173;      // "g3:2"
     442             :       case '5':  // 1 string to match.
     443           0 :         if (memcmp(Name.data()+2, ":4", 2) != 0)
     444             :           break;
     445             :         return 174;      // "g5:4"
     446             :       case '7':  // 1 string to match.
     447           0 :         if (memcmp(Name.data()+2, ":6", 2) != 0)
     448             :           break;
     449             :         return 175;      // "g7:6"
     450             :       case '9':  // 1 string to match.
     451           0 :         if (memcmp(Name.data()+2, ":8", 2) != 0)
     452             :           break;
     453             :         return 176;      // "g9:8"
     454             :       case 'e':  // 1 string to match.
     455           1 :         if (memcmp(Name.data()+2, "lr", 2) != 0)
     456             :           break;
     457             :         return 4;        // "gelr"
     458             :       case 'o':  // 1 string to match.
     459           1 :         if (memcmp(Name.data()+2, "sp", 2) != 0)
     460             :           break;
     461             :         return 5;        // "gosp"
     462             :       }
     463             :       break;
     464             :     case 'p':    // 1 string to match.
     465           0 :       if (memcmp(Name.data()+1, "3:0", 3) != 0)
     466             :         break;
     467             :       return 188;        // "p3:0"
     468         112 :     case 'r':    // 5 strings to match.
     469             :       switch (Name[1]) {
     470             :       default: break;
     471             :       case '1':  // 1 string to match.
     472          68 :         if (memcmp(Name.data()+2, ":0", 2) != 0)
     473             :           break;
     474             :         return 28;       // "r1:0"
     475             :       case '3':  // 1 string to match.
     476          11 :         if (memcmp(Name.data()+2, ":2", 2) != 0)
     477             :           break;
     478             :         return 29;       // "r3:2"
     479             :       case '5':  // 1 string to match.
     480           8 :         if (memcmp(Name.data()+2, ":4", 2) != 0)
     481             :           break;
     482             :         return 30;       // "r5:4"
     483             :       case '7':  // 1 string to match.
     484          13 :         if (memcmp(Name.data()+2, ":6", 2) != 0)
     485             :           break;
     486             :         return 31;       // "r7:6"
     487             :       case '9':  // 1 string to match.
     488          10 :         if (memcmp(Name.data()+2, ":8", 2) != 0)
     489             :           break;
     490             :         return 32;       // "r9:8"
     491             :       }
     492             :       break;
     493         753 :     case 'v':    // 6 strings to match.
     494             :       switch (Name[1]) {
     495             :       default: break;
     496             :       case '1':  // 1 string to match.
     497          74 :         if (memcmp(Name.data()+2, ":0", 2) != 0)
     498             :           break;
     499             :         return 149;      // "v1:0"
     500             :       case '3':  // 1 string to match.
     501          11 :         if (memcmp(Name.data()+2, ":2", 2) != 0)
     502             :           break;
     503             :         return 150;      // "v3:2"
     504             :       case '5':  // 1 string to match.
     505          15 :         if (memcmp(Name.data()+2, ":4", 2) != 0)
     506             :           break;
     507             :         return 151;      // "v5:4"
     508             :       case '7':  // 1 string to match.
     509          15 :         if (memcmp(Name.data()+2, ":6", 2) != 0)
     510             :           break;
     511             :         return 152;      // "v7:6"
     512             :       case '9':  // 1 string to match.
     513           9 :         if (memcmp(Name.data()+2, ":8", 2) != 0)
     514             :           break;
     515             :         return 153;      // "v9:8"
     516             :       case 't':  // 1 string to match.
     517          12 :         if (memcmp(Name.data()+2, "mp", 2) != 0)
     518             :           break;
     519             :         return 23;       // "vtmp"
     520             :       }
     521             :       break;
     522             :     }
     523             :     break;
     524        2131 :   case 6:        // 40 strings to match.
     525             :     switch (Name[0]) {
     526             :     default: break;
     527         170 :     case 'c':    // 6 strings to match.
     528             :       switch (Name[1]) {
     529             :       default: break;
     530           4 :       case '1':  // 5 strings to match.
     531             :         switch (Name[2]) {
     532             :         default: break;
     533             :         case '1':        // 1 string to match.
     534           0 :           if (memcmp(Name.data()+3, ":10", 3) != 0)
     535             :             break;
     536             :           return 170;    // "c11:10"
     537             :         case '3':        // 1 string to match.
     538           0 :           if (memcmp(Name.data()+3, ":12", 3) != 0)
     539             :             break;
     540             :           return 1;      // "c13:12"
     541             :         case '5':        // 1 string to match.
     542           0 :           if (memcmp(Name.data()+3, ":14", 3) != 0)
     543             :             break;
     544             :           return 15;     // "c15:14"
     545             :         case '7':        // 1 string to match.
     546           2 :           if (memcmp(Name.data()+3, ":16", 3) != 0)
     547             :             break;
     548             :           return 171;    // "c17:16"
     549             :         case '9':        // 1 string to match.
     550           2 :           if (memcmp(Name.data()+3, ":18", 3) != 0)
     551             :             break;
     552             :           return 11;     // "c19:18"
     553             :         }
     554             :         break;
     555             :       case '3':  // 1 string to match.
     556           2 :         if (memcmp(Name.data()+2, "1:30", 4) != 0)
     557             :           break;
     558             :         return 20;       // "c31:30"
     559             :       }
     560             :       break;
     561           6 :     case 'g':    // 12 strings to match.
     562             :       switch (Name[1]) {
     563             :       default: break;
     564           2 :       case '1':  // 5 strings to match.
     565             :         switch (Name[2]) {
     566             :         default: break;
     567             :         case '1':        // 1 string to match.
     568           0 :           if (memcmp(Name.data()+3, ":10", 3) != 0)
     569             :             break;
     570             :           return 177;    // "g11:10"
     571             :         case '3':        // 1 string to match.
     572           0 :           if (memcmp(Name.data()+3, ":12", 3) != 0)
     573             :             break;
     574             :           return 178;    // "g13:12"
     575             :         case '5':        // 1 string to match.
     576           0 :           if (memcmp(Name.data()+3, ":14", 3) != 0)
     577             :             break;
     578             :           return 179;    // "g15:14"
     579             :         case '7':        // 1 string to match.
     580           1 :           if (memcmp(Name.data()+3, ":16", 3) != 0)
     581             :             break;
     582             :           return 180;    // "g17:16"
     583             :         case '9':        // 1 string to match.
     584           1 :           if (memcmp(Name.data()+3, ":18", 3) != 0)
     585             :             break;
     586             :           return 181;    // "g19:18"
     587             :         }
     588             :         break;
     589           3 :       case '2':  // 5 strings to match.
     590             :         switch (Name[2]) {
     591             :         default: break;
     592             :         case '1':        // 1 string to match.
     593           0 :           if (memcmp(Name.data()+3, ":20", 3) != 0)
     594             :             break;
     595             :           return 182;    // "g21:20"
     596             :         case '3':        // 1 string to match.
     597           0 :           if (memcmp(Name.data()+3, ":22", 3) != 0)
     598             :             break;
     599             :           return 183;    // "g23:22"
     600             :         case '5':        // 1 string to match.
     601           1 :           if (memcmp(Name.data()+3, ":24", 3) != 0)
     602             :             break;
     603             :           return 184;    // "g25:24"
     604             :         case '7':        // 1 string to match.
     605           1 :           if (memcmp(Name.data()+3, ":26", 3) != 0)
     606             :             break;
     607             :           return 185;    // "g27:26"
     608             :         case '9':        // 1 string to match.
     609           1 :           if (memcmp(Name.data()+3, ":28", 3) != 0)
     610             :             break;
     611             :           return 186;    // "g29:28"
     612             :         }
     613             :         break;
     614             :       case '3':  // 1 string to match.
     615           0 :         if (memcmp(Name.data()+2, "1:30", 4) != 0)
     616             :           break;
     617             :         return 187;      // "g31:30"
     618             :       case 'b':  // 1 string to match.
     619           1 :         if (memcmp(Name.data()+2, "adva", 4) != 0)
     620             :           break;
     621             :         return 44;       // "gbadva"
     622             :       }
     623             :       break;
     624        1408 :     case 'r':    // 11 strings to match.
     625             :       switch (Name[1]) {
     626             :       default: break;
     627         821 :       case '1':  // 5 strings to match.
     628             :         switch (Name[2]) {
     629             :         default: break;
     630             :         case '1':        // 1 string to match.
     631          11 :           if (memcmp(Name.data()+3, ":10", 3) != 0)
     632             :             break;
     633             :           return 33;     // "r11:10"
     634             :         case '3':        // 1 string to match.
     635          14 :           if (memcmp(Name.data()+3, ":12", 3) != 0)
     636             :             break;
     637             :           return 34;     // "r13:12"
     638             :         case '5':        // 1 string to match.
     639           7 :           if (memcmp(Name.data()+3, ":14", 3) != 0)
     640             :             break;
     641             :           return 35;     // "r15:14"
     642             :         case '7':        // 1 string to match.
     643         784 :           if (memcmp(Name.data()+3, ":16", 3) != 0)
     644             :             break;
     645             :           return 36;     // "r17:16"
     646             :         case '9':        // 1 string to match.
     647           5 :           if (memcmp(Name.data()+3, ":18", 3) != 0)
     648             :             break;
     649             :           return 37;     // "r19:18"
     650             :         }
     651             :         break;
     652         375 :       case '2':  // 5 strings to match.
     653             :         switch (Name[2]) {
     654             :         default: break;
     655             :         case '1':        // 1 string to match.
     656         347 :           if (memcmp(Name.data()+3, ":20", 3) != 0)
     657             :             break;
     658             :           return 38;     // "r21:20"
     659             :         case '3':        // 1 string to match.
     660           5 :           if (memcmp(Name.data()+3, ":22", 3) != 0)
     661             :             break;
     662             :           return 39;     // "r23:22"
     663             :         case '5':        // 1 string to match.
     664           8 :           if (memcmp(Name.data()+3, ":24", 3) != 0)
     665             :             break;
     666             :           return 40;     // "r25:24"
     667             :         case '7':        // 1 string to match.
     668           3 :           if (memcmp(Name.data()+3, ":26", 3) != 0)
     669             :             break;
     670             :           return 41;     // "r27:26"
     671             :         case '9':        // 1 string to match.
     672          12 :           if (memcmp(Name.data()+3, ":28", 3) != 0)
     673             :             break;
     674             :           return 42;     // "r29:28"
     675             :         }
     676             :         break;
     677             :       case '3':  // 1 string to match.
     678         202 :         if (memcmp(Name.data()+2, "1:30", 4) != 0)
     679             :           break;
     680             :         return 43;       // "r31:30"
     681             :       }
     682             :       break;
     683         325 :     case 'v':    // 11 strings to match.
     684             :       switch (Name[1]) {
     685             :       default: break;
     686          52 :       case '1':  // 5 strings to match.
     687             :         switch (Name[2]) {
     688             :         default: break;
     689             :         case '1':        // 1 string to match.
     690          16 :           if (memcmp(Name.data()+3, ":10", 3) != 0)
     691             :             break;
     692             :           return 154;    // "v11:10"
     693             :         case '3':        // 1 string to match.
     694           6 :           if (memcmp(Name.data()+3, ":12", 3) != 0)
     695             :             break;
     696             :           return 155;    // "v13:12"
     697             :         case '5':        // 1 string to match.
     698           7 :           if (memcmp(Name.data()+3, ":14", 3) != 0)
     699             :             break;
     700             :           return 156;    // "v15:14"
     701             :         case '7':        // 1 string to match.
     702          11 :           if (memcmp(Name.data()+3, ":16", 3) != 0)
     703             :             break;
     704             :           return 157;    // "v17:16"
     705             :         case '9':        // 1 string to match.
     706          12 :           if (memcmp(Name.data()+3, ":18", 3) != 0)
     707             :             break;
     708             :           return 158;    // "v19:18"
     709             :         }
     710             :         break;
     711          59 :       case '2':  // 5 strings to match.
     712             :         switch (Name[2]) {
     713             :         default: break;
     714             :         case '1':        // 1 string to match.
     715           8 :           if (memcmp(Name.data()+3, ":20", 3) != 0)
     716             :             break;
     717             :           return 159;    // "v21:20"
     718             :         case '3':        // 1 string to match.
     719          11 :           if (memcmp(Name.data()+3, ":22", 3) != 0)
     720             :             break;
     721             :           return 160;    // "v23:22"
     722             :         case '5':        // 1 string to match.
     723          17 :           if (memcmp(Name.data()+3, ":24", 3) != 0)
     724             :             break;
     725             :           return 161;    // "v25:24"
     726             :         case '7':        // 1 string to match.
     727          11 :           if (memcmp(Name.data()+3, ":26", 3) != 0)
     728             :             break;
     729             :           return 162;    // "v27:26"
     730             :         case '9':        // 1 string to match.
     731          12 :           if (memcmp(Name.data()+3, ":28", 3) != 0)
     732             :             break;
     733             :           return 163;    // "v29:28"
     734             :         }
     735             :         break;
     736             :       case '3':  // 1 string to match.
     737          26 :         if (memcmp(Name.data()+2, "1:30", 4) != 0)
     738             :           break;
     739             :         return 164;      // "v31:30"
     740             :       }
     741             :       break;
     742             :     }
     743             :     break;
     744             :   case 7:        // 1 string to match.
     745         511 :     if (memcmp(Name.data()+0, "usr.ovf", 7) != 0)
     746             :       break;
     747             :     return 19;   // "usr.ovf"
     748         211 :   case 8:        // 11 strings to match.
     749             :     switch (Name[0]) {
     750             :     default: break;
     751             :     case 'f':    // 1 string to match.
     752           3 :       if (memcmp(Name.data()+1, "ramekey", 7) != 0)
     753             :         break;
     754             :       return 2;  // "framekey"
     755             :     case 'g':    // 8 strings to match.
     756           9 :       if (memcmp(Name.data()+1, "pmucnt", 6) != 0)
     757             :         break;
     758             :       switch (Name[7]) {
     759             :       default: break;
     760             :       case '0':  // 1 string to match.
     761             :         return 63;       // "gpmucnt0"
     762             :       case '1':  // 1 string to match.
     763             :         return 64;       // "gpmucnt1"
     764             :       case '2':  // 1 string to match.
     765             :         return 65;       // "gpmucnt2"
     766             :       case '3':  // 1 string to match.
     767             :         return 66;       // "gpmucnt3"
     768             :       case '4':  // 1 string to match.
     769             :         return 67;       // "gpmucnt4"
     770             :       case '5':  // 1 string to match.
     771             :         return 68;       // "gpmucnt5"
     772             :       case '6':  // 1 string to match.
     773             :         return 69;       // "gpmucnt6"
     774             :       case '7':  // 1 string to match.
     775             :         return 70;       // "gpmucnt7"
     776             :       }
     777             :       break;
     778             :     case 'u':    // 2 strings to match.
     779           6 :       if (memcmp(Name.data()+1, "timer", 5) != 0)
     780             :         break;
     781             :       switch (Name[6]) {
     782             :       default: break;
     783           3 :       case 'h':  // 1 string to match.
     784           3 :         if (Name[7] != 'i')
     785             :           break;
     786             :         return 21;       // "utimerhi"
     787           3 :       case 'l':  // 1 string to match.
     788           3 :         if (Name[7] != 'o')
     789             :           break;
     790             :         return 22;       // "utimerlo"
     791             :       }
     792             :       break;
     793             :     }
     794             :     break;
     795          99 :   case 9:        // 4 strings to match.
     796             :     switch (Name[0]) {
     797             :     default: break;
     798             :     case 'g':    // 2 strings to match.
     799           2 :       if (memcmp(Name.data()+1, "pcycle", 6) != 0)
     800             :         break;
     801             :       switch (Name[7]) {
     802             :       default: break;
     803           1 :       case 'h':  // 1 string to match.
     804           1 :         if (Name[8] != 'i')
     805             :           break;
     806             :         return 7;        // "gpcyclehi"
     807           1 :       case 'l':  // 1 string to match.
     808           1 :         if (Name[8] != 'o')
     809             :           break;
     810             :         return 8;        // "gpcyclelo"
     811             :       }
     812             :       break;
     813             :     case 'u':    // 2 strings to match.
     814           0 :       if (memcmp(Name.data()+1, "pcycle", 6) != 0)
     815             :         break;
     816             :       switch (Name[7]) {
     817             :       default: break;
     818           0 :       case 'h':  // 1 string to match.
     819           0 :         if (Name[8] != 'i')
     820             :           break;
     821             :         return 16;       // "upcyclehi"
     822           0 :       case 'l':  // 1 string to match.
     823           0 :         if (Name[8] != 'o')
     824             :           break;
     825             :         return 17;       // "upcyclelo"
     826             :       }
     827             :       break;
     828             :     }
     829             :     break;
     830          29 :   case 10:       // 3 strings to match.
     831             :     switch (Name[0]) {
     832             :     default: break;
     833             :     case 'f':    // 1 string to match.
     834           3 :       if (memcmp(Name.data()+1, "ramelimit", 9) != 0)
     835             :         break;
     836             :       return 3;  // "framelimit"
     837             :     case 'p':    // 2 strings to match.
     838           6 :       if (memcmp(Name.data()+1, "ktcount", 7) != 0)
     839             :         break;
     840             :       switch (Name[8]) {
     841             :       default: break;
     842           3 :       case 'h':  // 1 string to match.
     843           3 :         if (Name[9] != 'i')
     844             :           break;
     845             :         return 12;       // "pktcounthi"
     846           3 :       case 'l':  // 1 string to match.
     847           3 :         if (Name[9] != 'o')
     848             :           break;
     849             :         return 13;       // "pktcountlo"
     850             :       }
     851             :       break;
     852             :     }
     853             :     break;
     854             :   }
     855             :   return 0;
     856             : }
     857             : 
     858       34888 : static unsigned MatchRegisterAltName(StringRef Name) {
     859       34888 :   switch (Name.size()) {
     860             :   default: break;
     861        2676 :   case 2:        // 17 strings to match.
     862             :     switch (Name[0]) {
     863             :     default: break;
     864           2 :     case 'c':    // 10 strings to match.
     865             :       switch (Name[1]) {
     866             :       default: break;
     867             :       case '0':  // 1 string to match.
     868             :         return 115;      // "c0"
     869             :       case '1':  // 1 string to match.
     870             :         return 71;       // "c1"
     871             :       case '2':  // 1 string to match.
     872             :         return 116;      // "c2"
     873             :       case '3':  // 1 string to match.
     874             :         return 72;       // "c3"
     875             :       case '4':  // 1 string to match.
     876             :         return 188;      // "c4"
     877             :       case '5':  // 1 string to match.
     878             :         return 24;       // "c5"
     879             :       case '6':  // 1 string to match.
     880             :         return 73;       // "c6"
     881             :       case '7':  // 1 string to match.
     882             :         return 74;       // "c7"
     883             :       case '8':  // 1 string to match.
     884             :         return 18;       // "c8"
     885             :       case '9':  // 1 string to match.
     886             :         return 10;       // "c9"
     887             :       }
     888             :       break;
     889           5 :     case 'f':    // 1 string to match.
     890           5 :       if (Name[1] != 'p')
     891             :         break;
     892             :       return 113;        // "fp"
     893           1 :     case 'g':    // 4 strings to match.
     894             :       switch (Name[1]) {
     895             :       default: break;
     896             :       case '0':  // 1 string to match.
     897             :         return 4;        // "g0"
     898             :       case '1':  // 1 string to match.
     899             :         return 9;        // "g1"
     900             :       case '2':  // 1 string to match.
     901             :         return 5;        // "g2"
     902             :       case '3':  // 1 string to match.
     903             :         return 44;       // "g3"
     904             :       }
     905             :       break;
     906          18 :     case 'l':    // 1 string to match.
     907          18 :       if (Name[1] != 'r')
     908             :         break;
     909             :       return 114;        // "lr"
     910           3 :     case 's':    // 1 string to match.
     911           3 :       if (Name[1] != 'p')
     912             :         break;
     913             :       return 112;        // "sp"
     914             :     }
     915             :     break;
     916        1803 :   case 3:        // 22 strings to match.
     917             :     switch (Name[0]) {
     918             :     default: break;
     919         208 :     case 'c':    // 12 strings to match.
     920             :       switch (Name[1]) {
     921             :       default: break;
     922           2 :       case '1':  // 10 strings to match.
     923             :         switch (Name[2]) {
     924             :         default: break;
     925             :         case '0':        // 1 string to match.
     926             :           return 14;     // "c10"
     927             :         case '1':        // 1 string to match.
     928             :           return 6;      // "c11"
     929             :         case '2':        // 1 string to match.
     930             :           return 26;     // "c12"
     931             :         case '3':        // 1 string to match.
     932             :           return 27;     // "c13"
     933             :         case '4':        // 1 string to match.
     934             :           return 17;     // "c14"
     935             :         case '5':        // 1 string to match.
     936             :           return 16;     // "c15"
     937             :         case '6':        // 1 string to match.
     938             :           return 3;      // "c16"
     939             :         case '7':        // 1 string to match.
     940             :           return 2;      // "c17"
     941             :         case '8':        // 1 string to match.
     942             :           return 13;     // "c18"
     943             :         case '9':        // 1 string to match.
     944             :           return 12;     // "c19"
     945             :         }
     946             :         break;
     947           1 :       case '3':  // 2 strings to match.
     948             :         switch (Name[2]) {
     949             :         default: break;
     950             :         case '0':        // 1 string to match.
     951             :           return 22;     // "c30"
     952           1 :         case '1':        // 1 string to match.
     953           1 :           return 21;     // "c31"
     954             :         }
     955             :         break;
     956             :       }
     957             :       break;
     958           0 :     case 'g':    // 10 strings to match.
     959             :       switch (Name[1]) {
     960             :       default: break;
     961           0 :       case '1':  // 4 strings to match.
     962             :         switch (Name[2]) {
     963             :         default: break;
     964             :         case '6':        // 1 string to match.
     965             :           return 67;     // "g16"
     966             :         case '7':        // 1 string to match.
     967             :           return 68;     // "g17"
     968             :         case '8':        // 1 string to match.
     969             :           return 69;     // "g18"
     970             :         case '9':        // 1 string to match.
     971             :           return 70;     // "g19"
     972             :         }
     973             :         break;
     974           0 :       case '2':  // 6 strings to match.
     975             :         switch (Name[2]) {
     976             :         default: break;
     977             :         case '4':        // 1 string to match.
     978             :           return 8;      // "g24"
     979             :         case '5':        // 1 string to match.
     980             :           return 7;      // "g25"
     981             :         case '6':        // 1 string to match.
     982             :           return 63;     // "g26"
     983             :         case '7':        // 1 string to match.
     984             :           return 64;     // "g27"
     985             :         case '8':        // 1 string to match.
     986             :           return 65;     // "g28"
     987             :         case '9':        // 1 string to match.
     988             :           return 66;     // "g29"
     989             :         }
     990             :         break;
     991             :       }
     992             :       break;
     993             :     }
     994             :     break;
     995             :   case 4:        // 1 string to match.
     996        2960 :     if (memcmp(Name.data()+0, "m1:0", 4) != 0)
     997             :       break;
     998             :     return 168;  // "m1:0"
     999         666 :   case 5:        // 2 strings to match.
    1000             :     switch (Name[0]) {
    1001             :     default: break;
    1002             :     case 'c':    // 1 string to match.
    1003          26 :       if (memcmp(Name.data()+1, "s1:0", 4) != 0)
    1004             :         break;
    1005             :       return 1;  // "cs1:0"
    1006             :     case 'l':    // 1 string to match.
    1007          15 :       if (memcmp(Name.data()+1, "r:fp", 4) != 0)
    1008             :         break;
    1009             :       return 43;         // "lr:fp"
    1010             :     }
    1011             :     break;
    1012             :   case 6:        // 1 string to match.
    1013         585 :     if (memcmp(Name.data()+0, "utimer", 6) != 0)
    1014             :       break;
    1015             :     return 20;   // "utimer"
    1016         511 :   case 7:        // 3 strings to match.
    1017             :     switch (Name[0]) {
    1018             :     default: break;
    1019           6 :     case 'l':    // 2 strings to match.
    1020           6 :       if (Name[1] != 'c')
    1021             :         break;
    1022             :       switch (Name[2]) {
    1023             :       default: break;
    1024             :       case '0':  // 1 string to match.
    1025           0 :         if (memcmp(Name.data()+3, ":sa0", 4) != 0)
    1026             :           break;
    1027             :         return 165;      // "lc0:sa0"
    1028             :       case '1':  // 1 string to match.
    1029           0 :         if (memcmp(Name.data()+3, ":sa1", 4) != 0)
    1030             :           break;
    1031             :         return 166;      // "lc1:sa1"
    1032             :       }
    1033             :       break;
    1034             :     case 'u':    // 1 string to match.
    1035           0 :       if (memcmp(Name.data()+1, "pcycle", 6) != 0)
    1036             :         break;
    1037             :       return 15;         // "upcycle"
    1038             :     }
    1039             :     break;
    1040             :   case 8:        // 1 string to match.
    1041         193 :     if (memcmp(Name.data()+0, "pktcount", 8) != 0)
    1042             :       break;
    1043             :     return 11;   // "pktcount"
    1044             :   }
    1045             :   return 0;
    1046             : }
    1047             : 
    1048             : #endif // GET_REGISTER_MATCHER
    1049             : 
    1050             : 
    1051             : #ifdef GET_SUBTARGET_FEATURE_NAME
    1052             : #undef GET_SUBTARGET_FEATURE_NAME
    1053             : 
    1054             : // User-level names for subtarget features that participate in
    1055             : // instruction matching.
    1056             : static const char *getSubtargetFeatureName(uint64_t Val) {
    1057             :   switch(Val) {
    1058             :   case Feature_HasV65: return "";
    1059             :   case Feature_HasV62: return "";
    1060             :   case Feature_HasV60: return "";
    1061             :   case Feature_HasV55: return "";
    1062             :   case Feature_HasV4: return "";
    1063             :   case Feature_HasV5: return "";
    1064             :   case Feature_UseHVX64B: return "";
    1065             :   case Feature_UseHVX128B: return "";
    1066             :   case Feature_UseHVX: return "";
    1067             :   case Feature_UseHVXV60: return "";
    1068             :   case Feature_UseHVXV62: return "";
    1069             :   case Feature_UseHVXV65: return "";
    1070             :   default: return "(unknown)";
    1071             :   }
    1072             : }
    1073             : 
    1074             : #endif // GET_SUBTARGET_FEATURE_NAME
    1075             : 
    1076             : 
    1077             : #ifdef GET_MATCHER_IMPLEMENTATION
    1078             : #undef GET_MATCHER_IMPLEMENTATION
    1079             : 
    1080             : enum {
    1081             :   Tie0_0_0,
    1082             :   Tie0_0_6,
    1083             :   Tie0_0_7,
    1084             :   Tie0_0_8,
    1085             :   Tie0_2_2,
    1086             :   Tie0_6_6,
    1087             :   Tie0_7_7,
    1088             :   Tie1_0_0,
    1089             :   Tie1_3_3,
    1090             :   Tie1_9_9,
    1091             :   Tie1_10_10,
    1092             :   Tie1_11_11,
    1093             : };
    1094             : 
    1095             : static const uint8_t TiedAsmOperandTable[][3] = {
    1096             :   /* Tie0_0_0 */ { 0, 0, 0 },
    1097             :   /* Tie0_0_6 */ { 0, 0, 6 },
    1098             :   /* Tie0_0_7 */ { 0, 0, 7 },
    1099             :   /* Tie0_0_8 */ { 0, 0, 8 },
    1100             :   /* Tie0_2_2 */ { 0, 2, 2 },
    1101             :   /* Tie0_6_6 */ { 0, 6, 6 },
    1102             :   /* Tie0_7_7 */ { 0, 7, 7 },
    1103             :   /* Tie1_0_0 */ { 1, 0, 0 },
    1104             :   /* Tie1_3_3 */ { 1, 3, 3 },
    1105             :   /* Tie1_9_9 */ { 1, 9, 9 },
    1106             :   /* Tie1_10_10 */ { 1, 10, 10 },
    1107             :   /* Tie1_11_11 */ { 1, 11, 11 },
    1108             : };
    1109             : 
    1110             : namespace {
    1111             : enum OperatorConversionKind {
    1112             :   CVT_Done,
    1113             :   CVT_Reg,
    1114             :   CVT_Tied,
    1115             :   CVT_95_Reg,
    1116             :   CVT_95_addSignedImmOperands,
    1117             :   CVT_95_addImmOperands,
    1118             :   CVT_regW15,
    1119             :   CVT_imm_95_0,
    1120             :   CVT_imm_95__MINUS_1,
    1121             :   CVT_imm_95_255,
    1122             :   CVT_regR29,
    1123             :   CVT_regD15,
    1124             :   CVT_regR30,
    1125             :   CVT_95_addn1ConstOperands,
    1126             :   CVT_regR0,
    1127             :   CVT_NUM_CONVERTERS
    1128             : };
    1129             : 
    1130             : enum InstructionConversionKind {
    1131             :   Convert__Reg1_0__Reg1_2__Reg1_2,
    1132             :   Convert__Reg1_0__Reg1_2,
    1133             :   Convert__Reg1_0__s8_0Imm1_3,
    1134             :   Convert__Reg1_0__u64_0Imm1_3,
    1135             :   Convert__Reg1_0__regW15__regW15,
    1136             :   Convert__Reg1_0__s32_0Imm1_3,
    1137             :   Convert__Reg1_0__Reg1_0__Reg1_0,
    1138             :   Convert__Reg1_0__Reg1_4,
    1139             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__imm_95_0,
    1140             :   Convert__Reg1_0__Reg1_4__imm_95_0,
    1141             :   Convert__Reg1_0__Reg1_2__b30_2Imm1_5,
    1142             :   Convert__Reg1_0__Tie0_0_0__u16_0Imm1_5,
    1143             :   Convert__Reg1_0__u16_0Imm1_5,
    1144             :   Convert__Reg1_0__imm_95_0__Reg1_4,
    1145             :   Convert__Reg1_0__imm_95__MINUS_1__Reg1_4,
    1146             :   Convert__Reg1_0__Reg1_4__imm_95_255,
    1147             :   Convert__Reg1_0__Reg1_4__Reg1_5,
    1148             :   Convert__Reg1_0__Imm1_5,
    1149             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5,
    1150             :   Convert__Reg1_0__u29_3Imm1_5,
    1151             :   Convert__Reg1_0__u6_0Imm1_3__b30_2Imm1_6,
    1152             :   Convert__Reg1_0__Tie0_0_0__Reg1_5,
    1153             :   Convert__Reg1_0__s27_2Imm1_5,
    1154             :   Convert__Reg1_0__u32_0Imm1_5,
    1155             :   Convert__Reg1_0__u31_1Imm1_5,
    1156             :   Convert__Reg1_0__u30_2Imm1_5,
    1157             :   Convert__Reg1_0__Reg1_1__Reg1_5,
    1158             :   Convert__Reg1_0__Reg1_4__Reg1_6,
    1159             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6,
    1160             :   Convert__Reg1_0__Reg1_5__Reg1_6,
    1161             :   Convert__Reg1_0__Reg1_4__u6_0Imm1_6,
    1162             :   Convert__Reg1_0__Reg1_4__u5_0Imm1_6,
    1163             :   Convert__Reg1_0__s32_0Imm1_5__Reg1_6,
    1164             :   Convert__Reg1_0__Reg1_4__s32_0Imm1_6,
    1165             :   Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6,
    1166             :   Convert__Reg1_0__Reg1_4__u4_0Imm1_6,
    1167             :   Convert__Reg1_0__Reg1_1__Tie0_0_0__Reg1_5__Reg1_6,
    1168             :   Convert__Reg1_0__Reg1_1__Reg1_5__Reg1_6,
    1169             :   Convert__Reg1_0__u32_0Imm1_6,
    1170             :   Convert__Reg1_0__s6_0Imm1_5__Reg1_6,
    1171             :   Convert__Reg1_0__Reg1_4__m32_0Imm1_6,
    1172             :   Convert__Reg1_0__Reg1_6,
    1173             :   Convert__Reg1_0__Tie0_0_0__Reg1_6,
    1174             :   Convert__Reg1_0__Reg1_5__u6_0Imm1_7,
    1175             :   Convert__Reg1_0__Reg1_5__u5_0Imm1_7,
    1176             :   Convert__Reg1_0__Reg1_6__Reg1_7,
    1177             :   Convert__Reg1_0__Reg1_7__Reg1_6,
    1178             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7,
    1179             :   Convert__Reg1_0__s32_0Imm1_5__s8_0Imm1_7,
    1180             :   Convert__Reg1_0__s8_0Imm1_5__u32_0Imm1_7,
    1181             :   Convert__Reg1_0__u10_0Imm1_5,
    1182             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__s32_0Imm1_7,
    1183             :   Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_7,
    1184             :   Convert__Reg1_0__Reg1_4__Tie0_0_0__u32_0Imm1_7,
    1185             :   Convert__Reg1_0__Reg1_4__s30_2Imm1_7,
    1186             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7,
    1187             :   Convert__Reg1_0__Reg1_4__u32_0Imm1_7,
    1188             :   Convert__Reg1_0__u29_3Imm1_7,
    1189             :   Convert__Reg1_0__Reg1_4__s29_3Imm1_7,
    1190             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__s31_1Imm1_7,
    1191             :   Convert__Reg1_0__Reg1_4__Reg1_5__u3_0Imm1_7,
    1192             :   Convert__Reg1_0__Reg1_4__Reg1_5__u2_0Imm1_7,
    1193             :   Convert__Reg1_0__Reg1_4__Reg1_5__u1_0Imm1_7,
    1194             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_7,
    1195             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7,
    1196             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__s32_0Imm1_7,
    1197             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__u32_0Imm1_7,
    1198             :   Convert__Reg1_0__Reg1_5__u32_0Imm1_7,
    1199             :   Convert__Reg1_0__Reg1_5__u8_0Imm1_7,
    1200             :   Convert__Reg1_0__u32_0Imm1_7,
    1201             :   Convert__Reg1_0__Reg1_4__s32_0Imm1_7,
    1202             :   Convert__Reg1_0__Reg1_4__s31_1Imm1_7,
    1203             :   Convert__Reg1_0__u31_1Imm1_7,
    1204             :   Convert__Reg1_0__u30_2Imm1_7,
    1205             :   Convert__Reg1_0__Reg1_4__s32_0Imm1_6__Reg1_7,
    1206             :   Convert__Reg1_0__Reg1_4__Reg1_5__s32_0Imm1_7,
    1207             :   Convert__Reg1_0__Reg1_4__s4_0Imm1_7,
    1208             :   Convert__Reg1_0__Tie0_0_0__Reg1_6__Reg1_7,
    1209             :   Convert__Reg1_0__Reg1_7__Reg1_8,
    1210             :   Convert__Reg1_0__Reg1_6__s32_0Imm1_8,
    1211             :   Convert__Reg1_0__Reg1_6__s8_0Imm1_8,
    1212             :   Convert__Reg1_0__Reg1_6__u8_0Imm1_8,
    1213             :   Convert__Reg1_0__Reg1_6__u32_0Imm1_8,
    1214             :   Convert__Reg1_0__Reg1_6__u7_0Imm1_8,
    1215             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__u2_0Imm1_8,
    1216             :   Convert__Reg1_0__Reg1_6__Reg1_4__Reg1_5__Tie1_0_0,
    1217             :   Convert__Reg1_0__Reg1_4__u6_0Imm1_6__u6_0Imm1_8,
    1218             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__u6_0Imm1_6__u6_0Imm1_8,
    1219             :   Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_0Imm1_8,
    1220             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8,
    1221             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_3Imm1_8,
    1222             :   Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_1Imm1_8,
    1223             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__u1_0Imm1_8,
    1224             :   Convert__Reg1_0__Reg1_4__u5_0Imm1_6__u5_0Imm1_8,
    1225             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__u5_0Imm1_6__u5_0Imm1_8,
    1226             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_0Imm1_8,
    1227             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8,
    1228             :   Convert__Reg1_0__Reg1_4__s32_0Imm1_6__s8_0Imm1_8,
    1229             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__u5_0Imm1_8,
    1230             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s3_0Imm1_8,
    1231             :   Convert__Reg1_0__Reg1_7__s32_0Imm1_9,
    1232             :   Convert__Reg1_0__Reg1_7__u32_0Imm1_9,
    1233             :   Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_8,
    1234             :   Convert__Reg1_0__Reg1_4__Reg1_7,
    1235             :   Convert__Reg1_0__Tie0_0_0__Reg1_7,
    1236             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__Reg1_7,
    1237             :   Convert__Reg1_0__Reg1_6__s6_0Imm1_9,
    1238             :   Convert__Reg1_0__Reg1_4__Tie0_0_7__Reg1_8,
    1239             :   Convert__Reg1_0__Reg1_6__s4_0Imm1_9,
    1240             :   Convert__Reg1_0__Reg1_6__Tie1_0_0__Reg1_9,
    1241             :   Convert__Reg1_0__Reg1_6__Reg1_9,
    1242             :   Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_9,
    1243             :   Convert__Reg1_0__Reg1_8__Reg1_9,
    1244             :   Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8,
    1245             :   Convert__Reg1_0__Reg1_4__Reg1_6__u2_0Imm1_10,
    1246             :   Convert__Reg1_0__u32_0Imm1_5__Reg1_8__Reg1_9,
    1247             :   Convert__Reg1_0__Reg1_4__Reg1_7__s32_0Imm1_9,
    1248             :   Convert__Reg1_0__Reg1_4__u6_2Imm1_8__Reg1_9,
    1249             :   Convert__Reg1_0__Reg1_4__Reg1_7__u32_0Imm1_9,
    1250             :   Convert__Reg1_0__Reg1_4__s32_0Imm1_8__Reg1_9,
    1251             :   Convert__Reg1_0__Reg1_4__Tie0_0_7__s32_0Imm1_9,
    1252             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__s6_0Imm1_8,
    1253             :   Convert__Reg1_0__Reg1_6__Tie1_0_0__s3_0Imm1_10,
    1254             :   Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10,
    1255             :   Convert__Reg1_0__Reg1_9__Reg1_10,
    1256             :   Convert__Reg1_0__Tie0_0_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11,
    1257             :   Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11,
    1258             :   Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10,
    1259             :   Convert__Reg1_0__u32_0Imm1_5__Reg1_8__u6_0Imm1_10,
    1260             :   Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_11,
    1261             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11,
    1262             :   Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12,
    1263             :   Convert__Reg1_0__Reg1_7__Reg1_10,
    1264             :   Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_0Imm1_8__Reg1_12,
    1265             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8__Reg1_12,
    1266             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_3Imm1_8__Reg1_12,
    1267             :   Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_1Imm1_8__Reg1_12,
    1268             :   Convert__Reg1_0__Reg1_6__Reg1_9__u3_0Imm1_13,
    1269             :   Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__Reg1_13,
    1270             :   Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13,
    1271             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_0Imm1_8__Reg1_12,
    1272             :   Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8__Reg1_12,
    1273             :   Convert__Reg1_0__Tie0_0_0__Reg1_8__Reg1_11,
    1274             :   Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u3_0Imm1_14,
    1275             :   Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14,
    1276             :   Convert__Reg1_0__Reg1_8__Reg1_12,
    1277             :   Convert__Reg1_0__Reg1_12__Reg1_6__Reg1_9__Tie1_0_0,
    1278             :   Convert__Reg1_0__Tie0_0_6__Reg1_9__Reg1_12,
    1279             :   Convert__imm_95_0,
    1280             :   Convert__regR29__Tie0_0_0__u11_3Imm1_3,
    1281             :   Convert__Reg1_2__Tie0_0_0__u11_3Imm1_4,
    1282             :   Convert_NoOperands,
    1283             :   Convert__a30_2Imm1_1,
    1284             :   Convert__Reg1_1,
    1285             :   Convert__Reg1_2,
    1286             :   Convert__Reg1_2__imm_95_0,
    1287             :   Convert__Reg1_2__u11_3Imm1_5,
    1288             :   Convert__regD15__regR30,
    1289             :   Convert__regD15__Reg1_2__regR30,
    1290             :   Convert__regD15__Reg1_3__regR30,
    1291             :   Convert__Reg1_2__a30_2Imm1_5,
    1292             :   Convert__Reg1_2__Reg1_5,
    1293             :   Convert__Reg1_2__b30_2Imm1_5,
    1294             :   Convert__Reg1_3__a30_2Imm1_6,
    1295             :   Convert__Reg1_3__Reg1_6,
    1296             :   Convert__Reg1_3__b30_2Imm1_6,
    1297             :   Convert__Reg1_4__Reg1_2__Reg1_6,
    1298             :   Convert__Reg1_4__Reg1_2__Reg1_6__imm_95_0,
    1299             :   Convert__Reg1_5__Reg1_3__Reg1_7,
    1300             :   Convert__Reg1_5__Reg1_3__Reg1_7__imm_95_0,
    1301             :   Convert__Reg1_2__b30_2Imm1_7,
    1302             :   Convert__Reg1_2__Reg1_7,
    1303             :   Convert__Reg1_4__Reg1_2__s32_0Imm1_7,
    1304             :   Convert__Reg1_3__b30_2Imm1_8,
    1305             :   Convert__Reg1_3__Reg1_8,
    1306             :   Convert__Reg1_5__Reg1_3__s32_0Imm1_8,
    1307             :   Convert__Reg1_6__Reg1_2__Reg1_8,
    1308             :   Convert__Reg1_6__Reg1_2__Reg1_8__imm_95_0,
    1309             :   Convert__Reg1_7__Reg1_3__Reg1_9,
    1310             :   Convert__Reg1_7__Reg1_3__Reg1_9__imm_95_0,
    1311             :   Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9,
    1312             :   Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0,
    1313             :   Convert__Reg1_4__Reg1_2__Reg1_8,
    1314             :   Convert__Reg1_2__b30_2Imm1_9,
    1315             :   Convert__Reg1_2__Reg1_9,
    1316             :   Convert__Reg1_6__Reg1_2__s32_0Imm1_9,
    1317             :   Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10,
    1318             :   Convert__Reg1_5__Reg1_3__Reg1_9__imm_95_0,
    1319             :   Convert__Reg1_5__Reg1_3__Reg1_9,
    1320             :   Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_9_9__imm_95_0,
    1321             :   Convert__Reg1_3__b30_2Imm1_10,
    1322             :   Convert__Reg1_3__Reg1_10,
    1323             :   Convert__Reg1_7__Reg1_3__s32_0Imm1_10,
    1324             :   Convert__Reg1_2__u32_0Imm1_7__Reg1_10,
    1325             :   Convert__Reg1_2__Reg1_6__imm_95_0__s32_0Imm1_10,
    1326             :   Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9,
    1327             :   Convert__Reg1_4__Reg1_2__u32_0Imm1_9,
    1328             :   Convert__Reg1_3__u32_0Imm1_8__Reg1_11,
    1329             :   Convert__Reg1_3__Reg1_7__imm_95_0__s32_0Imm1_11,
    1330             :   Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10,
    1331             :   Convert__Reg1_5__Reg1_3__u32_0Imm1_10,
    1332             :   Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_11,
    1333             :   Convert__Reg1_4__Reg1_2__Tie0_0_0__Reg1_9,
    1334             :   Convert__Reg1_4__Reg1_2__Reg1_8__s32_0Imm1_10,
    1335             :   Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_10_10__imm_95_0,
    1336             :   Convert__Reg1_4__Reg1_2__Reg1_10__imm_95_0,
    1337             :   Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11,
    1338             :   Convert__Reg1_6__Reg1_2__Reg1_10__imm_95_0,
    1339             :   Convert__Reg1_6__Reg1_2__Reg1_10,
    1340             :   Convert__Reg1_2__b13_2Imm1_11,
    1341             :   Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_12,
    1342             :   Convert__Reg1_5__Reg1_3__Tie0_0_0__Reg1_10,
    1343             :   Convert__Reg1_5__Reg1_3__Reg1_9__s32_0Imm1_11,
    1344             :   Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_11_11__imm_95_0,
    1345             :   Convert__Reg1_5__Reg1_3__Reg1_11__imm_95_0,
    1346             :   Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12,
    1347             :   Convert__Reg1_7__Reg1_3__Reg1_11__imm_95_0,
    1348             :   Convert__Reg1_7__Reg1_3__Reg1_11,
    1349             :   Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_12,
    1350             :   Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_12,
    1351             :   Convert__Reg1_2__Reg1_6__u32_0Imm1_9__Reg1_12,
    1352             :   Convert__Reg1_2__Reg1_6__u29_3Imm1_9__Reg1_12,
    1353             :   Convert__Reg1_2__Reg1_6__u31_1Imm1_9__Reg1_12,
    1354             :   Convert__Reg1_2__Reg1_6__u30_2Imm1_9__Reg1_12,
    1355             :   Convert__Reg1_4__Reg1_2__Reg1_8__u29_3Imm1_11,
    1356             :   Convert__Reg1_4__Reg1_2__Reg1_8__u32_0Imm1_11,
    1357             :   Convert__Reg1_4__Reg1_2__Reg1_8__u31_1Imm1_11,
    1358             :   Convert__Reg1_4__Reg1_2__Reg1_8__u30_2Imm1_11,
    1359             :   Convert__Reg1_4__Reg1_2__Reg1_8__s4_0Imm1_11,
    1360             :   Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__Reg1_11,
    1361             :   Convert__Reg1_2__u32_0Imm1_9__Reg1_12,
    1362             :   Convert__Reg1_2__Reg1_8__imm_95_0__s32_0Imm1_12,
    1363             :   Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_11,
    1364             :   Convert__Reg1_6__Reg1_2__u32_0Imm1_11,
    1365             :   Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_13,
    1366             :   Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_13,
    1367             :   Convert__Reg1_3__Reg1_7__u32_0Imm1_10__Reg1_13,
    1368             :   Convert__Reg1_3__Reg1_7__u29_3Imm1_10__Reg1_13,
    1369             :   Convert__Reg1_3__Reg1_7__u31_1Imm1_10__Reg1_13,
    1370             :   Convert__Reg1_3__Reg1_7__u30_2Imm1_10__Reg1_13,
    1371             :   Convert__Reg1_5__Reg1_3__Reg1_9__u29_3Imm1_12,
    1372             :   Convert__Reg1_5__Reg1_3__Reg1_9__u32_0Imm1_12,
    1373             :   Convert__Reg1_5__Reg1_3__Reg1_9__u31_1Imm1_12,
    1374             :   Convert__Reg1_5__Reg1_3__Reg1_9__u30_2Imm1_12,
    1375             :   Convert__Reg1_5__Reg1_3__Reg1_9__s4_0Imm1_12,
    1376             :   Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__Reg1_12,
    1377             :   Convert__Reg1_3__u32_0Imm1_10__Reg1_13,
    1378             :   Convert__Reg1_3__Reg1_9__imm_95_0__s32_0Imm1_13,
    1379             :   Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_12,
    1380             :   Convert__Reg1_7__Reg1_3__u32_0Imm1_12,
    1381             :   Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_13,
    1382             :   Convert__Reg1_6__Reg1_2__Tie0_6_6__Reg1_11,
    1383             :   Convert__Reg1_2__Reg1_6__u6_0Imm1_9__s32_0Imm1_13,
    1384             :   Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_0Imm1_10__Reg1_13,
    1385             :   Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_3Imm1_10__Reg1_13,
    1386             :   Convert__Reg1_2__Reg1_6__u6_1Imm1_9__s32_0Imm1_13,
    1387             :   Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_1Imm1_10__Reg1_13,
    1388             :   Convert__Reg1_2__Reg1_6__u6_2Imm1_9__s32_0Imm1_13,
    1389             :   Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_2Imm1_10__Reg1_13,
    1390             :   Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_3Imm1_12,
    1391             :   Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_0Imm1_12,
    1392             :   Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_1Imm1_12,
    1393             :   Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_2Imm1_12,
    1394             :   Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s3_0Imm1_12,
    1395             :   Convert__Reg1_6__Reg1_2__Reg1_10__s32_0Imm1_12,
    1396             :   Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_14,
    1397             :   Convert__Reg1_7__Reg1_3__Tie0_7_7__Reg1_12,
    1398             :   Convert__Reg1_3__Reg1_7__u6_0Imm1_10__s32_0Imm1_14,
    1399             :   Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_0Imm1_11__Reg1_14,
    1400             :   Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_3Imm1_11__Reg1_14,
    1401             :   Convert__Reg1_3__Reg1_7__u6_1Imm1_10__s32_0Imm1_14,
    1402             :   Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_1Imm1_11__Reg1_14,
    1403             :   Convert__Reg1_3__Reg1_7__u6_2Imm1_10__s32_0Imm1_14,
    1404             :   Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_2Imm1_11__Reg1_14,
    1405             :   Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_3Imm1_13,
    1406             :   Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_0Imm1_13,
    1407             :   Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_1Imm1_13,
    1408             :   Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_2Imm1_13,
    1409             :   Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s3_0Imm1_13,
    1410             :   Convert__Reg1_7__Reg1_3__Reg1_11__s32_0Imm1_13,
    1411             :   Convert__Reg1_4__b30_2Imm1_14,
    1412             :   Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_14,
    1413             :   Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_14,
    1414             :   Convert__Reg1_4__Reg1_2__Reg1_10__s4_0Imm1_13,
    1415             :   Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__Reg1_13,
    1416             :   Convert__Reg1_2__Reg1_8__u32_0Imm1_11__Reg1_14,
    1417             :   Convert__Reg1_2__Reg1_8__u29_3Imm1_11__Reg1_14,
    1418             :   Convert__Reg1_2__Reg1_8__u31_1Imm1_11__Reg1_14,
    1419             :   Convert__Reg1_2__Reg1_8__u30_2Imm1_11__Reg1_14,
    1420             :   Convert__Reg1_6__Reg1_2__Reg1_10__u29_3Imm1_13,
    1421             :   Convert__Reg1_6__Reg1_2__Reg1_10__u32_0Imm1_13,
    1422             :   Convert__Reg1_6__Reg1_2__Reg1_10__u31_1Imm1_13,
    1423             :   Convert__Reg1_6__Reg1_2__Reg1_10__u30_2Imm1_13,
    1424             :   Convert__Reg1_5__b30_2Imm1_15,
    1425             :   Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_15,
    1426             :   Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_15,
    1427             :   Convert__Reg1_5__Reg1_3__Reg1_11__s4_0Imm1_14,
    1428             :   Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__Reg1_14,
    1429             :   Convert__Reg1_3__Reg1_9__u32_0Imm1_12__Reg1_15,
    1430             :   Convert__Reg1_3__Reg1_9__u29_3Imm1_12__Reg1_15,
    1431             :   Convert__Reg1_3__Reg1_9__u31_1Imm1_12__Reg1_15,
    1432             :   Convert__Reg1_3__Reg1_9__u30_2Imm1_12__Reg1_15,
    1433             :   Convert__Reg1_7__Reg1_3__Reg1_11__u29_3Imm1_14,
    1434             :   Convert__Reg1_7__Reg1_3__Reg1_11__u32_0Imm1_14,
    1435             :   Convert__Reg1_7__Reg1_3__Reg1_11__u31_1Imm1_14,
    1436             :   Convert__Reg1_7__Reg1_3__Reg1_11__u30_2Imm1_14,
    1437             :   Convert__Reg1_6__Reg1_9__b30_2Imm1_15,
    1438             :   Convert__Reg1_6__Reg1_7__b30_2Imm1_15,
    1439             :   Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_15,
    1440             :   Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_15,
    1441             :   Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_13,
    1442             :   Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15,
    1443             :   Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14,
    1444             :   Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__s3_0Imm1_14,
    1445             :   Convert__Reg1_2__Reg1_8__u6_0Imm1_11__s32_0Imm1_15,
    1446             :   Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_0Imm1_12__Reg1_15,
    1447             :   Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_3Imm1_12__Reg1_15,
    1448             :   Convert__Reg1_2__Reg1_8__u6_1Imm1_11__s32_0Imm1_15,
    1449             :   Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_1Imm1_12__Reg1_15,
    1450             :   Convert__Reg1_2__Reg1_8__u6_2Imm1_11__s32_0Imm1_15,
    1451             :   Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_2Imm1_12__Reg1_15,
    1452             :   Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_3Imm1_14,
    1453             :   Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_0Imm1_14,
    1454             :   Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_1Imm1_14,
    1455             :   Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_2Imm1_14,
    1456             :   Convert__Reg1_7__Reg1_10__b30_2Imm1_16,
    1457             :   Convert__Reg1_7__Reg1_8__b30_2Imm1_16,
    1458             :   Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_16,
    1459             :   Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16,
    1460             :   Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15,
    1461             :   Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__s3_0Imm1_15,
    1462             :   Convert__Reg1_3__Reg1_9__u6_0Imm1_12__s32_0Imm1_16,
    1463             :   Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_0Imm1_13__Reg1_16,
    1464             :   Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_3Imm1_13__Reg1_16,
    1465             :   Convert__Reg1_3__Reg1_9__u6_1Imm1_12__s32_0Imm1_16,
    1466             :   Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_1Imm1_13__Reg1_16,
    1467             :   Convert__Reg1_3__Reg1_9__u6_2Imm1_12__s32_0Imm1_16,
    1468             :   Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_2Imm1_13__Reg1_16,
    1469             :   Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_3Imm1_15,
    1470             :   Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_0Imm1_15,
    1471             :   Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_1Imm1_15,
    1472             :   Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_2Imm1_15,
    1473             :   Convert__Reg1_6__n1Const1_10__b30_2Imm1_16,
    1474             :   Convert__Reg1_6__u5_0Imm1_10__b30_2Imm1_16,
    1475             :   Convert__Reg1_7__n1Const1_11__b30_2Imm1_17,
    1476             :   Convert__Reg1_7__u5_0Imm1_11__b30_2Imm1_17,
    1477             :   Convert__Reg1_2__Reg1_10__Reg1_11__Reg1_12,
    1478             :   Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17,
    1479             :   Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_12__u2_0Imm1_16,
    1480             :   Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18,
    1481             :   Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_13__u2_0Imm1_17,
    1482             :   Convert__u26_6Imm1_3,
    1483             :   Convert__b30_2Imm1_1,
    1484             :   Convert__Reg1_2__Reg1_3,
    1485             :   Convert__b30_2Imm1_2__Reg1_3,
    1486             :   Convert__b30_2Imm1_2__u10_0Imm1_4,
    1487             :   Convert__Reg1_2__imm_95_0__Reg1_5,
    1488             :   Convert__u32_0Imm1_3__Reg1_6,
    1489             :   Convert__Reg1_2__imm_95_0__Reg1_6,
    1490             :   Convert__Reg1_2__imm_95_0__s32_0Imm1_6,
    1491             :   Convert__Reg1_2__imm_95_0__u5_0Imm1_7,
    1492             :   Convert__u32_0Imm1_5__Reg1_8,
    1493             :   Convert__Reg1_2__s32_0Imm1_5__Reg1_8,
    1494             :   Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8,
    1495             :   Convert__Reg1_2__u32_0Imm1_5__Reg1_8,
    1496             :   Convert__Reg1_2__imm_95_0__u5_0Imm1_8,
    1497             :   Convert__Reg1_2__u32_0Imm1_5__Reg1_9,
    1498             :   Convert__Reg1_2__u6_0Imm1_5__s32_0Imm1_9,
    1499             :   Convert__Reg1_2__Tie0_0_0__s4_0Imm1_6__Reg1_9,
    1500             :   Convert__Reg1_2__u32_0Imm1_5__u5_0Imm1_10,
    1501             :   Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10,
    1502             :   Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11,
    1503             :   Convert__Reg1_2__u32_0Imm1_5__u5_0Imm1_11,
    1504             :   Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12,
    1505             :   Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13,
    1506             :   Convert__Reg1_2__Tie0_0_0__s4_0Imm1_6__Reg1_10__Reg1_14,
    1507             :   Convert__u29_3Imm1_3__Reg1_6,
    1508             :   Convert__u29_3Imm1_5__Reg1_8,
    1509             :   Convert__Reg1_2__s29_3Imm1_5__Reg1_8,
    1510             :   Convert__Reg1_2__Tie0_0_0__s4_3Imm1_6__Reg1_9,
    1511             :   Convert__Reg1_2__Tie0_0_0__s4_3Imm1_6__Reg1_10__Reg1_14,
    1512             :   Convert__Reg1_3__Reg1_2__Reg1_6,
    1513             :   Convert__u31_1Imm1_3__Reg1_6,
    1514             :   Convert__u31_1Imm1_5__Reg1_8,
    1515             :   Convert__Reg1_2__s31_1Imm1_5__Reg1_8,
    1516             :   Convert__Reg1_2__u31_1Imm1_5__Reg1_9,
    1517             :   Convert__Reg1_2__u6_1Imm1_5__s32_0Imm1_9,
    1518             :   Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_9,
    1519             :   Convert__Reg1_2__u31_1Imm1_5__u5_0Imm1_10,
    1520             :   Convert__Reg1_2__u31_1Imm1_5__u5_0Imm1_11,
    1521             :   Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_10__Reg1_14,
    1522             :   Convert__u30_2Imm1_3__Reg1_6,
    1523             :   Convert__u30_2Imm1_5__Reg1_8,
    1524             :   Convert__Reg1_2__s30_2Imm1_5__Reg1_8,
    1525             :   Convert__Reg1_2__u30_2Imm1_5__Reg1_9,
    1526             :   Convert__Reg1_2__u6_2Imm1_5__s32_0Imm1_9,
    1527             :   Convert__Reg1_2__Tie0_0_0__s4_2Imm1_6__Reg1_9,
    1528             :   Convert__Reg1_2__u30_2Imm1_5__u5_0Imm1_10,
    1529             :   Convert__Reg1_2__u30_2Imm1_5__u5_0Imm1_11,
    1530             :   Convert__Reg1_2__Tie0_0_0__s4_2Imm1_6__Reg1_10__Reg1_14,
    1531             :   Convert__Reg1_4__b30_2Imm1_18,
    1532             :   Convert__Reg1_6__Reg1_7__b30_2Imm1_19,
    1533             :   Convert__Reg1_4__b30_2Imm1_19,
    1534             :   Convert__Reg1_6__n1Const1_8__b30_2Imm1_20,
    1535             :   Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20,
    1536             :   Convert__Reg1_6__Reg1_7__b30_2Imm1_20,
    1537             :   Convert__Reg1_6__n1Const1_8__b30_2Imm1_21,
    1538             :   Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21,
    1539             :   Convert__b30_2Imm1_4__Reg1_5,
    1540             :   Convert__b30_2Imm1_4__u10_0Imm1_6,
    1541             :   Convert__u8_0Imm1_3,
    1542             :   Convert__regR0__Tie0_0_0__u8_0Imm1_3,
    1543             :   Convert__Reg1_2__Tie0_0_0__u8_0Imm1_4,
    1544             :   Convert__Reg1_2__Reg1_3__Tie0_0_0__Tie1_0_0__Reg1_4,
    1545             :   Convert__Reg1_2__imm_95_0__Reg1_7,
    1546             :   Convert__Reg1_2__s4_0Imm1_5,
    1547             :   Convert__Reg1_2__s4_0Imm1_5__Reg1_8,
    1548             :   Convert__Reg1_2__Tie0_0_0__Reg1_5,
    1549             :   Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6,
    1550             :   Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_9,
    1551             :   Convert__Reg1_2__s4_0Imm1_5__Reg1_10,
    1552             :   Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_11,
    1553             :   Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_11,
    1554             :   Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_9,
    1555             :   Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_10,
    1556             :   Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_12,
    1557             :   Convert__Reg1_6__Reg1_7__Reg1_8,
    1558             :   Convert__Reg1_2__Reg1_3__Tie0_2_2__Tie1_3_3__Reg1_4,
    1559             :   Convert__u1_0Imm1_3,
    1560             :   Convert__Reg1_2__u1_0Imm1_4,
    1561             :   CVT_NUM_SIGNATURES
    1562             : };
    1563             : 
    1564             : } // end anonymous namespace
    1565             : 
    1566             : static const uint8_t ConversionTable[CVT_NUM_SIGNATURES][13] = {
    1567             :   // Convert__Reg1_0__Reg1_2__Reg1_2
    1568             :   { CVT_95_Reg, 0, CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_Done },
    1569             :   // Convert__Reg1_0__Reg1_2
    1570             :   { CVT_95_Reg, 0, CVT_95_Reg, 2, CVT_Done },
    1571             :   // Convert__Reg1_0__s8_0Imm1_3
    1572             :   { CVT_95_Reg, 0, CVT_95_addSignedImmOperands, 3, CVT_Done },
    1573             :   // Convert__Reg1_0__u64_0Imm1_3
    1574             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 3, CVT_Done },
    1575             :   // Convert__Reg1_0__regW15__regW15
    1576             :   { CVT_95_Reg, 0, CVT_regW15, 0, CVT_regW15, 0, CVT_Done },
    1577             :   // Convert__Reg1_0__s32_0Imm1_3
    1578             :   { CVT_95_Reg, 0, CVT_95_addSignedImmOperands, 3, CVT_Done },
    1579             :   // Convert__Reg1_0__Reg1_0__Reg1_0
    1580             :   { CVT_95_Reg, 0, CVT_95_Reg, 0, CVT_95_Reg, 0, CVT_Done },
    1581             :   // Convert__Reg1_0__Reg1_4
    1582             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Done },
    1583             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__imm_95_0
    1584             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
    1585             :   // Convert__Reg1_0__Reg1_4__imm_95_0
    1586             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
    1587             :   // Convert__Reg1_0__Reg1_2__b30_2Imm1_5
    1588             :   { CVT_95_Reg, 0, CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_Done },
    1589             :   // Convert__Reg1_0__Tie0_0_0__u16_0Imm1_5
    1590             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_addImmOperands, 5, CVT_Done },
    1591             :   // Convert__Reg1_0__u16_0Imm1_5
    1592             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_Done },
    1593             :   // Convert__Reg1_0__imm_95_0__Reg1_4
    1594             :   { CVT_95_Reg, 0, CVT_imm_95_0, 0, CVT_95_Reg, 4, CVT_Done },
    1595             :   // Convert__Reg1_0__imm_95__MINUS_1__Reg1_4
    1596             :   { CVT_95_Reg, 0, CVT_imm_95__MINUS_1, 0, CVT_95_Reg, 4, CVT_Done },
    1597             :   // Convert__Reg1_0__Reg1_4__imm_95_255
    1598             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_imm_95_255, 0, CVT_Done },
    1599             :   // Convert__Reg1_0__Reg1_4__Reg1_5
    1600             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 5, CVT_Done },
    1601             :   // Convert__Reg1_0__Imm1_5
    1602             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_Done },
    1603             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5
    1604             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_95_Reg, 5, CVT_Done },
    1605             :   // Convert__Reg1_0__u29_3Imm1_5
    1606             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_Done },
    1607             :   // Convert__Reg1_0__u6_0Imm1_3__b30_2Imm1_6
    1608             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 3, CVT_95_addSignedImmOperands, 6, CVT_Done },
    1609             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5
    1610             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_Done },
    1611             :   // Convert__Reg1_0__s27_2Imm1_5
    1612             :   { CVT_95_Reg, 0, CVT_95_addSignedImmOperands, 5, CVT_Done },
    1613             :   // Convert__Reg1_0__u32_0Imm1_5
    1614             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_Done },
    1615             :   // Convert__Reg1_0__u31_1Imm1_5
    1616             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_Done },
    1617             :   // Convert__Reg1_0__u30_2Imm1_5
    1618             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_Done },
    1619             :   // Convert__Reg1_0__Reg1_1__Reg1_5
    1620             :   { CVT_95_Reg, 0, CVT_95_Reg, 1, CVT_95_Reg, 5, CVT_Done },
    1621             :   // Convert__Reg1_0__Reg1_4__Reg1_6
    1622             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_Done },
    1623             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6
    1624             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_Done },
    1625             :   // Convert__Reg1_0__Reg1_5__Reg1_6
    1626             :   { CVT_95_Reg, 0, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_Done },
    1627             :   // Convert__Reg1_0__Reg1_4__u6_0Imm1_6
    1628             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_Done },
    1629             :   // Convert__Reg1_0__Reg1_4__u5_0Imm1_6
    1630             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_Done },
    1631             :   // Convert__Reg1_0__s32_0Imm1_5__Reg1_6
    1632             :   { CVT_95_Reg, 0, CVT_95_addSignedImmOperands, 5, CVT_95_Reg, 6, CVT_Done },
    1633             :   // Convert__Reg1_0__Reg1_4__s32_0Imm1_6
    1634             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 6, CVT_Done },
    1635             :   // Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6
    1636             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_Done },
    1637             :   // Convert__Reg1_0__Reg1_4__u4_0Imm1_6
    1638             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_Done },
    1639             :   // Convert__Reg1_0__Reg1_1__Tie0_0_0__Reg1_5__Reg1_6
    1640             :   { CVT_95_Reg, 0, CVT_95_Reg, 1, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_Done },
    1641             :   // Convert__Reg1_0__Reg1_1__Reg1_5__Reg1_6
    1642             :   { CVT_95_Reg, 0, CVT_95_Reg, 1, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_Done },
    1643             :   // Convert__Reg1_0__u32_0Imm1_6
    1644             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 6, CVT_Done },
    1645             :   // Convert__Reg1_0__s6_0Imm1_5__Reg1_6
    1646             :   { CVT_95_Reg, 0, CVT_95_addSignedImmOperands, 5, CVT_95_Reg, 6, CVT_Done },
    1647             :   // Convert__Reg1_0__Reg1_4__m32_0Imm1_6
    1648             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_Done },
    1649             :   // Convert__Reg1_0__Reg1_6
    1650             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_Done },
    1651             :   // Convert__Reg1_0__Tie0_0_0__Reg1_6
    1652             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 6, CVT_Done },
    1653             :   // Convert__Reg1_0__Reg1_5__u6_0Imm1_7
    1654             :   { CVT_95_Reg, 0, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1655             :   // Convert__Reg1_0__Reg1_5__u5_0Imm1_7
    1656             :   { CVT_95_Reg, 0, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1657             :   // Convert__Reg1_0__Reg1_6__Reg1_7
    1658             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_Done },
    1659             :   // Convert__Reg1_0__Reg1_7__Reg1_6
    1660             :   { CVT_95_Reg, 0, CVT_95_Reg, 7, CVT_95_Reg, 6, CVT_Done },
    1661             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7
    1662             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1663             :   // Convert__Reg1_0__s32_0Imm1_5__s8_0Imm1_7
    1664             :   { CVT_95_Reg, 0, CVT_95_addSignedImmOperands, 5, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1665             :   // Convert__Reg1_0__s8_0Imm1_5__u32_0Imm1_7
    1666             :   { CVT_95_Reg, 0, CVT_95_addSignedImmOperands, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1667             :   // Convert__Reg1_0__u10_0Imm1_5
    1668             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_Done },
    1669             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__s32_0Imm1_7
    1670             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1671             :   // Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_7
    1672             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_0, CVT_Tied, Tie1_0_0, CVT_95_Reg, 7, CVT_Done },
    1673             :   // Convert__Reg1_0__Reg1_4__Tie0_0_0__u32_0Imm1_7
    1674             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_0, CVT_95_addImmOperands, 7, CVT_Done },
    1675             :   // Convert__Reg1_0__Reg1_4__s30_2Imm1_7
    1676             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1677             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7
    1678             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_Reg, 7, CVT_Done },
    1679             :   // Convert__Reg1_0__Reg1_4__u32_0Imm1_7
    1680             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 7, CVT_Done },
    1681             :   // Convert__Reg1_0__u29_3Imm1_7
    1682             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 7, CVT_Done },
    1683             :   // Convert__Reg1_0__Reg1_4__s29_3Imm1_7
    1684             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1685             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__s31_1Imm1_7
    1686             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1687             :   // Convert__Reg1_0__Reg1_4__Reg1_5__u3_0Imm1_7
    1688             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1689             :   // Convert__Reg1_0__Reg1_4__Reg1_5__u2_0Imm1_7
    1690             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1691             :   // Convert__Reg1_0__Reg1_4__Reg1_5__u1_0Imm1_7
    1692             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1693             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_7
    1694             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 7, CVT_Done },
    1695             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7
    1696             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1697             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__s32_0Imm1_7
    1698             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1699             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__u32_0Imm1_7
    1700             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1701             :   // Convert__Reg1_0__Reg1_5__u32_0Imm1_7
    1702             :   { CVT_95_Reg, 0, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1703             :   // Convert__Reg1_0__Reg1_5__u8_0Imm1_7
    1704             :   { CVT_95_Reg, 0, CVT_95_Reg, 5, CVT_95_addImmOperands, 7, CVT_Done },
    1705             :   // Convert__Reg1_0__u32_0Imm1_7
    1706             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 7, CVT_Done },
    1707             :   // Convert__Reg1_0__Reg1_4__s32_0Imm1_7
    1708             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1709             :   // Convert__Reg1_0__Reg1_4__s31_1Imm1_7
    1710             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1711             :   // Convert__Reg1_0__u31_1Imm1_7
    1712             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 7, CVT_Done },
    1713             :   // Convert__Reg1_0__u30_2Imm1_7
    1714             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 7, CVT_Done },
    1715             :   // Convert__Reg1_0__Reg1_4__s32_0Imm1_6__Reg1_7
    1716             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 7, CVT_Done },
    1717             :   // Convert__Reg1_0__Reg1_4__Reg1_5__s32_0Imm1_7
    1718             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 5, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1719             :   // Convert__Reg1_0__Reg1_4__s4_0Imm1_7
    1720             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1721             :   // Convert__Reg1_0__Tie0_0_0__Reg1_6__Reg1_7
    1722             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_Done },
    1723             :   // Convert__Reg1_0__Reg1_7__Reg1_8
    1724             :   { CVT_95_Reg, 0, CVT_95_Reg, 7, CVT_95_Reg, 8, CVT_Done },
    1725             :   // Convert__Reg1_0__Reg1_6__s32_0Imm1_8
    1726             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1727             :   // Convert__Reg1_0__Reg1_6__s8_0Imm1_8
    1728             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1729             :   // Convert__Reg1_0__Reg1_6__u8_0Imm1_8
    1730             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1731             :   // Convert__Reg1_0__Reg1_6__u32_0Imm1_8
    1732             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1733             :   // Convert__Reg1_0__Reg1_6__u7_0Imm1_8
    1734             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1735             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__u2_0Imm1_8
    1736             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1737             :   // Convert__Reg1_0__Reg1_6__Reg1_4__Reg1_5__Tie1_0_0
    1738             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_Reg, 4, CVT_95_Reg, 5, CVT_Tied, Tie1_0_0, CVT_Done },
    1739             :   // Convert__Reg1_0__Reg1_4__u6_0Imm1_6__u6_0Imm1_8
    1740             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1741             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__u6_0Imm1_6__u6_0Imm1_8
    1742             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1743             :   // Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_0Imm1_8
    1744             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_0, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1745             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8
    1746             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1747             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_3Imm1_8
    1748             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1749             :   // Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_1Imm1_8
    1750             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_0, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1751             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__u1_0Imm1_8
    1752             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1753             :   // Convert__Reg1_0__Reg1_4__u5_0Imm1_6__u5_0Imm1_8
    1754             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1755             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__u5_0Imm1_6__u5_0Imm1_8
    1756             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1757             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_0Imm1_8
    1758             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1759             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8
    1760             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1761             :   // Convert__Reg1_0__Reg1_4__s32_0Imm1_6__s8_0Imm1_8
    1762             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 6, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1763             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__u5_0Imm1_8
    1764             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_95_addImmOperands, 8, CVT_Done },
    1765             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s3_0Imm1_8
    1766             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1767             :   // Convert__Reg1_0__Reg1_7__s32_0Imm1_9
    1768             :   { CVT_95_Reg, 0, CVT_95_Reg, 7, CVT_95_addSignedImmOperands, 9, CVT_Done },
    1769             :   // Convert__Reg1_0__Reg1_7__u32_0Imm1_9
    1770             :   { CVT_95_Reg, 0, CVT_95_Reg, 7, CVT_95_addImmOperands, 9, CVT_Done },
    1771             :   // Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_8
    1772             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 7, CVT_95_Reg, 8, CVT_Done },
    1773             :   // Convert__Reg1_0__Reg1_4__Reg1_7
    1774             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 7, CVT_Done },
    1775             :   // Convert__Reg1_0__Tie0_0_0__Reg1_7
    1776             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 7, CVT_Done },
    1777             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__Reg1_7
    1778             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_Done },
    1779             :   // Convert__Reg1_0__Reg1_6__s6_0Imm1_9
    1780             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_addSignedImmOperands, 9, CVT_Done },
    1781             :   // Convert__Reg1_0__Reg1_4__Tie0_0_7__Reg1_8
    1782             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_7, CVT_95_Reg, 8, CVT_Done },
    1783             :   // Convert__Reg1_0__Reg1_6__s4_0Imm1_9
    1784             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_addSignedImmOperands, 9, CVT_Done },
    1785             :   // Convert__Reg1_0__Reg1_6__Tie1_0_0__Reg1_9
    1786             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_Tied, Tie1_0_0, CVT_95_Reg, 9, CVT_Done },
    1787             :   // Convert__Reg1_0__Reg1_6__Reg1_9
    1788             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_Reg, 9, CVT_Done },
    1789             :   // Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_9
    1790             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 7, CVT_95_Reg, 9, CVT_Done },
    1791             :   // Convert__Reg1_0__Reg1_8__Reg1_9
    1792             :   { CVT_95_Reg, 0, CVT_95_Reg, 8, CVT_95_Reg, 9, CVT_Done },
    1793             :   // Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8
    1794             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 8, CVT_Done },
    1795             :   // Convert__Reg1_0__Reg1_4__Reg1_6__u2_0Imm1_10
    1796             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 6, CVT_95_addImmOperands, 10, CVT_Done },
    1797             :   // Convert__Reg1_0__u32_0Imm1_5__Reg1_8__Reg1_9
    1798             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_95_Reg, 8, CVT_95_Reg, 9, CVT_Done },
    1799             :   // Convert__Reg1_0__Reg1_4__Reg1_7__s32_0Imm1_9
    1800             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 7, CVT_95_addSignedImmOperands, 9, CVT_Done },
    1801             :   // Convert__Reg1_0__Reg1_4__u6_2Imm1_8__Reg1_9
    1802             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 8, CVT_95_Reg, 9, CVT_Done },
    1803             :   // Convert__Reg1_0__Reg1_4__Reg1_7__u32_0Imm1_9
    1804             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_Reg, 7, CVT_95_addImmOperands, 9, CVT_Done },
    1805             :   // Convert__Reg1_0__Reg1_4__s32_0Imm1_8__Reg1_9
    1806             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 8, CVT_95_Reg, 9, CVT_Done },
    1807             :   // Convert__Reg1_0__Reg1_4__Tie0_0_7__s32_0Imm1_9
    1808             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_7, CVT_95_addSignedImmOperands, 9, CVT_Done },
    1809             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__s6_0Imm1_8
    1810             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_95_addImmOperands, 6, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1811             :   // Convert__Reg1_0__Reg1_6__Tie1_0_0__s3_0Imm1_10
    1812             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 10, CVT_Done },
    1813             :   // Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10
    1814             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 7, CVT_95_Reg, 10, CVT_Done },
    1815             :   // Convert__Reg1_0__Reg1_9__Reg1_10
    1816             :   { CVT_95_Reg, 0, CVT_95_Reg, 9, CVT_95_Reg, 10, CVT_Done },
    1817             :   // Convert__Reg1_0__Tie0_0_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11
    1818             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 4, CVT_95_addImmOperands, 8, CVT_95_addImmOperands, 11, CVT_Done },
    1819             :   // Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11
    1820             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_95_addImmOperands, 8, CVT_95_addImmOperands, 11, CVT_Done },
    1821             :   // Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10
    1822             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_Tied, Tie0_0_8, CVT_95_addImmOperands, 10, CVT_Done },
    1823             :   // Convert__Reg1_0__u32_0Imm1_5__Reg1_8__u6_0Imm1_10
    1824             :   { CVT_95_Reg, 0, CVT_95_addImmOperands, 5, CVT_95_Reg, 8, CVT_95_addImmOperands, 10, CVT_Done },
    1825             :   // Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_11
    1826             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_0, CVT_Tied, Tie1_0_0, CVT_95_Reg, 11, CVT_Done },
    1827             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11
    1828             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_Reg, 11, CVT_Done },
    1829             :   // Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12
    1830             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_Reg, 9, CVT_95_Reg, 12, CVT_Done },
    1831             :   // Convert__Reg1_0__Reg1_7__Reg1_10
    1832             :   { CVT_95_Reg, 0, CVT_95_Reg, 7, CVT_95_Reg, 10, CVT_Done },
    1833             :   // Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_0Imm1_8__Reg1_12
    1834             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_0, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_95_Reg, 12, CVT_Done },
    1835             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8__Reg1_12
    1836             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_95_Reg, 12, CVT_Done },
    1837             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_3Imm1_8__Reg1_12
    1838             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_95_Reg, 12, CVT_Done },
    1839             :   // Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_1Imm1_8__Reg1_12
    1840             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie0_0_0, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_95_Reg, 12, CVT_Done },
    1841             :   // Convert__Reg1_0__Reg1_6__Reg1_9__u3_0Imm1_13
    1842             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_Reg, 9, CVT_95_addImmOperands, 13, CVT_Done },
    1843             :   // Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__Reg1_13
    1844             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 7, CVT_95_Reg, 10, CVT_95_Reg, 13, CVT_Done },
    1845             :   // Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13
    1846             :   { CVT_95_Reg, 0, CVT_95_Reg, 6, CVT_95_Reg, 9, CVT_95_addImmOperands, 13, CVT_Done },
    1847             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_0Imm1_8__Reg1_12
    1848             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_95_Reg, 12, CVT_Done },
    1849             :   // Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8__Reg1_12
    1850             :   { CVT_95_Reg, 0, CVT_95_Reg, 4, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 8, CVT_95_Reg, 12, CVT_Done },
    1851             :   // Convert__Reg1_0__Tie0_0_0__Reg1_8__Reg1_11
    1852             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 8, CVT_95_Reg, 11, CVT_Done },
    1853             :   // Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u3_0Imm1_14
    1854             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 7, CVT_95_Reg, 10, CVT_95_addImmOperands, 14, CVT_Done },
    1855             :   // Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14
    1856             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_0, CVT_95_Reg, 7, CVT_95_Reg, 10, CVT_95_addImmOperands, 14, CVT_Done },
    1857             :   // Convert__Reg1_0__Reg1_8__Reg1_12
    1858             :   { CVT_95_Reg, 0, CVT_95_Reg, 8, CVT_95_Reg, 12, CVT_Done },
    1859             :   // Convert__Reg1_0__Reg1_12__Reg1_6__Reg1_9__Tie1_0_0
    1860             :   { CVT_95_Reg, 0, CVT_95_Reg, 12, CVT_95_Reg, 6, CVT_95_Reg, 9, CVT_Tied, Tie1_0_0, CVT_Done },
    1861             :   // Convert__Reg1_0__Tie0_0_6__Reg1_9__Reg1_12
    1862             :   { CVT_95_Reg, 0, CVT_Tied, Tie0_0_6, CVT_95_Reg, 9, CVT_95_Reg, 12, CVT_Done },
    1863             :   // Convert__imm_95_0
    1864             :   { CVT_imm_95_0, 0, CVT_Done },
    1865             :   // Convert__regR29__Tie0_0_0__u11_3Imm1_3
    1866             :   { CVT_regR29, 0, CVT_Tied, Tie0_0_0, CVT_95_addImmOperands, 3, CVT_Done },
    1867             :   // Convert__Reg1_2__Tie0_0_0__u11_3Imm1_4
    1868             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addImmOperands, 4, CVT_Done },
    1869             :   // Convert_NoOperands
    1870             :   { CVT_Done },
    1871             :   // Convert__a30_2Imm1_1
    1872             :   { CVT_95_addSignedImmOperands, 1, CVT_Done },
    1873             :   // Convert__Reg1_1
    1874             :   { CVT_95_Reg, 1, CVT_Done },
    1875             :   // Convert__Reg1_2
    1876             :   { CVT_95_Reg, 2, CVT_Done },
    1877             :   // Convert__Reg1_2__imm_95_0
    1878             :   { CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
    1879             :   // Convert__Reg1_2__u11_3Imm1_5
    1880             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_Done },
    1881             :   // Convert__regD15__regR30
    1882             :   { CVT_regD15, 0, CVT_regR30, 0, CVT_Done },
    1883             :   // Convert__regD15__Reg1_2__regR30
    1884             :   { CVT_regD15, 0, CVT_95_Reg, 2, CVT_regR30, 0, CVT_Done },
    1885             :   // Convert__regD15__Reg1_3__regR30
    1886             :   { CVT_regD15, 0, CVT_95_Reg, 3, CVT_regR30, 0, CVT_Done },
    1887             :   // Convert__Reg1_2__a30_2Imm1_5
    1888             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_Done },
    1889             :   // Convert__Reg1_2__Reg1_5
    1890             :   { CVT_95_Reg, 2, CVT_95_Reg, 5, CVT_Done },
    1891             :   // Convert__Reg1_2__b30_2Imm1_5
    1892             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_Done },
    1893             :   // Convert__Reg1_3__a30_2Imm1_6
    1894             :   { CVT_95_Reg, 3, CVT_95_addSignedImmOperands, 6, CVT_Done },
    1895             :   // Convert__Reg1_3__Reg1_6
    1896             :   { CVT_95_Reg, 3, CVT_95_Reg, 6, CVT_Done },
    1897             :   // Convert__Reg1_3__b30_2Imm1_6
    1898             :   { CVT_95_Reg, 3, CVT_95_addSignedImmOperands, 6, CVT_Done },
    1899             :   // Convert__Reg1_4__Reg1_2__Reg1_6
    1900             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_Done },
    1901             :   // Convert__Reg1_4__Reg1_2__Reg1_6__imm_95_0
    1902             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_Done },
    1903             :   // Convert__Reg1_5__Reg1_3__Reg1_7
    1904             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_Done },
    1905             :   // Convert__Reg1_5__Reg1_3__Reg1_7__imm_95_0
    1906             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_imm_95_0, 0, CVT_Done },
    1907             :   // Convert__Reg1_2__b30_2Imm1_7
    1908             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1909             :   // Convert__Reg1_2__Reg1_7
    1910             :   { CVT_95_Reg, 2, CVT_95_Reg, 7, CVT_Done },
    1911             :   // Convert__Reg1_4__Reg1_2__s32_0Imm1_7
    1912             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 7, CVT_Done },
    1913             :   // Convert__Reg1_3__b30_2Imm1_8
    1914             :   { CVT_95_Reg, 3, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1915             :   // Convert__Reg1_3__Reg1_8
    1916             :   { CVT_95_Reg, 3, CVT_95_Reg, 8, CVT_Done },
    1917             :   // Convert__Reg1_5__Reg1_3__s32_0Imm1_8
    1918             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_addSignedImmOperands, 8, CVT_Done },
    1919             :   // Convert__Reg1_6__Reg1_2__Reg1_8
    1920             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_Done },
    1921             :   // Convert__Reg1_6__Reg1_2__Reg1_8__imm_95_0
    1922             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_imm_95_0, 0, CVT_Done },
    1923             :   // Convert__Reg1_7__Reg1_3__Reg1_9
    1924             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_Done },
    1925             :   // Convert__Reg1_7__Reg1_3__Reg1_9__imm_95_0
    1926             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_imm_95_0, 0, CVT_Done },
    1927             :   // Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9
    1928             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_95_Reg, 9, CVT_Done },
    1929             :   // Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0
    1930             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_imm_95_0, 0, CVT_Done },
    1931             :   // Convert__Reg1_4__Reg1_2__Reg1_8
    1932             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_Done },
    1933             :   // Convert__Reg1_2__b30_2Imm1_9
    1934             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 9, CVT_Done },
    1935             :   // Convert__Reg1_2__Reg1_9
    1936             :   { CVT_95_Reg, 2, CVT_95_Reg, 9, CVT_Done },
    1937             :   // Convert__Reg1_6__Reg1_2__s32_0Imm1_9
    1938             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 9, CVT_Done },
    1939             :   // Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10
    1940             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_imm_95_0, 0, CVT_95_Reg, 10, CVT_Done },
    1941             :   // Convert__Reg1_5__Reg1_3__Reg1_9__imm_95_0
    1942             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_imm_95_0, 0, CVT_Done },
    1943             :   // Convert__Reg1_5__Reg1_3__Reg1_9
    1944             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_Done },
    1945             :   // Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_9_9__imm_95_0
    1946             :   { CVT_95_Reg, 5, CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie1_9_9, CVT_imm_95_0, 0, CVT_Done },
    1947             :   // Convert__Reg1_3__b30_2Imm1_10
    1948             :   { CVT_95_Reg, 3, CVT_95_addSignedImmOperands, 10, CVT_Done },
    1949             :   // Convert__Reg1_3__Reg1_10
    1950             :   { CVT_95_Reg, 3, CVT_95_Reg, 10, CVT_Done },
    1951             :   // Convert__Reg1_7__Reg1_3__s32_0Imm1_10
    1952             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_addSignedImmOperands, 10, CVT_Done },
    1953             :   // Convert__Reg1_2__u32_0Imm1_7__Reg1_10
    1954             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 7, CVT_95_Reg, 10, CVT_Done },
    1955             :   // Convert__Reg1_2__Reg1_6__imm_95_0__s32_0Imm1_10
    1956             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_95_addSignedImmOperands, 10, CVT_Done },
    1957             :   // Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9
    1958             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_Reg, 9, CVT_Done },
    1959             :   // Convert__Reg1_4__Reg1_2__u32_0Imm1_9
    1960             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_addImmOperands, 9, CVT_Done },
    1961             :   // Convert__Reg1_3__u32_0Imm1_8__Reg1_11
    1962             :   { CVT_95_Reg, 3, CVT_95_addImmOperands, 8, CVT_95_Reg, 11, CVT_Done },
    1963             :   // Convert__Reg1_3__Reg1_7__imm_95_0__s32_0Imm1_11
    1964             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_imm_95_0, 0, CVT_95_addSignedImmOperands, 11, CVT_Done },
    1965             :   // Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10
    1966             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_Reg, 10, CVT_Done },
    1967             :   // Convert__Reg1_5__Reg1_3__u32_0Imm1_10
    1968             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_addImmOperands, 10, CVT_Done },
    1969             :   // Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_11
    1970             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_imm_95_0, 0, CVT_95_Reg, 11, CVT_Done },
    1971             :   // Convert__Reg1_4__Reg1_2__Tie0_0_0__Reg1_9
    1972             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_Reg, 9, CVT_Done },
    1973             :   // Convert__Reg1_4__Reg1_2__Reg1_8__s32_0Imm1_10
    1974             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addSignedImmOperands, 10, CVT_Done },
    1975             :   // Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_10_10__imm_95_0
    1976             :   { CVT_95_Reg, 4, CVT_95_Reg, 10, CVT_95_Reg, 2, CVT_Tied, Tie1_10_10, CVT_imm_95_0, 0, CVT_Done },
    1977             :   // Convert__Reg1_4__Reg1_2__Reg1_10__imm_95_0
    1978             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_imm_95_0, 0, CVT_Done },
    1979             :   // Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11
    1980             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_imm_95_0, 0, CVT_95_Reg, 11, CVT_Done },
    1981             :   // Convert__Reg1_6__Reg1_2__Reg1_10__imm_95_0
    1982             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_imm_95_0, 0, CVT_Done },
    1983             :   // Convert__Reg1_6__Reg1_2__Reg1_10
    1984             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_Done },
    1985             :   // Convert__Reg1_2__b13_2Imm1_11
    1986             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 11, CVT_Done },
    1987             :   // Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_12
    1988             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_imm_95_0, 0, CVT_95_Reg, 12, CVT_Done },
    1989             :   // Convert__Reg1_5__Reg1_3__Tie0_0_0__Reg1_10
    1990             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_Reg, 10, CVT_Done },
    1991             :   // Convert__Reg1_5__Reg1_3__Reg1_9__s32_0Imm1_11
    1992             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addSignedImmOperands, 11, CVT_Done },
    1993             :   // Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_11_11__imm_95_0
    1994             :   { CVT_95_Reg, 5, CVT_95_Reg, 11, CVT_95_Reg, 3, CVT_Tied, Tie1_11_11, CVT_imm_95_0, 0, CVT_Done },
    1995             :   // Convert__Reg1_5__Reg1_3__Reg1_11__imm_95_0
    1996             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_imm_95_0, 0, CVT_Done },
    1997             :   // Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12
    1998             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_imm_95_0, 0, CVT_95_Reg, 12, CVT_Done },
    1999             :   // Convert__Reg1_7__Reg1_3__Reg1_11__imm_95_0
    2000             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_imm_95_0, 0, CVT_Done },
    2001             :   // Convert__Reg1_7__Reg1_3__Reg1_11
    2002             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_Done },
    2003             :   // Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_12
    2004             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addSignedImmOperands, 9, CVT_95_Reg, 12, CVT_Done },
    2005             :   // Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_12
    2006             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_Reg, 9, CVT_95_Reg, 12, CVT_Done },
    2007             :   // Convert__Reg1_2__Reg1_6__u32_0Imm1_9__Reg1_12
    2008             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addImmOperands, 9, CVT_95_Reg, 12, CVT_Done },
    2009             :   // Convert__Reg1_2__Reg1_6__u29_3Imm1_9__Reg1_12
    2010             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addImmOperands, 9, CVT_95_Reg, 12, CVT_Done },
    2011             :   // Convert__Reg1_2__Reg1_6__u31_1Imm1_9__Reg1_12
    2012             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addImmOperands, 9, CVT_95_Reg, 12, CVT_Done },
    2013             :   // Convert__Reg1_2__Reg1_6__u30_2Imm1_9__Reg1_12
    2014             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addImmOperands, 9, CVT_95_Reg, 12, CVT_Done },
    2015             :   // Convert__Reg1_4__Reg1_2__Reg1_8__u29_3Imm1_11
    2016             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_Done },
    2017             :   // Convert__Reg1_4__Reg1_2__Reg1_8__u32_0Imm1_11
    2018             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_Done },
    2019             :   // Convert__Reg1_4__Reg1_2__Reg1_8__u31_1Imm1_11
    2020             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_Done },
    2021             :   // Convert__Reg1_4__Reg1_2__Reg1_8__u30_2Imm1_11
    2022             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_Done },
    2023             :   // Convert__Reg1_4__Reg1_2__Reg1_8__s4_0Imm1_11
    2024             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addSignedImmOperands, 11, CVT_Done },
    2025             :   // Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__Reg1_11
    2026             :   { CVT_95_Reg, 4, CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_Reg, 11, CVT_Done },
    2027             :   // Convert__Reg1_2__u32_0Imm1_9__Reg1_12
    2028             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 9, CVT_95_Reg, 12, CVT_Done },
    2029             :   // Convert__Reg1_2__Reg1_8__imm_95_0__s32_0Imm1_12
    2030             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_imm_95_0, 0, CVT_95_addSignedImmOperands, 12, CVT_Done },
    2031             :   // Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_11
    2032             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_Reg, 11, CVT_Done },
    2033             :   // Convert__Reg1_6__Reg1_2__u32_0Imm1_11
    2034             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_addImmOperands, 11, CVT_Done },
    2035             :   // Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_13
    2036             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addSignedImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2037             :   // Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_13
    2038             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_Reg, 10, CVT_95_Reg, 13, CVT_Done },
    2039             :   // Convert__Reg1_3__Reg1_7__u32_0Imm1_10__Reg1_13
    2040             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2041             :   // Convert__Reg1_3__Reg1_7__u29_3Imm1_10__Reg1_13
    2042             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2043             :   // Convert__Reg1_3__Reg1_7__u31_1Imm1_10__Reg1_13
    2044             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2045             :   // Convert__Reg1_3__Reg1_7__u30_2Imm1_10__Reg1_13
    2046             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2047             :   // Convert__Reg1_5__Reg1_3__Reg1_9__u29_3Imm1_12
    2048             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_Done },
    2049             :   // Convert__Reg1_5__Reg1_3__Reg1_9__u32_0Imm1_12
    2050             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_Done },
    2051             :   // Convert__Reg1_5__Reg1_3__Reg1_9__u31_1Imm1_12
    2052             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_Done },
    2053             :   // Convert__Reg1_5__Reg1_3__Reg1_9__u30_2Imm1_12
    2054             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_Done },
    2055             :   // Convert__Reg1_5__Reg1_3__Reg1_9__s4_0Imm1_12
    2056             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addSignedImmOperands, 12, CVT_Done },
    2057             :   // Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__Reg1_12
    2058             :   { CVT_95_Reg, 5, CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_Reg, 12, CVT_Done },
    2059             :   // Convert__Reg1_3__u32_0Imm1_10__Reg1_13
    2060             :   { CVT_95_Reg, 3, CVT_95_addImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2061             :   // Convert__Reg1_3__Reg1_9__imm_95_0__s32_0Imm1_13
    2062             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_imm_95_0, 0, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2063             :   // Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_12
    2064             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_95_Reg, 12, CVT_Done },
    2065             :   // Convert__Reg1_7__Reg1_3__u32_0Imm1_12
    2066             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_addImmOperands, 12, CVT_Done },
    2067             :   // Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_13
    2068             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2069             :   // Convert__Reg1_6__Reg1_2__Tie0_6_6__Reg1_11
    2070             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_6_6, CVT_95_Reg, 11, CVT_Done },
    2071             :   // Convert__Reg1_2__Reg1_6__u6_0Imm1_9__s32_0Imm1_13
    2072             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addImmOperands, 9, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2073             :   // Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_0Imm1_10__Reg1_13
    2074             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2075             :   // Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_3Imm1_10__Reg1_13
    2076             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2077             :   // Convert__Reg1_2__Reg1_6__u6_1Imm1_9__s32_0Imm1_13
    2078             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addImmOperands, 9, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2079             :   // Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_1Imm1_10__Reg1_13
    2080             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2081             :   // Convert__Reg1_2__Reg1_6__u6_2Imm1_9__s32_0Imm1_13
    2082             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addImmOperands, 9, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2083             :   // Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_2Imm1_10__Reg1_13
    2084             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 10, CVT_95_Reg, 13, CVT_Done },
    2085             :   // Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_3Imm1_12
    2086             :   { CVT_95_Reg, 4, CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 12, CVT_Done },
    2087             :   // Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_0Imm1_12
    2088             :   { CVT_95_Reg, 4, CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 12, CVT_Done },
    2089             :   // Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_1Imm1_12
    2090             :   { CVT_95_Reg, 4, CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 12, CVT_Done },
    2091             :   // Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_2Imm1_12
    2092             :   { CVT_95_Reg, 4, CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 12, CVT_Done },
    2093             :   // Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s3_0Imm1_12
    2094             :   { CVT_95_Reg, 4, CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 12, CVT_Done },
    2095             :   // Convert__Reg1_6__Reg1_2__Reg1_10__s32_0Imm1_12
    2096             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_addSignedImmOperands, 12, CVT_Done },
    2097             :   // Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_14
    2098             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2099             :   // Convert__Reg1_7__Reg1_3__Tie0_7_7__Reg1_12
    2100             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_7_7, CVT_95_Reg, 12, CVT_Done },
    2101             :   // Convert__Reg1_3__Reg1_7__u6_0Imm1_10__s32_0Imm1_14
    2102             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addImmOperands, 10, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2103             :   // Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_0Imm1_11__Reg1_14
    2104             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2105             :   // Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_3Imm1_11__Reg1_14
    2106             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2107             :   // Convert__Reg1_3__Reg1_7__u6_1Imm1_10__s32_0Imm1_14
    2108             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addImmOperands, 10, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2109             :   // Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_1Imm1_11__Reg1_14
    2110             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2111             :   // Convert__Reg1_3__Reg1_7__u6_2Imm1_10__s32_0Imm1_14
    2112             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addImmOperands, 10, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2113             :   // Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_2Imm1_11__Reg1_14
    2114             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2115             :   // Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_3Imm1_13
    2116             :   { CVT_95_Reg, 5, CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2117             :   // Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_0Imm1_13
    2118             :   { CVT_95_Reg, 5, CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2119             :   // Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_1Imm1_13
    2120             :   { CVT_95_Reg, 5, CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2121             :   // Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_2Imm1_13
    2122             :   { CVT_95_Reg, 5, CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2123             :   // Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s3_0Imm1_13
    2124             :   { CVT_95_Reg, 5, CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2125             :   // Convert__Reg1_7__Reg1_3__Reg1_11__s32_0Imm1_13
    2126             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2127             :   // Convert__Reg1_4__b30_2Imm1_14
    2128             :   { CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2129             :   // Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_14
    2130             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_addSignedImmOperands, 9, CVT_95_Reg, 14, CVT_Done },
    2131             :   // Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_14
    2132             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_Reg, 9, CVT_95_Reg, 14, CVT_Done },
    2133             :   // Convert__Reg1_4__Reg1_2__Reg1_10__s4_0Imm1_13
    2134             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_addSignedImmOperands, 13, CVT_Done },
    2135             :   // Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__Reg1_13
    2136             :   { CVT_95_Reg, 4, CVT_95_Reg, 10, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_Reg, 13, CVT_Done },
    2137             :   // Convert__Reg1_2__Reg1_8__u32_0Imm1_11__Reg1_14
    2138             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2139             :   // Convert__Reg1_2__Reg1_8__u29_3Imm1_11__Reg1_14
    2140             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2141             :   // Convert__Reg1_2__Reg1_8__u31_1Imm1_11__Reg1_14
    2142             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2143             :   // Convert__Reg1_2__Reg1_8__u30_2Imm1_11__Reg1_14
    2144             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_95_Reg, 14, CVT_Done },
    2145             :   // Convert__Reg1_6__Reg1_2__Reg1_10__u29_3Imm1_13
    2146             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_addImmOperands, 13, CVT_Done },
    2147             :   // Convert__Reg1_6__Reg1_2__Reg1_10__u32_0Imm1_13
    2148             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_addImmOperands, 13, CVT_Done },
    2149             :   // Convert__Reg1_6__Reg1_2__Reg1_10__u31_1Imm1_13
    2150             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_addImmOperands, 13, CVT_Done },
    2151             :   // Convert__Reg1_6__Reg1_2__Reg1_10__u30_2Imm1_13
    2152             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_addImmOperands, 13, CVT_Done },
    2153             :   // Convert__Reg1_5__b30_2Imm1_15
    2154             :   { CVT_95_Reg, 5, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2155             :   // Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_15
    2156             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_addSignedImmOperands, 10, CVT_95_Reg, 15, CVT_Done },
    2157             :   // Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_15
    2158             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_Reg, 10, CVT_95_Reg, 15, CVT_Done },
    2159             :   // Convert__Reg1_5__Reg1_3__Reg1_11__s4_0Imm1_14
    2160             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2161             :   // Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__Reg1_14
    2162             :   { CVT_95_Reg, 5, CVT_95_Reg, 11, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_Reg, 14, CVT_Done },
    2163             :   // Convert__Reg1_3__Reg1_9__u32_0Imm1_12__Reg1_15
    2164             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2165             :   // Convert__Reg1_3__Reg1_9__u29_3Imm1_12__Reg1_15
    2166             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2167             :   // Convert__Reg1_3__Reg1_9__u31_1Imm1_12__Reg1_15
    2168             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2169             :   // Convert__Reg1_3__Reg1_9__u30_2Imm1_12__Reg1_15
    2170             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2171             :   // Convert__Reg1_7__Reg1_3__Reg1_11__u29_3Imm1_14
    2172             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_95_addImmOperands, 14, CVT_Done },
    2173             :   // Convert__Reg1_7__Reg1_3__Reg1_11__u32_0Imm1_14
    2174             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_95_addImmOperands, 14, CVT_Done },
    2175             :   // Convert__Reg1_7__Reg1_3__Reg1_11__u31_1Imm1_14
    2176             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_95_addImmOperands, 14, CVT_Done },
    2177             :   // Convert__Reg1_7__Reg1_3__Reg1_11__u30_2Imm1_14
    2178             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_95_addImmOperands, 14, CVT_Done },
    2179             :   // Convert__Reg1_6__Reg1_9__b30_2Imm1_15
    2180             :   { CVT_95_Reg, 6, CVT_95_Reg, 9, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2181             :   // Convert__Reg1_6__Reg1_7__b30_2Imm1_15
    2182             :   { CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2183             :   // Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_15
    2184             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 10, CVT_95_Reg, 15, CVT_Done },
    2185             :   // Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_15
    2186             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_Reg, 8, CVT_95_Reg, 15, CVT_Done },
    2187             :   // Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_13
    2188             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_Reg, 8, CVT_95_Reg, 13, CVT_Done },
    2189             :   // Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15
    2190             :   { CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_95_Reg, 8, CVT_95_addImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2191             :   // Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14
    2192             :   { CVT_95_Reg, 4, CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_Reg, 10, CVT_95_addImmOperands, 14, CVT_Done },
    2193             :   // Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__s3_0Imm1_14
    2194             :   { CVT_95_Reg, 4, CVT_95_Reg, 10, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2195             :   // Convert__Reg1_2__Reg1_8__u6_0Imm1_11__s32_0Imm1_15
    2196             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2197             :   // Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_0Imm1_12__Reg1_15
    2198             :   { CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2199             :   // Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_3Imm1_12__Reg1_15
    2200             :   { CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2201             :   // Convert__Reg1_2__Reg1_8__u6_1Imm1_11__s32_0Imm1_15
    2202             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2203             :   // Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_1Imm1_12__Reg1_15
    2204             :   { CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2205             :   // Convert__Reg1_2__Reg1_8__u6_2Imm1_11__s32_0Imm1_15
    2206             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_addImmOperands, 11, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2207             :   // Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_2Imm1_12__Reg1_15
    2208             :   { CVT_95_Reg, 8, CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 12, CVT_95_Reg, 15, CVT_Done },
    2209             :   // Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_3Imm1_14
    2210             :   { CVT_95_Reg, 6, CVT_95_Reg, 10, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2211             :   // Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_0Imm1_14
    2212             :   { CVT_95_Reg, 6, CVT_95_Reg, 10, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2213             :   // Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_1Imm1_14
    2214             :   { CVT_95_Reg, 6, CVT_95_Reg, 10, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2215             :   // Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_2Imm1_14
    2216             :   { CVT_95_Reg, 6, CVT_95_Reg, 10, CVT_95_Reg, 2, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 14, CVT_Done },
    2217             :   // Convert__Reg1_7__Reg1_10__b30_2Imm1_16
    2218             :   { CVT_95_Reg, 7, CVT_95_Reg, 10, CVT_95_addSignedImmOperands, 16, CVT_Done },
    2219             :   // Convert__Reg1_7__Reg1_8__b30_2Imm1_16
    2220             :   { CVT_95_Reg, 7, CVT_95_Reg, 8, CVT_95_addSignedImmOperands, 16, CVT_Done },
    2221             :   // Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_16
    2222             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 11, CVT_95_Reg, 16, CVT_Done },
    2223             :   // Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16
    2224             :   { CVT_95_Reg, 3, CVT_95_Reg, 7, CVT_95_Reg, 9, CVT_95_addImmOperands, 13, CVT_95_Reg, 16, CVT_Done },
    2225             :   // Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15
    2226             :   { CVT_95_Reg, 5, CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_Reg, 11, CVT_95_addImmOperands, 15, CVT_Done },
    2227             :   // Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__s3_0Imm1_15
    2228             :   { CVT_95_Reg, 5, CVT_95_Reg, 11, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2229             :   // Convert__Reg1_3__Reg1_9__u6_0Imm1_12__s32_0Imm1_16
    2230             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_95_addSignedImmOperands, 16, CVT_Done },
    2231             :   // Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_0Imm1_13__Reg1_16
    2232             :   { CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 13, CVT_95_Reg, 16, CVT_Done },
    2233             :   // Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_3Imm1_13__Reg1_16
    2234             :   { CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 13, CVT_95_Reg, 16, CVT_Done },
    2235             :   // Convert__Reg1_3__Reg1_9__u6_1Imm1_12__s32_0Imm1_16
    2236             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_95_addSignedImmOperands, 16, CVT_Done },
    2237             :   // Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_1Imm1_13__Reg1_16
    2238             :   { CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 13, CVT_95_Reg, 16, CVT_Done },
    2239             :   // Convert__Reg1_3__Reg1_9__u6_2Imm1_12__s32_0Imm1_16
    2240             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_addImmOperands, 12, CVT_95_addSignedImmOperands, 16, CVT_Done },
    2241             :   // Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_2Imm1_13__Reg1_16
    2242             :   { CVT_95_Reg, 9, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 13, CVT_95_Reg, 16, CVT_Done },
    2243             :   // Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_3Imm1_15
    2244             :   { CVT_95_Reg, 7, CVT_95_Reg, 11, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2245             :   // Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_0Imm1_15
    2246             :   { CVT_95_Reg, 7, CVT_95_Reg, 11, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2247             :   // Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_1Imm1_15
    2248             :   { CVT_95_Reg, 7, CVT_95_Reg, 11, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2249             :   // Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_2Imm1_15
    2250             :   { CVT_95_Reg, 7, CVT_95_Reg, 11, CVT_95_Reg, 3, CVT_Tied, Tie1_0_0, CVT_95_addSignedImmOperands, 15, CVT_Done },
    2251             :   // Convert__Reg1_6__n1Const1_10__b30_2Imm1_16
    2252             :   { CVT_95_Reg, 6, CVT_95_addn1ConstOperands, 10, CVT_95_addSignedImmOperands, 16, CVT_Done },
    2253             :   // Convert__Reg1_6__u5_0Imm1_10__b30_2Imm1_16
    2254             :   { CVT_95_Reg, 6, CVT_95_addImmOperands, 10, CVT_95_addSignedImmOperands, 16, CVT_Done },
    2255             :   // Convert__Reg1_7__n1Const1_11__b30_2Imm1_17
    2256             :   { CVT_95_Reg, 7, CVT_95_addn1ConstOperands, 11, CVT_95_addSignedImmOperands, 17, CVT_Done },
    2257             :   // Convert__Reg1_7__u5_0Imm1_11__b30_2Imm1_17
    2258             :   { CVT_95_Reg, 7, CVT_95_addImmOperands, 11, CVT_95_addSignedImmOperands, 17, CVT_Done },
    2259             :   // Convert__Reg1_2__Reg1_10__Reg1_11__Reg1_12
    2260             :   { CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_Reg, 11, CVT_95_Reg, 12, CVT_Done },
    2261             :   // Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17
    2262             :   { CVT_95_Reg, 2, CVT_95_Reg, 8, CVT_95_Reg, 10, CVT_95_addImmOperands, 14, CVT_95_Reg, 17, CVT_Done },
    2263             :   // Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_12__u2_0Imm1_16
    2264             :   { CVT_95_Reg, 6, CVT_95_Reg, 2, CVT_95_Reg, 10, CVT_95_Reg, 12, CVT_95_addImmOperands, 16, CVT_Done },
    2265             :   // Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18
    2266             :   { CVT_95_Reg, 3, CVT_95_Reg, 9, CVT_95_Reg, 11, CVT_95_addImmOperands, 15, CVT_95_Reg, 18, CVT_Done },
    2267             :   // Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_13__u2_0Imm1_17
    2268             :   { CVT_95_Reg, 7, CVT_95_Reg, 3, CVT_95_Reg, 11, CVT_95_Reg, 13, CVT_95_addImmOperands, 17, CVT_Done },
    2269             :   // Convert__u26_6Imm1_3
    2270             :   { CVT_95_addImmOperands, 3, CVT_Done },
    2271             :   // Convert__b30_2Imm1_1
    2272             :   { CVT_95_addSignedImmOperands, 1, CVT_Done },
    2273             :   // Convert__Reg1_2__Reg1_3
    2274             :   { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
    2275             :   // Convert__b30_2Imm1_2__Reg1_3
    2276             :   { CVT_95_addSignedImmOperands, 2, CVT_95_Reg, 3, CVT_Done },
    2277             :   // Convert__b30_2Imm1_2__u10_0Imm1_4
    2278             :   { CVT_95_addSignedImmOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
    2279             :   // Convert__Reg1_2__imm_95_0__Reg1_5
    2280             :   { CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_95_Reg, 5, CVT_Done },
    2281             :   // Convert__u32_0Imm1_3__Reg1_6
    2282             :   { CVT_95_addImmOperands, 3, CVT_95_Reg, 6, CVT_Done },
    2283             :   // Convert__Reg1_2__imm_95_0__Reg1_6
    2284             :   { CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_95_Reg, 6, CVT_Done },
    2285             :   // Convert__Reg1_2__imm_95_0__s32_0Imm1_6
    2286             :   { CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_95_addSignedImmOperands, 6, CVT_Done },
    2287             :   // Convert__Reg1_2__imm_95_0__u5_0Imm1_7
    2288             :   { CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_95_addImmOperands, 7, CVT_Done },
    2289             :   // Convert__u32_0Imm1_5__Reg1_8
    2290             :   { CVT_95_addImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2291             :   // Convert__Reg1_2__s32_0Imm1_5__Reg1_8
    2292             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2293             :   // Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8
    2294             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 8, CVT_Done },
    2295             :   // Convert__Reg1_2__u32_0Imm1_5__Reg1_8
    2296             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2297             :   // Convert__Reg1_2__imm_95_0__u5_0Imm1_8
    2298             :   { CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_95_addImmOperands, 8, CVT_Done },
    2299             :   // Convert__Reg1_2__u32_0Imm1_5__Reg1_9
    2300             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_Reg, 9, CVT_Done },
    2301             :   // Convert__Reg1_2__u6_0Imm1_5__s32_0Imm1_9
    2302             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addSignedImmOperands, 9, CVT_Done },
    2303             :   // Convert__Reg1_2__Tie0_0_0__s4_0Imm1_6__Reg1_9
    2304             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 9, CVT_Done },
    2305             :   // Convert__Reg1_2__u32_0Imm1_5__u5_0Imm1_10
    2306             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 10, CVT_Done },
    2307             :   // Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10
    2308             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_95_Reg, 10, CVT_Done },
    2309             :   // Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11
    2310             :   { CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addImmOperands, 8, CVT_95_Reg, 11, CVT_Done },
    2311             :   // Convert__Reg1_2__u32_0Imm1_5__u5_0Imm1_11
    2312             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 11, CVT_Done },
    2313             :   // Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12
    2314             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 6, CVT_95_addImmOperands, 9, CVT_95_Reg, 12, CVT_Done },
    2315             :   // Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13
    2316             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_Reg, 9, CVT_95_Reg, 13, CVT_Done },
    2317             :   // Convert__Reg1_2__Tie0_0_0__s4_0Imm1_6__Reg1_10__Reg1_14
    2318             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 10, CVT_95_Reg, 14, CVT_Done },
    2319             :   // Convert__u29_3Imm1_3__Reg1_6
    2320             :   { CVT_95_addImmOperands, 3, CVT_95_Reg, 6, CVT_Done },
    2321             :   // Convert__u29_3Imm1_5__Reg1_8
    2322             :   { CVT_95_addImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2323             :   // Convert__Reg1_2__s29_3Imm1_5__Reg1_8
    2324             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2325             :   // Convert__Reg1_2__Tie0_0_0__s4_3Imm1_6__Reg1_9
    2326             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 9, CVT_Done },
    2327             :   // Convert__Reg1_2__Tie0_0_0__s4_3Imm1_6__Reg1_10__Reg1_14
    2328             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 10, CVT_95_Reg, 14, CVT_Done },
    2329             :   // Convert__Reg1_3__Reg1_2__Reg1_6
    2330             :   { CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_95_Reg, 6, CVT_Done },
    2331             :   // Convert__u31_1Imm1_3__Reg1_6
    2332             :   { CVT_95_addImmOperands, 3, CVT_95_Reg, 6, CVT_Done },
    2333             :   // Convert__u31_1Imm1_5__Reg1_8
    2334             :   { CVT_95_addImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2335             :   // Convert__Reg1_2__s31_1Imm1_5__Reg1_8
    2336             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2337             :   // Convert__Reg1_2__u31_1Imm1_5__Reg1_9
    2338             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_Reg, 9, CVT_Done },
    2339             :   // Convert__Reg1_2__u6_1Imm1_5__s32_0Imm1_9
    2340             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addSignedImmOperands, 9, CVT_Done },
    2341             :   // Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_9
    2342             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 9, CVT_Done },
    2343             :   // Convert__Reg1_2__u31_1Imm1_5__u5_0Imm1_10
    2344             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 10, CVT_Done },
    2345             :   // Convert__Reg1_2__u31_1Imm1_5__u5_0Imm1_11
    2346             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 11, CVT_Done },
    2347             :   // Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_10__Reg1_14
    2348             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 10, CVT_95_Reg, 14, CVT_Done },
    2349             :   // Convert__u30_2Imm1_3__Reg1_6
    2350             :   { CVT_95_addImmOperands, 3, CVT_95_Reg, 6, CVT_Done },
    2351             :   // Convert__u30_2Imm1_5__Reg1_8
    2352             :   { CVT_95_addImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2353             :   // Convert__Reg1_2__s30_2Imm1_5__Reg1_8
    2354             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2355             :   // Convert__Reg1_2__u30_2Imm1_5__Reg1_9
    2356             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_Reg, 9, CVT_Done },
    2357             :   // Convert__Reg1_2__u6_2Imm1_5__s32_0Imm1_9
    2358             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addSignedImmOperands, 9, CVT_Done },
    2359             :   // Convert__Reg1_2__Tie0_0_0__s4_2Imm1_6__Reg1_9
    2360             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 9, CVT_Done },
    2361             :   // Convert__Reg1_2__u30_2Imm1_5__u5_0Imm1_10
    2362             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 10, CVT_Done },
    2363             :   // Convert__Reg1_2__u30_2Imm1_5__u5_0Imm1_11
    2364             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 11, CVT_Done },
    2365             :   // Convert__Reg1_2__Tie0_0_0__s4_2Imm1_6__Reg1_10__Reg1_14
    2366             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 10, CVT_95_Reg, 14, CVT_Done },
    2367             :   // Convert__Reg1_4__b30_2Imm1_18
    2368             :   { CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 18, CVT_Done },
    2369             :   // Convert__Reg1_6__Reg1_7__b30_2Imm1_19
    2370             :   { CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addSignedImmOperands, 19, CVT_Done },
    2371             :   // Convert__Reg1_4__b30_2Imm1_19
    2372             :   { CVT_95_Reg, 4, CVT_95_addSignedImmOperands, 19, CVT_Done },
    2373             :   // Convert__Reg1_6__n1Const1_8__b30_2Imm1_20
    2374             :   { CVT_95_Reg, 6, CVT_95_addn1ConstOperands, 8, CVT_95_addSignedImmOperands, 20, CVT_Done },
    2375             :   // Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20
    2376             :   { CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_95_addSignedImmOperands, 20, CVT_Done },
    2377             :   // Convert__Reg1_6__Reg1_7__b30_2Imm1_20
    2378             :   { CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_addSignedImmOperands, 20, CVT_Done },
    2379             :   // Convert__Reg1_6__n1Const1_8__b30_2Imm1_21
    2380             :   { CVT_95_Reg, 6, CVT_95_addn1ConstOperands, 8, CVT_95_addSignedImmOperands, 21, CVT_Done },
    2381             :   // Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21
    2382             :   { CVT_95_Reg, 6, CVT_95_addImmOperands, 8, CVT_95_addSignedImmOperands, 21, CVT_Done },
    2383             :   // Convert__b30_2Imm1_4__Reg1_5
    2384             :   { CVT_95_addSignedImmOperands, 4, CVT_95_Reg, 5, CVT_Done },
    2385             :   // Convert__b30_2Imm1_4__u10_0Imm1_6
    2386             :   { CVT_95_addSignedImmOperands, 4, CVT_95_addImmOperands, 6, CVT_Done },
    2387             :   // Convert__u8_0Imm1_3
    2388             :   { CVT_95_addImmOperands, 3, CVT_Done },
    2389             :   // Convert__regR0__Tie0_0_0__u8_0Imm1_3
    2390             :   { CVT_regR0, 0, CVT_Tied, Tie0_0_0, CVT_95_addImmOperands, 3, CVT_Done },
    2391             :   // Convert__Reg1_2__Tie0_0_0__u8_0Imm1_4
    2392             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addImmOperands, 4, CVT_Done },
    2393             :   // Convert__Reg1_2__Reg1_3__Tie0_0_0__Tie1_0_0__Reg1_4
    2394             :   { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Tied, Tie0_0_0, CVT_Tied, Tie1_0_0, CVT_95_Reg, 4, CVT_Done },
    2395             :   // Convert__Reg1_2__imm_95_0__Reg1_7
    2396             :   { CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_95_Reg, 7, CVT_Done },
    2397             :   // Convert__Reg1_2__s4_0Imm1_5
    2398             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_Done },
    2399             :   // Convert__Reg1_2__s4_0Imm1_5__Reg1_8
    2400             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_95_Reg, 8, CVT_Done },
    2401             :   // Convert__Reg1_2__Tie0_0_0__Reg1_5
    2402             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_Reg, 5, CVT_Done },
    2403             :   // Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6
    2404             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_Done },
    2405             :   // Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_9
    2406             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 9, CVT_Done },
    2407             :   // Convert__Reg1_2__s4_0Imm1_5__Reg1_10
    2408             :   { CVT_95_Reg, 2, CVT_95_addSignedImmOperands, 5, CVT_95_Reg, 10, CVT_Done },
    2409             :   // Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_11
    2410             :   { CVT_95_Reg, 2, CVT_Tied, Tie0_0_0, CVT_95_addSignedImmOperands, 6, CVT_95_Reg, 11, CVT_Done },
    2411             :   // Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_11
    2412             :   { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_Reg, 11, CVT_Done },
    2413             :   // Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_9
    2414             :   { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_Reg, 9, CVT_Done },
    2415             :   // Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_10
    2416             :   { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_Reg, 10, CVT_Done },
    2417             :   // Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_12
    2418             :   { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_Reg, 12, CVT_Done },
    2419             :   // Convert__Reg1_6__Reg1_7__Reg1_8
    2420             :   { CVT_95_Reg, 6, CVT_95_Reg, 7, CVT_95_Reg, 8, CVT_Done },
    2421             :   // Convert__Reg1_2__Reg1_3__Tie0_2_2__Tie1_3_3__Reg1_4
    2422             :   { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Tied, Tie0_2_2, CVT_Tied, Tie1_3_3, CVT_95_Reg, 4, CVT_Done },
    2423             :   // Convert__u1_0Imm1_3
    2424             :   { CVT_95_addImmOperands, 3, CVT_Done },
    2425             :   // Convert__Reg1_2__u1_0Imm1_4
    2426             :   { CVT_95_Reg, 2, CVT_95_addImmOperands, 4, CVT_Done },
    2427             : };
    2428             : 
    2429           0 : void HexagonAsmParser::
    2430             : convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
    2431             :                 const OperandVector &Operands) {
    2432             :   assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
    2433           0 :   const uint8_t *Converter = ConversionTable[Kind];
    2434             :   unsigned OpIdx;
    2435             :   Inst.setOpcode(Opcode);
    2436           0 :   for (const uint8_t *p = Converter; *p; p+= 2) {
    2437           0 :     OpIdx = *(p + 1);
    2438           0 :     switch (*p) {
    2439           0 :     default: llvm_unreachable("invalid conversion entry!");
    2440           0 :     case CVT_Reg:
    2441           0 :       static_cast<HexagonOperand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
    2442             :       break;
    2443           0 :     case CVT_Tied: {
    2444             :       assert(OpIdx < (size_t)(std::end(TiedAsmOperandTable) -
    2445             :                           std::begin(TiedAsmOperandTable)) &&
    2446             :              "Tied operand not found");
    2447           0 :       unsigned TiedResOpnd = TiedAsmOperandTable[OpIdx][0];
    2448           0 :       if (TiedResOpnd != (uint8_t) -1)
    2449             :         Inst.addOperand(Inst.getOperand(TiedResOpnd));
    2450             :       break;
    2451             :     }
    2452           0 :     case CVT_95_Reg:
    2453           0 :       static_cast<HexagonOperand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
    2454             :       break;
    2455           0 :     case CVT_95_addSignedImmOperands:
    2456           0 :       static_cast<HexagonOperand&>(*Operands[OpIdx]).addSignedImmOperands(Inst, 1);
    2457           0 :       break;
    2458           0 :     case CVT_95_addImmOperands:
    2459           0 :       static_cast<HexagonOperand&>(*Operands[OpIdx]).addImmOperands(Inst, 1);
    2460             :       break;
    2461             :     case CVT_regW15:
    2462           0 :       Inst.addOperand(MCOperand::createReg(Hexagon::W15));
    2463           0 :       break;
    2464             :     case CVT_imm_95_0:
    2465           0 :       Inst.addOperand(MCOperand::createImm(0));
    2466           0 :       break;
    2467             :     case CVT_imm_95__MINUS_1:
    2468           0 :       Inst.addOperand(MCOperand::createImm(-1));
    2469           0 :       break;
    2470             :     case CVT_imm_95_255:
    2471           0 :       Inst.addOperand(MCOperand::createImm(255));
    2472           0 :       break;
    2473             :     case CVT_regR29:
    2474           0 :       Inst.addOperand(MCOperand::createReg(Hexagon::R29));
    2475           0 :       break;
    2476             :     case CVT_regD15:
    2477           0 :       Inst.addOperand(MCOperand::createReg(Hexagon::D15));
    2478           0 :       break;
    2479             :     case CVT_regR30:
    2480           0 :       Inst.addOperand(MCOperand::createReg(Hexagon::R30));
    2481           0 :       break;
    2482           0 :     case CVT_95_addn1ConstOperands:
    2483           0 :       static_cast<HexagonOperand&>(*Operands[OpIdx]).addn1ConstOperands(Inst, 1);
    2484             :       break;
    2485             :     case CVT_regR0:
    2486           0 :       Inst.addOperand(MCOperand::createReg(Hexagon::R0));
    2487           0 :       break;
    2488             :     }
    2489             :   }
    2490           0 : }
    2491             : 
    2492           0 : void HexagonAsmParser::
    2493             : convertToMapAndConstraints(unsigned Kind,
    2494             :                            const OperandVector &Operands) {
    2495             :   assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
    2496             :   unsigned NumMCOperands = 0;
    2497           0 :   const uint8_t *Converter = ConversionTable[Kind];
    2498           0 :   for (const uint8_t *p = Converter; *p; p+= 2) {
    2499           0 :     switch (*p) {
    2500           0 :     default: llvm_unreachable("invalid conversion entry!");
    2501           0 :     case CVT_Reg:
    2502           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2503           0 :       Operands[*(p + 1)]->setConstraint("r");
    2504           0 :       ++NumMCOperands;
    2505           0 :       break;
    2506           0 :     case CVT_Tied:
    2507           0 :       ++NumMCOperands;
    2508           0 :       break;
    2509           0 :     case CVT_95_Reg:
    2510           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2511           0 :       Operands[*(p + 1)]->setConstraint("r");
    2512           0 :       NumMCOperands += 1;
    2513           0 :       break;
    2514           0 :     case CVT_95_addSignedImmOperands:
    2515           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2516           0 :       Operands[*(p + 1)]->setConstraint("m");
    2517           0 :       NumMCOperands += 1;
    2518           0 :       break;
    2519           0 :     case CVT_95_addImmOperands:
    2520           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2521           0 :       Operands[*(p + 1)]->setConstraint("m");
    2522           0 :       NumMCOperands += 1;
    2523           0 :       break;
    2524           0 :     case CVT_regW15:
    2525           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2526           0 :       Operands[*(p + 1)]->setConstraint("m");
    2527           0 :       ++NumMCOperands;
    2528           0 :       break;
    2529           0 :     case CVT_imm_95_0:
    2530           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2531           0 :       Operands[*(p + 1)]->setConstraint("");
    2532           0 :       ++NumMCOperands;
    2533           0 :       break;
    2534           0 :     case CVT_imm_95__MINUS_1:
    2535           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2536           0 :       Operands[*(p + 1)]->setConstraint("");
    2537           0 :       ++NumMCOperands;
    2538           0 :       break;
    2539           0 :     case CVT_imm_95_255:
    2540           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2541           0 :       Operands[*(p + 1)]->setConstraint("");
    2542           0 :       ++NumMCOperands;
    2543           0 :       break;
    2544           0 :     case CVT_regR29:
    2545           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2546           0 :       Operands[*(p + 1)]->setConstraint("m");
    2547           0 :       ++NumMCOperands;
    2548           0 :       break;
    2549           0 :     case CVT_regD15:
    2550           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2551           0 :       Operands[*(p + 1)]->setConstraint("m");
    2552           0 :       ++NumMCOperands;
    2553           0 :       break;
    2554           0 :     case CVT_regR30:
    2555           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2556           0 :       Operands[*(p + 1)]->setConstraint("m");
    2557           0 :       ++NumMCOperands;
    2558           0 :       break;
    2559           0 :     case CVT_95_addn1ConstOperands:
    2560           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2561           0 :       Operands[*(p + 1)]->setConstraint("m");
    2562           0 :       NumMCOperands += 1;
    2563           0 :       break;
    2564           0 :     case CVT_regR0:
    2565           0 :       Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
    2566           0 :       Operands[*(p + 1)]->setConstraint("m");
    2567           0 :       ++NumMCOperands;
    2568           0 :       break;
    2569             :     }
    2570             :   }
    2571           0 : }
    2572             : 
    2573             : namespace {
    2574             : 
    2575             : /// MatchClassKind - The kinds of classes which participate in
    2576             : /// instruction matching.
    2577             : enum MatchClassKind {
    2578             :   InvalidMatchClass = 0,
    2579             :   OptionalMatchClass = 1,
    2580             :   MCK__EXCLAIM_, // '!'
    2581             :   MCK__35_, // '#'
    2582             :   MCK__38_, // '&'
    2583             :   MCK__40_, // '('
    2584             :   MCK__41_, // ')'
    2585             :   MCK__STAR_, // '*'
    2586             :   MCK__43_, // '+'
    2587             :   MCK__MINUS_, // '-'
    2588             :   MCK__DOT_, // '.'
    2589             :   MCK_0, // '0'
    2590             :   MCK_1, // '1'
    2591             :   MCK_16, // '16'
    2592             :   MCK__COLON_, // ':'
    2593             :   MCK__59_, // ';'
    2594             :   MCK__LT_, // '<'
    2595             :   MCK__61_, // '='
    2596             :   MCK__GT_, // '>'
    2597             :   MCK_CONST32, // 'CONST32'
    2598             :   MCK_CONST64, // 'CONST64'
    2599             :   MCK_DUPLEX, // 'DUPLEX'
    2600             :   MCK_I, // 'I'
    2601             :   MCK__94_, // '^'
    2602             :   MCK_abs, // 'abs'
    2603             :   MCK_add, // 'add'
    2604             :   MCK_addasl, // 'addasl'
    2605             :   MCK_all8, // 'all8'
    2606             :   MCK_allocframe, // 'allocframe'
    2607             :   MCK_and, // 'and'
    2608             :   MCK_any8, // 'any8'
    2609             :   MCK_asl, // 'asl'
    2610             :   MCK_aslh, // 'aslh'
    2611             :   MCK_asr, // 'asr'
    2612             :   MCK_asrh, // 'asrh'
    2613             :   MCK_asrrnd, // 'asrrnd'
    2614             :   MCK_b, // 'b'
    2615             :   MCK_barrier, // 'barrier'
    2616             :   MCK_bitsclr, // 'bitsclr'
    2617             :   MCK_bitsplit, // 'bitsplit'
    2618             :   MCK_bitsset, // 'bitsset'
    2619             :   MCK_boundscheck, // 'boundscheck'
    2620             :   MCK_brev, // 'brev'
    2621             :   MCK_brkpt, // 'brkpt'
    2622             :   MCK_call, // 'call'
    2623             :   MCK_callr, // 'callr'
    2624             :   MCK_carry, // 'carry'
    2625             :   MCK_chop, // 'chop'
    2626             :   MCK_circ, // 'circ'
    2627             :   MCK_cl0, // 'cl0'
    2628             :   MCK_cl1, // 'cl1'
    2629             :   MCK_clb, // 'clb'
    2630             :   MCK_clrbit, // 'clrbit'
    2631             :   MCK_cmp, // 'cmp'
    2632             :   MCK_cmpb, // 'cmpb'
    2633             :   MCK_cmph, // 'cmph'
    2634             :   MCK_cmpy, // 'cmpy'
    2635             :   MCK_cmpyi, // 'cmpyi'
    2636             :   MCK_cmpyiwh, // 'cmpyiwh'
    2637             :   MCK_cmpyr, // 'cmpyr'
    2638             :   MCK_cmpyrwh, // 'cmpyrwh'
    2639             :   MCK_combine, // 'combine'
    2640             :   MCK_convert_95_d2df, // 'convert_d2df'
    2641             :   MCK_convert_95_d2sf, // 'convert_d2sf'
    2642             :   MCK_convert_95_df2d, // 'convert_df2d'
    2643             :   MCK_convert_95_df2sf, // 'convert_df2sf'
    2644             :   MCK_convert_95_df2ud, // 'convert_df2ud'
    2645             :   MCK_convert_95_df2uw, // 'convert_df2uw'
    2646             :   MCK_convert_95_df2w, // 'convert_df2w'
    2647             :   MCK_convert_95_sf2d, // 'convert_sf2d'
    2648             :   MCK_convert_95_sf2df, // 'convert_sf2df'
    2649             :   MCK_convert_95_sf2ud, // 'convert_sf2ud'
    2650             :   MCK_convert_95_sf2uw, // 'convert_sf2uw'
    2651             :   MCK_convert_95_sf2w, // 'convert_sf2w'
    2652             :   MCK_convert_95_ud2df, // 'convert_ud2df'
    2653             :   MCK_convert_95_ud2sf, // 'convert_ud2sf'
    2654             :   MCK_convert_95_uw2df, // 'convert_uw2df'
    2655             :   MCK_convert_95_uw2sf, // 'convert_uw2sf'
    2656             :   MCK_convert_95_w2df, // 'convert_w2df'
    2657             :   MCK_convert_95_w2sf, // 'convert_w2sf'
    2658             :   MCK_crnd, // 'crnd'
    2659             :   MCK_cround, // 'cround'
    2660             :   MCK_ct0, // 'ct0'
    2661             :   MCK_ct1, // 'ct1'
    2662             :   MCK_cur, // 'cur'
    2663             :   MCK_dccleana, // 'dccleana'
    2664             :   MCK_dccleaninva, // 'dccleaninva'
    2665             :   MCK_dcfetch, // 'dcfetch'
    2666             :   MCK_dcinva, // 'dcinva'
    2667             :   MCK_dczeroa, // 'dczeroa'
    2668             :   MCK_dealloc_95_return, // 'dealloc_return'
    2669             :   MCK_deallocframe, // 'deallocframe'
    2670             :   MCK_decbin, // 'decbin'
    2671             :   MCK_deinterleave, // 'deinterleave'
    2672             :   MCK_deprecated, // 'deprecated'
    2673             :   MCK_dfclass, // 'dfclass'
    2674             :   MCK_dfcmp, // 'dfcmp'
    2675             :   MCK_dfmake, // 'dfmake'
    2676             :   MCK_endloop0, // 'endloop0'
    2677             :   MCK_endloop01, // 'endloop01'
    2678             :   MCK_endloop1, // 'endloop1'
    2679             :   MCK_eq, // 'eq'
    2680             :   MCK_extract, // 'extract'
    2681             :   MCK_extractu, // 'extractu'
    2682             :   MCK_fastcorner9, // 'fastcorner9'
    2683             :   MCK_ge, // 'ge'
    2684             :   MCK_geu, // 'geu'
    2685             :   MCK_gt, // 'gt'
    2686             :   MCK_gtu, // 'gtu'
    2687             :   MCK_h, // 'h'
    2688             :   MCK_hi, // 'hi'
    2689             :   MCK_hintjr, // 'hintjr'
    2690             :   MCK_icinva, // 'icinva'
    2691             :   MCK_iconst, // 'iconst'
    2692             :   MCK_if, // 'if'
    2693             :   MCK_immext, // 'immext'
    2694             :   MCK_insert, // 'insert'
    2695             :   MCK_interleave, // 'interleave'
    2696             :   MCK_isync, // 'isync'
    2697             :   MCK_jump, // 'jump'
    2698             :   MCK_jumpr, // 'jumpr'
    2699             :   MCK_l, // 'l'
    2700             :   MCK_l2fetch, // 'l2fetch'
    2701             :   MCK_lfs, // 'lfs'
    2702             :   MCK_lib, // 'lib'
    2703             :   MCK_lo, // 'lo'
    2704             :   MCK_loop0, // 'loop0'
    2705             :   MCK_loop1, // 'loop1'
    2706             :   MCK_lsl, // 'lsl'
    2707             :   MCK_lsr, // 'lsr'
    2708             :   MCK_lt, // 'lt'
    2709             :   MCK_ltu, // 'ltu'
    2710             :   MCK_mask, // 'mask'
    2711             :   MCK_max, // 'max'
    2712             :   MCK_maxu, // 'maxu'
    2713             :   MCK_memb, // 'memb'
    2714             :   MCK_memb_95_fifo, // 'memb_fifo'
    2715             :   MCK_membh, // 'membh'
    2716             :   MCK_memd, // 'memd'
    2717             :   MCK_memd_95_locked, // 'memd_locked'
    2718             :   MCK_memh, // 'memh'
    2719             :   MCK_memh_95_fifo, // 'memh_fifo'
    2720             :   MCK_memub, // 'memub'
    2721             :   MCK_memubh, // 'memubh'
    2722             :   MCK_memuh, // 'memuh'
    2723             :   MCK_memw, // 'memw'
    2724             :   MCK_memw_95_locked, // 'memw_locked'
    2725             :   MCK_min, // 'min'
    2726             :   MCK_minu, // 'minu'
    2727             :   MCK_modwrap, // 'modwrap'
    2728             :   MCK_mpy, // 'mpy'
    2729             :   MCK_mpyi, // 'mpyi'
    2730             :   MCK_mpysu, // 'mpysu'
    2731             :   MCK_mpyu, // 'mpyu'
    2732             :   MCK_mpyui, // 'mpyui'
    2733             :   MCK_mux, // 'mux'
    2734             :   MCK_neg, // 'neg'
    2735             :   MCK_new, // 'new'
    2736             :   MCK_nomatch, // 'nomatch'
    2737             :   MCK_nop, // 'nop'
    2738             :   MCK_normamt, // 'normamt'
    2739             :   MCK_not, // 'not'
    2740             :   MCK_nt, // 'nt'
    2741             :   MCK_or, // 'or'
    2742             :   MCK_packhl, // 'packhl'
    2743             :   MCK_parity, // 'parity'
    2744             :   MCK_pause, // 'pause'
    2745             :   MCK_pmpyw, // 'pmpyw'
    2746             :   MCK_popcount, // 'popcount'
    2747             :   MCK_pos, // 'pos'
    2748             :   MCK_prefixsum, // 'prefixsum'
    2749             :   MCK_raw, // 'raw'
    2750             :   MCK_rnd, // 'rnd'
    2751             :   MCK_rol, // 'rol'
    2752             :   MCK_round, // 'round'
    2753             :   MCK_sat, // 'sat'
    2754             :   MCK_satb, // 'satb'
    2755             :   MCK_sath, // 'sath'
    2756             :   MCK_satub, // 'satub'
    2757             :   MCK_satuh, // 'satuh'
    2758             :   MCK_scale, // 'scale'
    2759             :   MCK_scatter_95_release, // 'scatter_release'
    2760             :   MCK_setbit, // 'setbit'
    2761             :   MCK_sfadd, // 'sfadd'
    2762             :   MCK_sfclass, // 'sfclass'
    2763             :   MCK_sfcmp, // 'sfcmp'
    2764             :   MCK_sffixupd, // 'sffixupd'
    2765             :   MCK_sffixupn, // 'sffixupn'
    2766             :   MCK_sffixupr, // 'sffixupr'
    2767             :   MCK_sfinvsqrta, // 'sfinvsqrta'
    2768             :   MCK_sfmake, // 'sfmake'
    2769             :   MCK_sfmax, // 'sfmax'
    2770             :   MCK_sfmin, // 'sfmin'
    2771             :   MCK_sfmpy, // 'sfmpy'
    2772             :   MCK_sfrecipa, // 'sfrecipa'
    2773             :   MCK_sfsub, // 'sfsub'
    2774             :   MCK_shift, // 'shift'
    2775             :   MCK_shuffeb, // 'shuffeb'
    2776             :   MCK_shuffeh, // 'shuffeh'
    2777             :   MCK_shuffob, // 'shuffob'
    2778             :   MCK_shuffoh, // 'shuffoh'
    2779             :   MCK_sp1loop0, // 'sp1loop0'
    2780             :   MCK_sp2loop0, // 'sp2loop0'
    2781             :   MCK_sp3loop0, // 'sp3loop0'
    2782             :   MCK_sub, // 'sub'
    2783             :   MCK_swiz, // 'swiz'
    2784             :   MCK_sxtb, // 'sxtb'
    2785             :   MCK_sxth, // 'sxth'
    2786             :   MCK_sxtw, // 'sxtw'
    2787             :   MCK_syncht, // 'syncht'
    2788             :   MCK_t, // 't'
    2789             :   MCK_tableidxb, // 'tableidxb'
    2790             :   MCK_tableidxd, // 'tableidxd'
    2791             :   MCK_tableidxh, // 'tableidxh'
    2792             :   MCK_tableidxw, // 'tableidxw'
    2793             :   MCK_tlbmatch, // 'tlbmatch'
    2794             :   MCK_tmp, // 'tmp'
    2795             :   MCK_togglebit, // 'togglebit'
    2796             :   MCK_trace, // 'trace'
    2797             :   MCK_trap0, // 'trap0'
    2798             :   MCK_trap1, // 'trap1'
    2799             :   MCK_tstbit, // 'tstbit'
    2800             :   MCK_ub, // 'ub'
    2801             :   MCK_uh, // 'uh'
    2802             :   MCK_uo, // 'uo'
    2803             :   MCK_uw, // 'uw'
    2804             :   MCK_vabs, // 'vabs'
    2805             :   MCK_vabsb, // 'vabsb'
    2806             :   MCK_vabsdiff, // 'vabsdiff'
    2807             :   MCK_vabsdiffb, // 'vabsdiffb'
    2808             :   MCK_vabsdiffh, // 'vabsdiffh'
    2809             :   MCK_vabsdiffub, // 'vabsdiffub'
    2810             :   MCK_vabsdiffuh, // 'vabsdiffuh'
    2811             :   MCK_vabsdiffw, // 'vabsdiffw'
    2812             :   MCK_vabsh, // 'vabsh'
    2813             :   MCK_vabsw, // 'vabsw'
    2814             :   MCK_vacsh, // 'vacsh'
    2815             :   MCK_vadd, // 'vadd'
    2816             :   MCK_vaddb, // 'vaddb'
    2817             :   MCK_vaddh, // 'vaddh'
    2818             :   MCK_vaddhub, // 'vaddhub'
    2819             :   MCK_vaddub, // 'vaddub'
    2820             :   MCK_vadduh, // 'vadduh'
    2821             :   MCK_vadduw, // 'vadduw'
    2822             :   MCK_vaddw, // 'vaddw'
    2823             :   MCK_valign, // 'valign'
    2824             :   MCK_valignb, // 'valignb'
    2825             :   MCK_vand, // 'vand'
    2826             :   MCK_vasl, // 'vasl'
    2827             :   MCK_vaslh, // 'vaslh'
    2828             :   MCK_vaslw, // 'vaslw'
    2829             :   MCK_vasr, // 'vasr'
    2830             :   MCK_vasrh, // 'vasrh'
    2831             :   MCK_vasrhb, // 'vasrhb'
    2832             :   MCK_vasrhub, // 'vasrhub'
    2833             :   MCK_vasrw, // 'vasrw'
    2834             :   MCK_vasrwh, // 'vasrwh'
    2835             :   MCK_vasrwuh, // 'vasrwuh'
    2836             :   MCK_vavg, // 'vavg'
    2837             :   MCK_vavgb, // 'vavgb'
    2838             :   MCK_vavgh, // 'vavgh'
    2839             :   MCK_vavgub, // 'vavgub'
    2840             :   MCK_vavguh, // 'vavguh'
    2841             :   MCK_vavguw, // 'vavguw'
    2842             :   MCK_vavgw, // 'vavgw'
    2843             :   MCK_vcl0, // 'vcl0'
    2844             :   MCK_vcl0h, // 'vcl0h'
    2845             :   MCK_vcl0w, // 'vcl0w'
    2846             :   MCK_vclb, // 'vclb'
    2847             :   MCK_vcmp, // 'vcmp'
    2848             :   MCK_vcmpb, // 'vcmpb'
    2849             :   MCK_vcmph, // 'vcmph'
    2850             :   MCK_vcmpw, // 'vcmpw'
    2851             :   MCK_vcmpyi, // 'vcmpyi'
    2852             :   MCK_vcmpyr, // 'vcmpyr'
    2853             :   MCK_vcnegh, // 'vcnegh'
    2854             :   MCK_vcombine, // 'vcombine'
    2855             :   MCK_vconj, // 'vconj'
    2856             :   MCK_vcrotate, // 'vcrotate'
    2857             :   MCK_vdeal, // 'vdeal'
    2858             :   MCK_vdealb, // 'vdealb'
    2859             :   MCK_vdealb4w, // 'vdealb4w'
    2860             :   MCK_vdeale, // 'vdeale'
    2861             :   MCK_vdealh, // 'vdealh'
    2862             :   MCK_vdelta, // 'vdelta'
    2863             :   MCK_vdmpy, // 'vdmpy'
    2864             :   MCK_vdmpybsu, // 'vdmpybsu'
    2865             :   MCK_vdmpybus, // 'vdmpybus'
    2866             :   MCK_vdmpyh, // 'vdmpyh'
    2867             :   MCK_vdmpyhb, // 'vdmpyhb'
    2868             :   MCK_vdmpyhsu, // 'vdmpyhsu'
    2869             :   MCK_vdsad, // 'vdsad'
    2870             :   MCK_vdsaduh, // 'vdsaduh'
    2871             :   MCK_vextract, // 'vextract'
    2872             :   MCK_vgather, // 'vgather'
    2873             :   MCK_vhist, // 'vhist'
    2874             :   MCK_vinsert, // 'vinsert'
    2875             :   MCK_vitpack, // 'vitpack'
    2876             :   MCK_vlalign, // 'vlalign'
    2877             :   MCK_vlslh, // 'vlslh'
    2878             :   MCK_vlslw, // 'vlslw'
    2879             :   MCK_vlsr, // 'vlsr'
    2880             :   MCK_vlsrh, // 'vlsrh'
    2881             :   MCK_vlsrw, // 'vlsrw'
    2882             :   MCK_vlut16, // 'vlut16'
    2883             :   MCK_vlut32, // 'vlut32'
    2884             :   MCK_vlut4, // 'vlut4'
    2885             :   MCK_vmax, // 'vmax'
    2886             :   MCK_vmaxb, // 'vmaxb'
    2887             :   MCK_vmaxh, // 'vmaxh'
    2888             :   MCK_vmaxub, // 'vmaxub'
    2889             :   MCK_vmaxuh, // 'vmaxuh'
    2890             :   MCK_vmaxuw, // 'vmaxuw'
    2891             :   MCK_vmaxw, // 'vmaxw'
    2892             :   MCK_vmem, // 'vmem'
    2893             :   MCK_vmemu, // 'vmemu'
    2894             :   MCK_vmin, // 'vmin'
    2895             :   MCK_vminb, // 'vminb'
    2896             :   MCK_vminh, // 'vminh'
    2897             :   MCK_vminub, // 'vminub'
    2898             :   MCK_vminuh, // 'vminuh'
    2899             :   MCK_vminuw, // 'vminuw'
    2900             :   MCK_vminw, // 'vminw'
    2901             :   MCK_vmpa, // 'vmpa'
    2902             :   MCK_vmpabus, // 'vmpabus'
    2903             :   MCK_vmpabuu, // 'vmpabuu'
    2904             :   MCK_vmpahb, // 'vmpahb'
    2905             :   MCK_vmpauhb, // 'vmpauhb'
    2906             :   MCK_vmps, // 'vmps'
    2907             :   MCK_vmpy, // 'vmpy'
    2908             :   MCK_vmpyb, // 'vmpyb'
    2909             :   MCK_vmpybsu, // 'vmpybsu'
    2910             :   MCK_vmpybu, // 'vmpybu'
    2911             :   MCK_vmpybus, // 'vmpybus'
    2912             :   MCK_vmpye, // 'vmpye'
    2913             :   MCK_vmpyeh, // 'vmpyeh'
    2914             :   MCK_vmpyewuh, // 'vmpyewuh'
    2915             :   MCK_vmpyh, // 'vmpyh'
    2916             :   MCK_vmpyhsu, // 'vmpyhsu'
    2917             :   MCK_vmpyhus, // 'vmpyhus'
    2918             :   MCK_vmpyi, // 'vmpyi'
    2919             :   MCK_vmpyie, // 'vmpyie'
    2920             :   MCK_vmpyieo, // 'vmpyieo'
    2921             :   MCK_vmpyiewh, // 'vmpyiewh'
    2922             :   MCK_vmpyiewuh, // 'vmpyiewuh'
    2923             :   MCK_vmpyih, // 'vmpyih'
    2924             :   MCK_vmpyihb, // 'vmpyihb'
    2925             :   MCK_vmpyio, // 'vmpyio'
    2926             :   MCK_vmpyiowh, // 'vmpyiowh'
    2927             :   MCK_vmpyiwb, // 'vmpyiwb'
    2928             :   MCK_vmpyiwh, // 'vmpyiwh'
    2929             :   MCK_vmpyiwub, // 'vmpyiwub'
    2930             :   MCK_vmpyo, // 'vmpyo'
    2931             :   MCK_vmpyowh, // 'vmpyowh'
    2932             :   MCK_vmpyub, // 'vmpyub'
    2933             :   MCK_vmpyuh, // 'vmpyuh'
    2934             :   MCK_vmpyweh, // 'vmpyweh'
    2935             :   MCK_vmpyweuh, // 'vmpyweuh'
    2936             :   MCK_vmpywoh, // 'vmpywoh'
    2937             :   MCK_vmpywouh, // 'vmpywouh'
    2938             :   MCK_vmux, // 'vmux'
    2939             :   MCK_vnavg, // 'vnavg'
    2940             :   MCK_vnavgb, // 'vnavgb'
    2941             :   MCK_vnavgh, // 'vnavgh'
    2942             :   MCK_vnavgub, // 'vnavgub'
    2943             :   MCK_vnavgw, // 'vnavgw'
    2944             :   MCK_vnormamt, // 'vnormamt'
    2945             :   MCK_vnormamth, // 'vnormamth'
    2946             :   MCK_vnormamtw, // 'vnormamtw'
    2947             :   MCK_vnot, // 'vnot'
    2948             :   MCK_vor, // 'vor'
    2949             :   MCK_vpack, // 'vpack'
    2950             :   MCK_vpacke, // 'vpacke'
    2951             :   MCK_vpackeb, // 'vpackeb'
    2952             :   MCK_vpackeh, // 'vpackeh'
    2953             :   MCK_vpackhb, // 'vpackhb'
    2954             :   MCK_vpackhub, // 'vpackhub'
    2955             :   MCK_vpacko, // 'vpacko'
    2956             :   MCK_vpackob, // 'vpackob'
    2957             :   MCK_vpackoh, // 'vpackoh'
    2958             :   MCK_vpackwh, // 'vpackwh'
    2959             :   MCK_vpackwuh, // 'vpackwuh'
    2960             :   MCK_vpmpyh, // 'vpmpyh'
    2961             :   MCK_vpopcount, // 'vpopcount'
    2962             :   MCK_vpopcounth, // 'vpopcounth'
    2963             :   MCK_vraddh, // 'vraddh'
    2964             :   MCK_vraddub, // 'vraddub'
    2965             :   MCK_vradduh, // 'vradduh'
    2966             :   MCK_vrcmpyi, // 'vrcmpyi'
    2967             :   MCK_vrcmpyr, // 'vrcmpyr'
    2968             :   MCK_vrcmpys, // 'vrcmpys'
    2969             :   MCK_vrcnegh, // 'vrcnegh'
    2970             :   MCK_vrcrotate, // 'vrcrotate'
    2971             :   MCK_vrdelta, // 'vrdelta'
    2972             :   MCK_vrmaxh, // 'vrmaxh'
    2973             :   MCK_vrmaxuh, // 'vrmaxuh'
    2974             :   MCK_vrmaxuw, // 'vrmaxuw'
    2975             :   MCK_vrmaxw, // 'vrmaxw'
    2976             :   MCK_vrminh, // 'vrminh'
    2977             :   MCK_vrminuh, // 'vrminuh'
    2978             :   MCK_vrminuw, // 'vrminuw'
    2979             :   MCK_vrminw, // 'vrminw'
    2980             :   MCK_vrmpy, // 'vrmpy'
    2981             :   MCK_vrmpyb, // 'vrmpyb'
    2982             :   MCK_vrmpybsu, // 'vrmpybsu'
    2983             :   MCK_vrmpybu, // 'vrmpybu'
    2984             :   MCK_vrmpybus, // 'vrmpybus'
    2985             :   MCK_vrmpyh, // 'vrmpyh'
    2986             :   MCK_vrmpyub, // 'vrmpyub'
    2987             :   MCK_vrmpyweh, // 'vrmpyweh'
    2988             :   MCK_vrmpywoh, // 'vrmpywoh'
    2989             :   MCK_vrndwh, // 'vrndwh'
    2990             :   MCK_vror, // 'vror'
    2991             :   MCK_vround, // 'vround'
    2992             :   MCK_vroundhb, // 'vroundhb'
    2993             :   MCK_vroundhub, // 'vroundhub'
    2994             :   MCK_vrounduhub, // 'vrounduhub'
    2995             :   MCK_vrounduwuh, // 'vrounduwuh'
    2996             :   MCK_vroundwh, // 'vroundwh'
    2997             :   MCK_vroundwuh, // 'vroundwuh'
    2998             :   MCK_vrsad, // 'vrsad'
    2999             :   MCK_vrsadub, // 'vrsadub'
    3000             :   MCK_vsat, // 'vsat'
    3001             :   MCK_vsathb, // 'vsathb'
    3002             :   MCK_vsathub, // 'vsathub'
    3003             :   MCK_vsatuwuh, // 'vsatuwuh'
    3004             :   MCK_vsatwh, // 'vsatwh'
    3005             :   MCK_vsatwuh, // 'vsatwuh'
    3006             :   MCK_vscatter, // 'vscatter'
    3007             :   MCK_vsetq, // 'vsetq'
    3008             :   MCK_vsetq2, // 'vsetq2'
    3009             :   MCK_vshuff, // 'vshuff'
    3010             :   MCK_vshuffb, // 'vshuffb'
    3011             :   MCK_vshuffe, // 'vshuffe'
    3012             :   MCK_vshuffeb, // 'vshuffeb'
    3013             :   MCK_vshuffeh, // 'vshuffeh'
    3014             :   MCK_vshuffh, // 'vshuffh'
    3015             :   MCK_vshuffo, // 'vshuffo'
    3016             :   MCK_vshuffob, // 'vshuffob'
    3017             :   MCK_vshuffoe, // 'vshuffoe'
    3018             :   MCK_vshuffoeb, // 'vshuffoeb'
    3019             :   MCK_vshuffoeh, // 'vshuffoeh'
    3020             :   MCK_vshuffoh, // 'vshuffoh'
    3021             :   MCK_vsplat, // 'vsplat'
    3022             :   MCK_vsplatb, // 'vsplatb'
    3023             :   MCK_vsplath, // 'vsplath'
    3024             :   MCK_vspliceb, // 'vspliceb'
    3025             :   MCK_vsub, // 'vsub'
    3026             :   MCK_vsubb, // 'vsubb'
    3027             :   MCK_vsubh, // 'vsubh'
    3028             :   MCK_vsubub, // 'vsubub'
    3029             :   MCK_vsubuh, // 'vsubuh'
    3030             :   MCK_vsubuw, // 'vsubuw'
    3031             :   MCK_vsubw, // 'vsubw'
    3032             :   MCK_vswap, // 'vswap'
    3033             :   MCK_vsxt, // 'vsxt'
    3034             :   MCK_vsxtb, // 'vsxtb'
    3035             :   MCK_vsxtbh, // 'vsxtbh'
    3036             :   MCK_vsxth, // 'vsxth'
    3037             :   MCK_vsxthw, // 'vsxthw'
    3038             :   MCK_vtmpy, // 'vtmpy'
    3039             :   MCK_vtmpyb, // 'vtmpyb'
    3040             :   MCK_vtmpybus, // 'vtmpybus'
    3041             :   MCK_vtmpyhb, // 'vtmpyhb'
    3042             :   MCK_vtrans2x2, // 'vtrans2x2'
    3043             :   MCK_vtrunehb, // 'vtrunehb'
    3044             :   MCK_vtrunewh, // 'vtrunewh'
    3045             :   MCK_vtrunohb, // 'vtrunohb'
    3046             :   MCK_vtrunowh, // 'vtrunowh'
    3047             :   MCK_vunpack, // 'vunpack'
    3048             :   MCK_vunpackb, // 'vunpackb'
    3049             :   MCK_vunpackh, // 'vunpackh'
    3050             :   MCK_vunpacko, // 'vunpacko'
    3051             :   MCK_vunpackob, // 'vunpackob'
    3052             :   MCK_vunpackoh, // 'vunpackoh'
    3053             :   MCK_vunpackub, // 'vunpackub'
    3054             :   MCK_vunpackuh, // 'vunpackuh'
    3055             :   MCK_vwhist128, // 'vwhist128'
    3056             :   MCK_vwhist256, // 'vwhist256'
    3057             :   MCK_vxaddsubh, // 'vxaddsubh'
    3058             :   MCK_vxaddsubw, // 'vxaddsubw'
    3059             :   MCK_vxor, // 'vxor'
    3060             :   MCK_vxsubaddh, // 'vxsubaddh'
    3061             :   MCK_vxsubaddw, // 'vxsubaddw'
    3062             :   MCK_vzxt, // 'vzxt'
    3063             :   MCK_vzxtb, // 'vzxtb'
    3064             :   MCK_vzxtbh, // 'vzxtbh'
    3065             :   MCK_vzxth, // 'vzxth'
    3066             :   MCK_vzxthw, // 'vzxthw'
    3067             :   MCK_w, // 'w'
    3068             :   MCK_xor, // 'xor'
    3069             :   MCK_zxtb, // 'zxtb'
    3070             :   MCK_zxth, // 'zxth'
    3071             :   MCK__124_, // '|'
    3072             :   MCK__126_, // '~'
    3073             :   MCK_LAST_TOKEN = MCK__126_,
    3074             :   MCK_Reg11, // derived register class
    3075             :   MCK_Reg19, // derived register class
    3076             :   MCK_GP, // register class 'GP'
    3077             :   MCK_P0, // register class 'P0'
    3078             :   MCK_P1, // register class 'P1'
    3079             :   MCK_P3, // register class 'P3'
    3080             :   MCK_PC, // register class 'PC'
    3081             :   MCK_UsrBits, // register class 'UsrBits'
    3082             :   MCK_V65Regs, // register class 'V65Regs,VTMP'
    3083             :   MCK_ModRegs, // register class 'ModRegs'
    3084             :   MCK_Reg20, // derived register class
    3085             :   MCK_Reg3, // derived register class
    3086             :   MCK_HvxQR, // register class 'HvxQR'
    3087             :   MCK_PredRegs, // register class 'PredRegs'
    3088             :   MCK_Reg16, // derived register class
    3089             :   MCK_GeneralDoubleLow8Regs, // register class 'GeneralDoubleLow8Regs'
    3090             :   MCK_IntRegsLow8, // register class 'IntRegsLow8'
    3091             :   MCK_V62Regs, // register class 'V62Regs'
    3092             :   MCK_CtrRegs64, // register class 'CtrRegs64'
    3093             :   MCK_DoubleRegs, // register class 'DoubleRegs'
    3094             :   MCK_GeneralSubRegs, // register class 'GeneralSubRegs'
    3095             :   MCK_GuestRegs64, // register class 'GuestRegs64'
    3096             :   MCK_HvxWR, // register class 'HvxWR'
    3097             :   MCK_CtrRegs, // register class 'CtrRegs'
    3098             :   MCK_GuestRegs, // register class 'GuestRegs'
    3099             :   MCK_IntRegs, // register class 'IntRegs'
    3100             :   MCK_HvxVR, // register class 'HvxVR'
    3101             :   MCK_LAST_REGISTER = MCK_HvxVR,
    3102             :   MCK_Imm, // user defined class 'ImmAsmOperand'
    3103             :   MCK_a30_2Imm, // user defined class 'a30_2ImmOperand'
    3104             :   MCK_b13_2Imm, // user defined class 'b13_2ImmOperand'
    3105             :   MCK_b15_2Imm, // user defined class 'b15_2ImmOperand'
    3106             :   MCK_b30_2Imm, // user defined class 'b30_2ImmOperand'
    3107             :   MCK_f32Imm, // user defined class 'f32ImmOperand'
    3108             :   MCK_f64Imm, // user defined class 'f64ImmOperand'
    3109             :   MCK_m32_0Imm, // user defined class 'm32_0ImmOperand'
    3110             :   MCK_n1Const, // user defined class 'n1ConstOperand'
    3111             :   MCK_s10_0Imm, // user defined class 's10_0ImmOperand'
    3112             :   MCK_s10_6Imm, // user defined class 's10_6ImmOperand'
    3113             :   MCK_s27_2Imm, // user defined class 's27_2ImmOperand'
    3114             :   MCK_s29_3Imm, // user defined class 's29_3ImmOperand'
    3115             :   MCK_s30_2Imm, // user defined class 's30_2ImmOperand'
    3116             :   MCK_s31_1Imm, // user defined class 's31_1ImmOperand'
    3117             :   MCK_s32_0Imm, // user defined class 's32_0ImmOperand'
    3118             :   MCK_s3_0Imm, // user defined class 's3_0ImmOperand'
    3119             :   MCK_s4_0Imm, // user defined class 's4_0ImmOperand'
    3120             :   MCK_s4_1Imm, // user defined class 's4_1ImmOperand'
    3121             :   MCK_s4_2Imm, // user defined class 's4_2ImmOperand'
    3122             :   MCK_s4_3Imm, // user defined class 's4_3ImmOperand'
    3123             :   MCK_s6_0Imm, // user defined class 's6_0ImmOperand'
    3124             :   MCK_s6_3Imm, // user defined class 's6_3ImmOperand'
    3125             :   MCK_s8_0Imm, // user defined class 's8_0ImmOperand'
    3126             :   MCK_s9_0Imm, // user defined class 's9_0ImmOperand'
    3127             :   MCK_u10_0Imm, // user defined class 'u10_0ImmOperand'
    3128             :   MCK_u11_3Imm, // user defined class 'u11_3ImmOperand'
    3129             :   MCK_u16_0Imm, // user defined class 'u16_0ImmOperand'
    3130             :   MCK_u1_0Imm, // user defined class 'u1_0ImmOperand'
    3131             :   MCK_u26_6Imm, // user defined class 'u26_6ImmOperand'
    3132             :   MCK_u29_3Imm, // user defined class 'u29_3ImmOperand'
    3133             :   MCK_u2_0Imm, // user defined class 'u2_0ImmOperand'
    3134             :   MCK_u30_2Imm, // user defined class 'u30_2ImmOperand'
    3135             :   MCK_u31_1Imm, // user defined class 'u31_1ImmOperand'
    3136             :   MCK_u32_0Imm, // user defined class 'u32_0ImmOperand'
    3137             :   MCK_u3_0Imm, // user defined class 'u3_0ImmOperand'
    3138             :   MCK_u3_1Imm, // user defined class 'u3_1ImmOperand'
    3139             :   MCK_u4_0Imm, // user defined class 'u4_0ImmOperand'
    3140             :   MCK_u4_2Imm, // user defined class 'u4_2ImmOperand'
    3141             :   MCK_u5_0Imm, // user defined class 'u5_0ImmOperand'
    3142             :   MCK_u5_2Imm, // user defined class 'u5_2ImmOperand'
    3143             :   MCK_u5_3Imm, // user defined class 'u5_3ImmOperand'
    3144             :   MCK_u64_0Imm, // user defined class 'u64_0ImmOperand'
    3145             :   MCK_u6_0Imm, // user defined class 'u6_0ImmOperand'
    3146             :   MCK_u6_1Imm, // user defined class 'u6_1ImmOperand'
    3147             :   MCK_u6_2Imm, // user defined class 'u6_2ImmOperand'
    3148             :   MCK_u7_0Imm, // user defined class 'u7_0ImmOperand'
    3149             :   MCK_u8_0Imm, // user defined class 'u8_0ImmOperand'
    3150             :   NumMatchClassKinds
    3151             : };
    3152             : 
    3153             : }
    3154             : 
    3155           0 : static unsigned getDiagKindFromRegisterClass(MatchClassKind RegisterClass) {
    3156           0 :   return MCTargetAsmParser::Match_InvalidOperand;
    3157             : }
    3158             : 
    3159     1709070 : static MatchClassKind matchTokenString(StringRef Name) {
    3160     1709070 :   switch (Name.size()) {
    3161             :   default: break;
    3162     1143060 :   case 1:        // 25 strings to match.
    3163             :     switch (Name[0]) {
    3164             :     default: break;
    3165             :     case '!':    // 1 string to match.
    3166             :       return MCK__EXCLAIM_;      // "!"
    3167             :     case '#':    // 1 string to match.
    3168             :       return MCK__35_;   // "#"
    3169             :     case '&':        // 1 string to match.
    3170             :       return MCK__38_;   // "&"
    3171             :     case '(':    // 1 string to match.
    3172             :       return MCK__40_;   // "("
    3173             :     case ')':    // 1 string to match.
    3174             :       return MCK__41_;   // ")"
    3175             :     case '*':    // 1 string to match.
    3176             :       return MCK__STAR_;         // "*"
    3177             :     case '+':    // 1 string to match.
    3178             :       return MCK__43_;   // "+"
    3179             :     case '-':    // 1 string to match.
    3180             :       return MCK__MINUS_;        // "-"
    3181             :     case '.':    // 1 string to match.
    3182             :       return MCK__DOT_;  // "."
    3183             :     case '0':    // 1 string to match.
    3184             :       return MCK_0;      // "0"
    3185             :     case '1':    // 1 string to match.
    3186             :       return MCK_1;      // "1"
    3187             :     case ':':    // 1 string to match.
    3188             :       return MCK__COLON_;        // ":"
    3189             :     case ';':    // 1 string to match.
    3190             :       return MCK__59_;   // ";"
    3191             :     case '<':         // 1 string to match.
    3192             :       return MCK__LT_;   // "<"
    3193             :     case '=':    // 1 string to match.
    3194             :       return MCK__61_;   // "="
    3195             :     case '>':         // 1 string to match.
    3196             :       return MCK__GT_;   // ">"
    3197             :     case 'I':    // 1 string to match.
    3198             :       return MCK_I;      // "I"
    3199             :     case '^':    // 1 string to match.
    3200             :       return MCK__94_;   // "^"
    3201             :     case 'b':    // 1 string to match.
    3202             :       return MCK_b;      // "b"
    3203             :     case 'h':    // 1 string to match.
    3204             :       return MCK_h;      // "h"
    3205             :     case 'l':    // 1 string to match.
    3206             :       return MCK_l;      // "l"
    3207             :     case 't':    // 1 string to match.
    3208             :       return MCK_t;      // "t"
    3209             :     case 'w':    // 1 string to match.
    3210             :       return MCK_w;      // "w"
    3211             :     case '|':    // 1 string to match.
    3212             :       return MCK__124_;  // "|"
    3213             :     case '~':    // 1 string to match.
    3214             :       return MCK__126_;  // "~"
    3215             :     }
    3216             :     break;
    3217       27913 :   case 2:        // 14 strings to match.
    3218             :     switch (Name[0]) {
    3219             :     default: break;
    3220          16 :     case '1':    // 1 string to match.
    3221          16 :       if (Name[1] != '6')
    3222             :         break;
    3223             :       return MCK_16;     // "16"
    3224        1358 :     case 'e':    // 1 string to match.
    3225        1358 :       if (Name[1] != 'q')
    3226             :         break;
    3227             :       return MCK_eq;     // "eq"
    3228        1108 :     case 'g':    // 2 strings to match.
    3229             :       switch (Name[1]) {
    3230             :       default: break;
    3231             :       case 'e':  // 1 string to match.
    3232             :         return MCK_ge;   // "ge"
    3233        1083 :       case 't':  // 1 string to match.
    3234        1083 :         return MCK_gt;   // "gt"
    3235             :       }
    3236             :       break;
    3237           5 :     case 'h':    // 1 string to match.
    3238           5 :       if (Name[1] != 'i')
    3239             :         break;
    3240             :       return MCK_hi;     // "hi"
    3241           0 :     case 'i':    // 1 string to match.
    3242           0 :       if (Name[1] != 'f')
    3243             :         break;
    3244             :       return MCK_if;     // "if"
    3245          28 :     case 'l':    // 2 strings to match.
    3246             :       switch (Name[1]) {
    3247             :       default: break;
    3248             :       case 'o':  // 1 string to match.
    3249             :         return MCK_lo;   // "lo"
    3250          13 :       case 't':  // 1 string to match.
    3251          13 :         return MCK_lt;   // "lt"
    3252             :       }
    3253             :       break;
    3254         161 :     case 'n':    // 1 string to match.
    3255         161 :       if (Name[1] != 't')
    3256             :         break;
    3257             :       return MCK_nt;     // "nt"
    3258        4032 :     case 'o':    // 1 string to match.
    3259        4032 :       if (Name[1] != 'r')
    3260             :         break;
    3261             :       return MCK_or;     // "or"
    3262       12426 :     case 'u':    // 4 strings to match.
    3263             :       switch (Name[1]) {
    3264             :       default: break;
    3265             :       case 'b':  // 1 string to match.
    3266             :         return MCK_ub;   // "ub"
    3267             :       case 'h':  // 1 string to match.
    3268             :         return MCK_uh;   // "uh"
    3269             :       case 'o':  // 1 string to match.
    3270             :         return MCK_uo;   // "uo"
    3271             :       case 'w':  // 1 string to match.
    3272             :         return MCK_uw;   // "uw"
    3273             :       }
    3274             :       break;
    3275             :     }
    3276             :     break;
    3277      188705 :   case 3:        // 36 strings to match.
    3278             :     switch (Name[0]) {
    3279             :     default: break;
    3280       30528 :     case 'a':    // 5 strings to match.
    3281             :       switch (Name[1]) {
    3282             :       default: break;
    3283         243 :       case 'b':  // 1 string to match.
    3284         243 :         if (Name[2] != 's')
    3285             :           break;
    3286             :         return MCK_abs;  // "abs"
    3287       23733 :       case 'd':  // 1 string to match.
    3288       23733 :         if (Name[2] != 'd')
    3289             :           break;
    3290             :         return MCK_add;  // "add"
    3291        2920 :       case 'n':  // 1 string to match.
    3292        2920 :         if (Name[2] != 'd')
    3293             :           break;
    3294             :         return MCK_and;  // "and"
    3295        3632 :       case 's':  // 2 strings to match.
    3296             :         switch (Name[2]) {
    3297             :         default: break;
    3298             :         case 'l':        // 1 string to match.
    3299             :           return MCK_asl;        // "asl"
    3300        2046 :         case 'r':        // 1 string to match.
    3301        2046 :           return MCK_asr;        // "asr"
    3302             :         }
    3303             :         break;
    3304             :       }
    3305             :       break;
    3306       39743 :     case 'c':    // 7 strings to match.
    3307             :       switch (Name[1]) {
    3308             :       default: break;
    3309         134 :       case 'l':  // 3 strings to match.
    3310             :         switch (Name[2]) {
    3311             :         default: break;
    3312             :         case '0':        // 1 string to match.
    3313             :           return MCK_cl0;        // "cl0"
    3314          41 :         case '1':        // 1 string to match.
    3315          41 :           return MCK_cl1;        // "cl1"
    3316          60 :         case 'b':        // 1 string to match.
    3317          60 :           return MCK_clb;        // "clb"
    3318             :         }
    3319             :         break;
    3320       36773 :       case 'm':  // 1 string to match.
    3321       36773 :         if (Name[2] != 'p')
    3322             :           break;
    3323             :         return MCK_cmp;  // "cmp"
    3324         194 :       case 't':  // 2 strings to match.
    3325             :         switch (Name[2]) {
    3326             :         default: break;
    3327             :         case '0':        // 1 string to match.
    3328             :           return MCK_ct0;        // "ct0"
    3329         101 :         case '1':        // 1 string to match.
    3330         101 :           return MCK_ct1;        // "ct1"
    3331             :         }
    3332             :         break;
    3333         749 :       case 'u':  // 1 string to match.
    3334         749 :         if (Name[2] != 'r')
    3335             :           break;
    3336             :         return MCK_cur;  // "cur"
    3337             :       }
    3338             :       break;
    3339         691 :     case 'g':    // 2 strings to match.
    3340             :       switch (Name[1]) {
    3341             :       default: break;
    3342          21 :       case 'e':  // 1 string to match.
    3343          21 :         if (Name[2] != 'u')
    3344             :           break;
    3345             :         return MCK_geu;  // "geu"
    3346         670 :       case 't':  // 1 string to match.
    3347         670 :         if (Name[2] != 'u')
    3348             :           break;
    3349             :         return MCK_gtu;  // "gtu"
    3350             :       }
    3351             :       break;
    3352        1790 :     case 'l':    // 5 strings to match.
    3353             :       switch (Name[1]) {
    3354             :       default: break;
    3355         106 :       case 'f':  // 1 string to match.
    3356         106 :         if (Name[2] != 's')
    3357             :           break;
    3358             :         return MCK_lfs;  // "lfs"
    3359           2 :       case 'i':  // 1 string to match.
    3360           2 :         if (Name[2] != 'b')
    3361             :           break;
    3362             :         return MCK_lib;  // "lib"
    3363        1667 :       case 's':  // 2 strings to match.
    3364             :         switch (Name[2]) {
    3365             :         default: break;
    3366             :         case 'l':        // 1 string to match.
    3367             :           return MCK_lsl;        // "lsl"
    3368        1101 :         case 'r':        // 1 string to match.
    3369        1101 :           return MCK_lsr;        // "lsr"
    3370             :         }
    3371             :         break;
    3372          15 :       case 't':  // 1 string to match.
    3373          15 :         if (Name[2] != 'u')
    3374             :           break;
    3375             :         return MCK_ltu;  // "ltu"
    3376             :       }
    3377             :       break;
    3378       22938 :     case 'm':    // 4 strings to match.
    3379             :       switch (Name[1]) {
    3380             :       default: break;
    3381         262 :       case 'a':  // 1 string to match.
    3382         262 :         if (Name[2] != 'x')
    3383             :           break;
    3384             :         return MCK_max;  // "max"
    3385         282 :       case 'i':  // 1 string to match.
    3386         282 :         if (Name[2] != 'n')
    3387             :           break;
    3388             :         return MCK_min;  // "min"
    3389       21022 :       case 'p':  // 1 string to match.
    3390       21022 :         if (Name[2] != 'y')
    3391             :           break;
    3392             :         return MCK_mpy;  // "mpy"
    3393        1372 :       case 'u':  // 1 string to match.
    3394        1372 :         if (Name[2] != 'x')
    3395             :           break;
    3396             :         return MCK_mux;  // "mux"
    3397             :       }
    3398             :       break;
    3399       11501 :     case 'n':    // 4 strings to match.
    3400             :       switch (Name[1]) {
    3401             :       default: break;
    3402       11368 :       case 'e':  // 2 strings to match.
    3403             :         switch (Name[2]) {
    3404             :         default: break;
    3405             :         case 'g':        // 1 string to match.
    3406             :           return MCK_neg;        // "neg"
    3407       10985 :         case 'w':        // 1 string to match.
    3408       10985 :           return MCK_new;        // "new"
    3409             :         }
    3410             :         break;
    3411         133 :       case 'o':  // 2 strings to match.
    3412             :         switch (Name[2]) {
    3413             :         default: break;
    3414             :         case 'p':        // 1 string to match.
    3415             :           return MCK_nop;        // "nop"
    3416         133 :         case 't':        // 1 string to match.
    3417         133 :           return MCK_not;        // "not"
    3418             :         }
    3419             :         break;
    3420             :       }
    3421             :       break;
    3422             :     case 'p':    // 1 string to match.
    3423           6 :       if (memcmp(Name.data()+1, "os", 2) != 0)
    3424             :         break;
    3425             :       return MCK_pos;    // "pos"
    3426         809 :     case 'r':    // 3 strings to match.
    3427             :       switch (Name[1]) {
    3428             :       default: break;
    3429          27 :       case 'a':  // 1 string to match.
    3430          27 :         if (Name[2] != 'w')
    3431             :           break;
    3432             :         return MCK_raw;  // "raw"
    3433         152 :       case 'n':  // 1 string to match.
    3434         152 :         if (Name[2] != 'd')
    3435             :           break;
    3436             :         return MCK_rnd;  // "rnd"
    3437         630 :       case 'o':  // 1 string to match.
    3438         630 :         if (Name[2] != 'l')
    3439             :           break;
    3440             :         return MCK_rol;  // "rol"
    3441             :       }
    3442             :       break;
    3443       16570 :     case 's':    // 2 strings to match.
    3444             :       switch (Name[1]) {
    3445             :       default: break;
    3446         346 :       case 'a':  // 1 string to match.
    3447         346 :         if (Name[2] != 't')
    3448             :           break;
    3449             :         return MCK_sat;  // "sat"
    3450       16224 :       case 'u':  // 1 string to match.
    3451       16224 :         if (Name[2] != 'b')
    3452             :           break;
    3453             :         return MCK_sub;  // "sub"
    3454             :       }
    3455             :       break;
    3456             :     case 't':    // 1 string to match.
    3457         903 :       if (memcmp(Name.data()+1, "mp", 2) != 0)
    3458             :         break;
    3459             :       return MCK_tmp;    // "tmp"
    3460             :     case 'v':    // 1 string to match.
    3461         148 :       if (memcmp(Name.data()+1, "or", 2) != 0)
    3462             :         break;
    3463             :       return MCK_vor;    // "vor"
    3464             :     case 'x':    // 1 string to match.
    3465         969 :       if (memcmp(Name.data()+1, "or", 2) != 0)
    3466             :         break;
    3467             :       return MCK_xor;    // "xor"
    3468             :     }
    3469             :     break;
    3470      131726 :   case 4:        // 54 strings to match.
    3471             :     switch (Name[0]) {
    3472             :     default: break;
    3473         261 :     case 'a':    // 4 strings to match.
    3474             :       switch (Name[1]) {
    3475             :       default: break;
    3476             :       case 'l':  // 1 string to match.
    3477           3 :         if (memcmp(Name.data()+2, "l8", 2) != 0)
    3478             :           break;
    3479             :         return MCK_all8;         // "all8"
    3480             :       case 'n':  // 1 string to match.
    3481         185 :         if (memcmp(Name.data()+2, "y8", 2) != 0)
    3482             :           break;
    3483             :         return MCK_any8;         // "any8"
    3484          73 :       case 's':  // 2 strings to match.
    3485             :         switch (Name[2]) {
    3486             :         default: break;
    3487          26 :         case 'l':        // 1 string to match.
    3488          26 :           if (Name[3] != 'h')
    3489             :             break;
    3490             :           return MCK_aslh;       // "aslh"
    3491          47 :         case 'r':        // 1 string to match.
    3492          47 :           if (Name[3] != 'h')
    3493             :             break;
    3494             :           return MCK_asrh;       // "asrh"
    3495             :         }
    3496             :         break;
    3497             :       }
    3498             :       break;
    3499             :     case 'b':    // 1 string to match.
    3500          47 :       if (memcmp(Name.data()+1, "rev", 3) != 0)
    3501             :         break;
    3502             :       return MCK_brev;   // "brev"
    3503        6367 :     case 'c':    // 7 strings to match.
    3504             :       switch (Name[1]) {
    3505             :       default: break;
    3506             :       case 'a':  // 1 string to match.
    3507          15 :         if (memcmp(Name.data()+2, "ll", 2) != 0)
    3508             :           break;
    3509             :         return MCK_call;         // "call"
    3510             :       case 'h':  // 1 string to match.
    3511           8 :         if (memcmp(Name.data()+2, "op", 2) != 0)
    3512             :           break;
    3513             :         return MCK_chop;         // "chop"
    3514             :       case 'i':  // 1 string to match.
    3515          50 :         if (memcmp(Name.data()+2, "rc", 2) != 0)
    3516             :           break;
    3517             :         return MCK_circ;         // "circ"
    3518        6290 :       case 'm':  // 3 strings to match.
    3519        6290 :         if (Name[2] != 'p')
    3520             :           break;
    3521             :         switch (Name[3]) {
    3522             :         default: break;
    3523             :         case 'b':        // 1 string to match.
    3524             :           return MCK_cmpb;       // "cmpb"
    3525             :         case 'h':        // 1 string to match.
    3526             :           return MCK_cmph;       // "cmph"
    3527             :         case 'y':        // 1 string to match.
    3528             :           return MCK_cmpy;       // "cmpy"
    3529             :         }
    3530             :         break;
    3531             :       case 'r':  // 1 string to match.
    3532           4 :         if (memcmp(Name.data()+2, "nd", 2) != 0)
    3533             :           break;
    3534             :         return MCK_crnd;         // "crnd"
    3535             :       }
    3536             :       break;
    3537             :     case 'j':    // 1 string to match.
    3538         767 :       if (memcmp(Name.data()+1, "ump", 3) != 0)
    3539             :         break;
    3540             :       return MCK_jump;   // "jump"
    3541       64259 :     case 'm':    // 9 strings to match.
    3542             :       switch (Name[1]) {
    3543             :       default: break;
    3544         300 :       case 'a':  // 2 strings to match.
    3545             :         switch (Name[2]) {
    3546             :         default: break;
    3547          34 :         case 's':        // 1 string to match.
    3548          34 :           if (Name[3] != 'k')
    3549             :             break;
    3550             :           return MCK_mask;       // "mask"
    3551         266 :         case 'x':        // 1 string to match.
    3552         266 :           if (Name[3] != 'u')
    3553             :             break;
    3554             :           return MCK_maxu;       // "maxu"
    3555             :         }
    3556             :         break;
    3557       54023 :       case 'e':  // 4 strings to match.
    3558       54023 :         if (Name[2] != 'm')
    3559             :           break;
    3560             :         switch (Name[3]) {
    3561             :         default: break;
    3562             :         case 'b':        // 1 string to match.
    3563             :           return MCK_memb;       // "memb"
    3564             :         case 'd':        // 1 string to match.
    3565             :           return MCK_memd;       // "memd"
    3566             :         case 'h':        // 1 string to match.
    3567             :           return MCK_memh;       // "memh"
    3568             :         case 'w':        // 1 string to match.
    3569             :           return MCK_memw;       // "memw"
    3570             :         }
    3571             :         break;
    3572             :       case 'i':  // 1 string to match.
    3573         286 :         if (memcmp(Name.data()+2, "nu", 2) != 0)
    3574             :           break;
    3575             :         return MCK_minu;         // "minu"
    3576        9650 :       case 'p':  // 2 strings to match.
    3577        9650 :         if (Name[2] != 'y')
    3578             :           break;
    3579             :         switch (Name[3]) {
    3580             :         default: break;
    3581             :         case 'i':        // 1 string to match.
    3582             :           return MCK_mpyi;       // "mpyi"
    3583        6514 :         case 'u':        // 1 string to match.
    3584        6514 :           return MCK_mpyu;       // "mpyu"
    3585             :         }
    3586             :         break;
    3587             :       }
    3588             :       break;
    3589         556 :     case 's':    // 6 strings to match.
    3590             :       switch (Name[1]) {
    3591             :       default: break;
    3592         166 :       case 'a':  // 2 strings to match.
    3593         166 :         if (Name[2] != 't')
    3594             :           break;
    3595             :         switch (Name[3]) {
    3596             :         default: break;
    3597             :         case 'b':        // 1 string to match.
    3598             :           return MCK_satb;       // "satb"
    3599          84 :         case 'h':        // 1 string to match.
    3600          84 :           return MCK_sath;       // "sath"
    3601             :         }
    3602             :         break;
    3603             :       case 'w':  // 1 string to match.
    3604          92 :         if (memcmp(Name.data()+2, "iz", 2) != 0)
    3605             :           break;
    3606             :         return MCK_swiz;         // "swiz"
    3607         298 :       case 'x':  // 3 strings to match.
    3608         298 :         if (Name[2] != 't')
    3609             :           break;
    3610             :         switch (Name[3]) {
    3611             :         default: break;
    3612             :         case 'b':        // 1 string to match.
    3613             :           return MCK_sxtb;       // "sxtb"
    3614             :         case 'h':        // 1 string to match.
    3615             :           return MCK_sxth;       // "sxth"
    3616             :         case 'w':        // 1 string to match.
    3617             :           return MCK_sxtw;       // "sxtw"
    3618             :         }
    3619             :         break;
    3620             :       }
    3621             :       break;
    3622       12654 :     case 'v':    // 24 strings to match.
    3623             :       switch (Name[1]) {
    3624             :       default: break;
    3625        1790 :       case 'a':  // 6 strings to match.
    3626             :         switch (Name[2]) {
    3627             :         default: break;
    3628          55 :         case 'b':        // 1 string to match.
    3629          55 :           if (Name[3] != 's')
    3630             :             break;
    3631             :           return MCK_vabs;       // "vabs"
    3632         638 :         case 'd':        // 1 string to match.
    3633         638 :           if (Name[3] != 'd')
    3634             :             break;
    3635             :           return MCK_vadd;       // "vadd"
    3636         512 :         case 'n':        // 1 string to match.
    3637         512 :           if (Name[3] != 'd')
    3638             :             break;
    3639             :           return MCK_vand;       // "vand"
    3640         359 :         case 's':        // 2 strings to match.
    3641             :           switch (Name[3]) {
    3642             :           default: break;
    3643             :           case 'l':      // 1 string to match.
    3644             :             return MCK_vasl;     // "vasl"
    3645         296 :           case 'r':      // 1 string to match.
    3646         296 :             return MCK_vasr;     // "vasr"
    3647             :           }
    3648             :           break;
    3649         226 :         case 'v':        // 1 string to match.
    3650         226 :           if (Name[3] != 'g')
    3651             :             break;
    3652             :           return MCK_vavg;       // "vavg"
    3653             :         }
    3654             :         break;
    3655         358 :       case 'c':  // 3 strings to match.
    3656             :         switch (Name[2]) {
    3657             :         default: break;
    3658          12 :         case 'l':        // 2 strings to match.
    3659             :           switch (Name[3]) {
    3660             :           default: break;
    3661             :           case '0':      // 1 string to match.
    3662             :             return MCK_vcl0;     // "vcl0"
    3663           6 :           case 'b':      // 1 string to match.
    3664           6 :             return MCK_vclb;     // "vclb"
    3665             :           }
    3666             :           break;
    3667         346 :         case 'm':        // 1 string to match.
    3668         346 :           if (Name[3] != 'p')
    3669             :             break;
    3670             :           return MCK_vcmp;       // "vcmp"
    3671             :         }
    3672             :         break;
    3673             :       case 'l':  // 1 string to match.
    3674          69 :         if (memcmp(Name.data()+2, "sr", 2) != 0)
    3675             :           break;
    3676             :         return MCK_vlsr;         // "vlsr"
    3677        8607 :       case 'm':  // 7 strings to match.
    3678             :         switch (Name[2]) {
    3679             :         default: break;
    3680         105 :         case 'a':        // 1 string to match.
    3681         105 :           if (Name[3] != 'x')
    3682             :             break;
    3683             :           return MCK_vmax;       // "vmax"
    3684        7332 :         case 'e':        // 1 string to match.
    3685        7332 :           if (Name[3] != 'm')
    3686             :             break;
    3687             :           return MCK_vmem;       // "vmem"
    3688         115 :         case 'i':        // 1 string to match.
    3689         115 :           if (Name[3] != 'n')
    3690             :             break;
    3691             :           return MCK_vmin;       // "vmin"
    3692         729 :         case 'p':        // 3 strings to match.
    3693             :           switch (Name[3]) {
    3694             :           default: break;
    3695             :           case 'a':      // 1 string to match.
    3696             :             return MCK_vmpa;     // "vmpa"
    3697             :           case 's':      // 1 string to match.
    3698             :             return MCK_vmps;     // "vmps"
    3699             :           case 'y':      // 1 string to match.
    3700             :             return MCK_vmpy;     // "vmpy"
    3701             :           }
    3702             :           break;
    3703         326 :         case 'u':        // 1 string to match.
    3704         326 :           if (Name[3] != 'x')
    3705             :             break;
    3706             :           return MCK_vmux;       // "vmux"
    3707             :         }
    3708             :         break;
    3709             :       case 'n':  // 1 string to match.
    3710          30 :         if (memcmp(Name.data()+2, "ot", 2) != 0)
    3711             :           break;
    3712             :         return MCK_vnot;         // "vnot"
    3713             :       case 'r':  // 1 string to match.
    3714         170 :         if (memcmp(Name.data()+2, "or", 2) != 0)
    3715             :           break;
    3716             :         return MCK_vror;         // "vror"
    3717        1048 :       case 's':  // 3 strings to match.
    3718             :         switch (Name[2]) {
    3719             :         default: break;
    3720         277 :         case 'a':        // 1 string to match.
    3721         277 :           if (Name[3] != 't')
    3722             :             break;
    3723             :           return MCK_vsat;       // "vsat"
    3724         769 :         case 'u':        // 1 string to match.
    3725         769 :           if (Name[3] != 'b')
    3726             :             break;
    3727             :           return MCK_vsub;       // "vsub"
    3728           2 :         case 'x':        // 1 string to match.
    3729           2 :           if (Name[3] != 't')
    3730             :             break;
    3731             :           return MCK_vsxt;       // "vsxt"
    3732             :         }
    3733             :         break;
    3734             :       case 'x':  // 1 string to match.
    3735         576 :         if (memcmp(Name.data()+2, "or", 2) != 0)
    3736             :           break;
    3737             :         return MCK_vxor;         // "vxor"
    3738             :       case 'z':  // 1 string to match.
    3739           6 :         if (memcmp(Name.data()+2, "xt", 2) != 0)
    3740             :           break;
    3741             :         return MCK_vzxt;         // "vzxt"
    3742             :       }
    3743             :       break;
    3744             :     case 'z':    // 2 strings to match.
    3745         662 :       if (memcmp(Name.data()+1, "xt", 2) != 0)
    3746             :         break;
    3747             :       switch (Name[3]) {
    3748             :       default: break;
    3749             :       case 'b':  // 1 string to match.
    3750             :         return MCK_zxtb;         // "zxtb"
    3751         456 :       case 'h':  // 1 string to match.
    3752         456 :         return MCK_zxth;         // "zxth"
    3753             :       }
    3754             :       break;
    3755             :     }
    3756             :     break;
    3757       76297 :   case 5:        // 86 strings to match.
    3758             :     switch (Name[0]) {
    3759             :     default: break;
    3760             :     case 'b':    // 1 string to match.
    3761           0 :       if (memcmp(Name.data()+1, "rkpt", 4) != 0)
    3762             :         break;
    3763             :       return MCK_brkpt;  // "brkpt"
    3764         222 :     case 'c':    // 4 strings to match.
    3765             :       switch (Name[1]) {
    3766             :       default: break;
    3767          24 :       case 'a':  // 2 strings to match.
    3768             :         switch (Name[2]) {
    3769             :         default: break;
    3770             :         case 'l':        // 1 string to match.
    3771          20 :           if (memcmp(Name.data()+3, "lr", 2) != 0)
    3772             :             break;
    3773             :           return MCK_callr;      // "callr"
    3774             :         case 'r':        // 1 string to match.
    3775           4 :           if (memcmp(Name.data()+3, "ry", 2) != 0)
    3776             :             break;
    3777             :           return MCK_carry;      // "carry"
    3778             :         }
    3779             :         break;
    3780             :       case 'm':  // 2 strings to match.
    3781         198 :         if (memcmp(Name.data()+2, "py", 2) != 0)
    3782             :           break;
    3783             :         switch (Name[4]) {
    3784             :         default: break;
    3785             :         case 'i':        // 1 string to match.
    3786             :           return MCK_cmpyi;      // "cmpyi"
    3787         101 :         case 'r':        // 1 string to match.
    3788         101 :           return MCK_cmpyr;      // "cmpyr"
    3789             :         }
    3790             :         break;
    3791             :       }
    3792             :       break;
    3793             :     case 'd':    // 1 string to match.
    3794         322 :       if (memcmp(Name.data()+1, "fcmp", 4) != 0)
    3795             :         break;
    3796             :       return MCK_dfcmp;  // "dfcmp"
    3797             :     case 'i':    // 1 string to match.
    3798           0 :       if (memcmp(Name.data()+1, "sync", 4) != 0)
    3799             :         break;
    3800             :       return MCK_isync;  // "isync"
    3801             :     case 'j':    // 1 string to match.
    3802          82 :       if (memcmp(Name.data()+1, "umpr", 4) != 0)
    3803             :         break;
    3804             :       return MCK_jumpr;  // "jumpr"
    3805             :     case 'l':    // 2 strings to match.
    3806           0 :       if (memcmp(Name.data()+1, "oop", 3) != 0)
    3807             :         break;
    3808             :       switch (Name[4]) {
    3809             :       default: break;
    3810             :       case '0':  // 1 string to match.
    3811             :         return MCK_loop0;        // "loop0"
    3812           0 :       case '1':  // 1 string to match.
    3813           0 :         return MCK_loop1;        // "loop1"
    3814             :       }
    3815             :       break;
    3816       22673 :     case 'm':    // 5 strings to match.
    3817             :       switch (Name[1]) {
    3818             :       default: break;
    3819       22324 :       case 'e':  // 3 strings to match.
    3820       22324 :         if (Name[2] != 'm')
    3821             :           break;
    3822             :         switch (Name[3]) {
    3823             :         default: break;
    3824        7888 :         case 'b':        // 1 string to match.
    3825        7888 :           if (Name[4] != 'h')
    3826             :             break;
    3827             :           return MCK_membh;      // "membh"
    3828       14436 :         case 'u':        // 2 strings to match.
    3829             :           switch (Name[4]) {
    3830             :           default: break;
    3831             :           case 'b':      // 1 string to match.
    3832             :             return MCK_memub;    // "memub"
    3833        7328 :           case 'h':      // 1 string to match.
    3834        7328 :             return MCK_memuh;    // "memuh"
    3835             :           }
    3836             :           break;
    3837             :         }
    3838             :         break;
    3839         349 :       case 'p':  // 2 strings to match.
    3840         349 :         if (Name[2] != 'y')
    3841             :           break;
    3842             :         switch (Name[3]) {
    3843             :         default: break;
    3844         174 :         case 's':        // 1 string to match.
    3845         174 :           if (Name[4] != 'u')
    3846             :             break;
    3847             :           return MCK_mpysu;      // "mpysu"
    3848         175 :         case 'u':        // 1 string to match.
    3849         175 :           if (Name[4] != 'i')
    3850             :             break;
    3851             :           return MCK_mpyui;      // "mpyui"
    3852             :         }
    3853             :         break;
    3854             :       }
    3855             :       break;
    3856         139 :     case 'p':    // 2 strings to match.
    3857             :       switch (Name[1]) {
    3858             :       default: break;
    3859             :       case 'a':  // 1 string to match.
    3860           0 :         if (memcmp(Name.data()+2, "use", 3) != 0)
    3861             :           break;
    3862             :         return MCK_pause;        // "pause"
    3863             :       case 'm':  // 1 string to match.
    3864         139 :         if (memcmp(Name.data()+2, "pyw", 3) != 0)
    3865             :           break;
    3866             :         return MCK_pmpyw;        // "pmpyw"
    3867             :       }
    3868             :       break;
    3869             :     case 'r':    // 1 string to match.
    3870        1488 :       if (memcmp(Name.data()+1, "ound", 4) != 0)
    3871             :         break;
    3872             :       return MCK_round;  // "round"
    3873        2002 :     case 's':    // 10 strings to match.
    3874             :       switch (Name[1]) {
    3875             :       default: break;
    3876             :       case 'a':  // 2 strings to match.
    3877         174 :         if (memcmp(Name.data()+2, "tu", 2) != 0)
    3878             :           break;
    3879             :         switch (Name[4]) {
    3880             :         default: break;
    3881             :         case 'b':        // 1 string to match.
    3882             :           return MCK_satub;      // "satub"
    3883          88 :         case 'h':        // 1 string to match.
    3884          88 :           return MCK_satuh;      // "satuh"
    3885             :         }
    3886             :         break;
    3887             :       case 'c':  // 1 string to match.
    3888           1 :         if (memcmp(Name.data()+2, "ale", 3) != 0)
    3889             :           break;
    3890             :         return MCK_scale;        // "scale"
    3891        1825 :       case 'f':  // 6 strings to match.
    3892             :         switch (Name[2]) {
    3893             :         default: break;
    3894             :         case 'a':        // 1 string to match.
    3895         570 :           if (memcmp(Name.data()+3, "dd", 2) != 0)
    3896             :             break;
    3897             :           return MCK_sfadd;      // "sfadd"
    3898             :         case 'c':        // 1 string to match.
    3899         354 :           if (memcmp(Name.data()+3, "mp", 2) != 0)
    3900             :             break;
    3901             :           return MCK_sfcmp;      // "sfcmp"
    3902         699 :         case 'm':        // 3 strings to match.
    3903             :           switch (Name[3]) {
    3904             :           default: break;
    3905         196 :           case 'a':      // 1 string to match.
    3906         196 :             if (Name[4] != 'x')
    3907             :               break;
    3908             :             return MCK_sfmax;    // "sfmax"
    3909         198 :           case 'i':      // 1 string to match.
    3910         198 :             if (Name[4] != 'n')
    3911             :               break;
    3912             :             return MCK_sfmin;    // "sfmin"
    3913         305 :           case 'p':      // 1 string to match.
    3914         305 :             if (Name[4] != 'y')
    3915             :               break;
    3916             :             return MCK_sfmpy;    // "sfmpy"
    3917             :           }
    3918             :           break;
    3919             :         case 's':        // 1 string to match.
    3920         202 :           if (memcmp(Name.data()+3, "ub", 2) != 0)
    3921             :             break;
    3922             :           return MCK_sfsub;      // "sfsub"
    3923             :         }
    3924             :         break;
    3925             :       case 'h':  // 1 string to match.
    3926           2 :         if (memcmp(Name.data()+2, "ift", 3) != 0)
    3927             :           break;
    3928             :         return MCK_shift;        // "shift"
    3929             :       }
    3930             :       break;
    3931             :     case 't':    // 3 strings to match.
    3932           0 :       if (memcmp(Name.data()+1, "ra", 2) != 0)
    3933             :         break;
    3934             :       switch (Name[3]) {
    3935             :       default: break;
    3936           0 :       case 'c':  // 1 string to match.
    3937           0 :         if (Name[4] != 'e')
    3938             :           break;
    3939             :         return MCK_trace;        // "trace"
    3940           0 :       case 'p':  // 2 strings to match.
    3941             :         switch (Name[4]) {
    3942             :         default: break;
    3943             :         case '0':        // 1 string to match.
    3944             :           return MCK_trap0;      // "trap0"
    3945           0 :         case '1':        // 1 string to match.
    3946           0 :           return MCK_trap1;      // "trap1"
    3947             :         }
    3948             :         break;
    3949             :       }
    3950             :       break;
    3951       23904 :     case 'v':    // 55 strings to match.
    3952             :       switch (Name[1]) {
    3953             :       default: break;
    3954        8362 :       case 'a':  // 14 strings to match.
    3955             :         switch (Name[2]) {
    3956             :         default: break;
    3957         722 :         case 'b':        // 3 strings to match.
    3958         722 :           if (Name[3] != 's')
    3959             :             break;
    3960             :           switch (Name[4]) {
    3961             :           default: break;
    3962             :           case 'b':      // 1 string to match.
    3963             :             return MCK_vabsb;    // "vabsb"
    3964             :           case 'h':      // 1 string to match.
    3965             :             return MCK_vabsh;    // "vabsh"
    3966             :           case 'w':      // 1 string to match.
    3967             :             return MCK_vabsw;    // "vabsw"
    3968             :           }
    3969             :           break;
    3970             :         case 'c':        // 1 string to match.
    3971           0 :           if (memcmp(Name.data()+3, "sh", 2) != 0)
    3972             :             break;
    3973             :           return MCK_vacsh;      // "vacsh"
    3974        1799 :         case 'd':        // 3 strings to match.
    3975        1799 :           if (Name[3] != 'd')
    3976             :             break;
    3977             :           switch (Name[4]) {
    3978             :           default: break;
    3979             :           case 'b':      // 1 string to match.
    3980             :             return MCK_vaddb;    // "vaddb"
    3981             :           case 'h':      // 1 string to match.
    3982             :             return MCK_vaddh;    // "vaddh"
    3983             :           case 'w':      // 1 string to match.
    3984             :             return MCK_vaddw;    // "vaddw"
    3985             :           }
    3986             :           break;
    3987        3332 :         case 's':        // 4 strings to match.
    3988             :           switch (Name[3]) {
    3989             :           default: break;
    3990         942 :           case 'l':      // 2 strings to match.
    3991             :             switch (Name[4]) {
    3992             :             default: break;
    3993             :             case 'h':    // 1 string to match.
    3994             :               return MCK_vaslh;  // "vaslh"
    3995         473 :             case 'w':    // 1 string to match.
    3996         473 :               return MCK_vaslw;  // "vaslw"
    3997             :             }
    3998             :             break;
    3999        2390 :           case 'r':      // 2 strings to match.
    4000             :             switch (Name[4]) {
    4001             :             default: break;
    4002             :             case 'h':    // 1 string to match.
    4003             :               return MCK_vasrh;  // "vasrh"
    4004         972 :             case 'w':    // 1 string to match.
    4005         972 :               return MCK_vasrw;  // "vasrw"
    4006             :             }
    4007             :             break;
    4008             :           }
    4009             :           break;
    4010        2509 :         case 'v':        // 3 strings to match.
    4011        2509 :           if (Name[3] != 'g')
    4012             :             break;
    4013             :           switch (Name[4]) {
    4014             :           default: break;
    4015             :           case 'b':      // 1 string to match.
    4016             :             return MCK_vavgb;    // "vavgb"
    4017             :           case 'h':      // 1 string to match.
    4018             :             return MCK_vavgh;    // "vavgh"
    4019             :           case 'w':      // 1 string to match.
    4020             :             return MCK_vavgw;    // "vavgw"
    4021             :           }
    4022             :           break;
    4023             :         }
    4024             :         break;
    4025        2581 :       case 'c':  // 6 strings to match.
    4026             :         switch (Name[2]) {
    4027             :         default: break;
    4028           0 :         case 'l':        // 2 strings to match.
    4029           0 :           if (Name[3] != '0')
    4030             :             break;
    4031             :           switch (Name[4]) {
    4032             :           default: break;
    4033             :           case 'h':      // 1 string to match.
    4034             :             return MCK_vcl0h;    // "vcl0h"
    4035           0 :           case 'w':      // 1 string to match.
    4036           0 :             return MCK_vcl0w;    // "vcl0w"
    4037             :           }
    4038             :           break;
    4039        2261 :         case 'm':        // 3 strings to match.
    4040        2261 :           if (Name[3] != 'p')
    4041             :             break;
    4042             :           switch (Name[4]) {
    4043             :           default: break;
    4044             :           case 'b':      // 1 string to match.
    4045             :             return MCK_vcmpb;    // "vcmpb"
    4046             :           case 'h':      // 1 string to match.
    4047             :             return MCK_vcmph;    // "vcmph"
    4048             :           case 'w':      // 1 string to match.
    4049             :             return MCK_vcmpw;    // "vcmpw"
    4050             :           }
    4051             :           break;
    4052             :         case 'o':        // 1 string to match.
    4053         320 :           if (memcmp(Name.data()+3, "nj", 2) != 0)
    4054             :             break;
    4055             :           return MCK_vconj;      // "vconj"
    4056             :         }
    4057             :         break;
    4058        2817 :       case 'd':  // 3 strings to match.
    4059             :         switch (Name[2]) {
    4060             :         default: break;
    4061             :         case 'e':        // 1 string to match.
    4062          14 :           if (memcmp(Name.data()+3, "al", 2) != 0)
    4063             :             break;
    4064             :           return MCK_vdeal;      // "vdeal"
    4065             :         case 'm':        // 1 string to match.
    4066        2797 :           if (memcmp(Name.data()+3, "py", 2) != 0)
    4067             :             break;
    4068             :           return MCK_vdmpy;      // "vdmpy"
    4069             :         case 's':        // 1 string to match.
    4070           6 :           if (memcmp(Name.data()+3, "ad", 2) != 0)
    4071             :             break;
    4072             :           return MCK_vdsad;      // "vdsad"
    4073             :         }
    4074             :         break;
    4075             :       case 'h':  // 1 string to match.
    4076           0 :         if (memcmp(Name.data()+2, "ist", 3) != 0)
    4077             :           break;
    4078             :         return MCK_vhist;        // "vhist"
    4079        1409 :       case 'l':  // 5 strings to match.
    4080             :         switch (Name[2]) {
    4081             :         default: break;
    4082        1376 :         case 's':        // 4 strings to match.
    4083             :           switch (Name[3]) {
    4084             :           default: break;
    4085         362 :           case 'l':      // 2 strings to match.
    4086             :             switch (Name[4]) {
    4087             :             default: break;
    4088             :             case 'h':    // 1 string to match.
    4089             :               return MCK_vlslh;  // "vlslh"
    4090         182 :             case 'w':    // 1 string to match.
    4091         182 :               return MCK_vlslw;  // "vlslw"
    4092             :             }
    4093             :             break;
    4094        1014 :           case 'r':      // 2 strings to match.
    4095             :             switch (Name[4]) {
    4096             :             default: break;
    4097             :             case 'h':    // 1 string to match.
    4098             :               return MCK_vlsrh;  // "vlsrh"
    4099         509 :             case 'w':    // 1 string to match.
    4100         509 :               return MCK_vlsrw;  // "vlsrw"
    4101             :             }
    4102             :             break;
    4103             :           }
    4104             :           break;
    4105             :         case 'u':        // 1 string to match.
    4106          33 :           if (memcmp(Name.data()+3, "t4", 2) != 0)
    4107             :             break;
    4108             :           return MCK_vlut4;      // "vlut4"
    4109             :         }
    4110             :         break;
    4111        5788 :       case 'm':  // 12 strings to match.
    4112             :         switch (Name[2]) {
    4113             :         default: break;
    4114         576 :         case 'a':        // 3 strings to match.
    4115         576 :           if (Name[3] != 'x')
    4116             :             break;
    4117             :           switch (Name[4]) {
    4118             :           default: break;
    4119             :           case 'b':      // 1 string to match.
    4120             :             return MCK_vmaxb;    // "vmaxb"
    4121             :           case 'h':      // 1 string to match.
    4122             :             return MCK_vmaxh;    // "vmaxh"
    4123             :           case 'w':      // 1 string to match.
    4124             :             return MCK_vmaxw;    // "vmaxw"
    4125             :           }
    4126             :           break;
    4127             :         case 'e':        // 1 string to match.
    4128        1606 :           if (memcmp(Name.data()+3, "mu", 2) != 0)
    4129             :             break;
    4130             :           return MCK_vmemu;      // "vmemu"
    4131         612 :         case 'i':        // 3 strings to match.
    4132         612 :           if (Name[3] != 'n')
    4133             :             break;
    4134             :           switch (Name[4]) {
    4135             :           default: break;
    4136             :           case 'b':      // 1 string to match.
    4137             :             return MCK_vminb;    // "vminb"
    4138             :           case 'h':      // 1 string to match.
    4139             :             return MCK_vminh;    // "vminh"
    4140             :           case 'w':      // 1 string to match.
    4141             :             return MCK_vminw;    // "vminw"
    4142             :           }
    4143             :           break;
    4144        2994 :         case 'p':        // 5 strings to match.
    4145        2994 :           if (Name[3] != 'y')
    4146             :             break;
    4147             :           switch (Name[4]) {
    4148             :           default: break;
    4149             :           case 'b':      // 1 string to match.
    4150             :             return MCK_vmpyb;    // "vmpyb"
    4151             :           case 'e':      // 1 string to match.
    4152             :             return MCK_vmpye;    // "vmpye"
    4153             :           case 'h':      // 1 string to match.
    4154             :             return MCK_vmpyh;    // "vmpyh"
    4155             :           case 'i':      // 1 string to match.
    4156             :             return MCK_vmpyi;    // "vmpyi"
    4157             :           case 'o':      // 1 string to match.
    4158             :             return MCK_vmpyo;    // "vmpyo"
    4159             :           }
    4160             :           break;
    4161             :         }
    4162             :         break;
    4163             :       case 'n':  // 1 string to match.
    4164         112 :         if (memcmp(Name.data()+2, "avg", 3) != 0)
    4165             :           break;
    4166             :         return MCK_vnavg;        // "vnavg"
    4167             :       case 'p':  // 1 string to match.
    4168         156 :         if (memcmp(Name.data()+2, "ack", 3) != 0)
    4169             :           break;
    4170             :         return MCK_vpack;        // "vpack"
    4171         290 :       case 'r':  // 2 strings to match.
    4172             :         switch (Name[2]) {
    4173             :         default: break;
    4174             :         case 'm':        // 1 string to match.
    4175         290 :           if (memcmp(Name.data()+3, "py", 2) != 0)
    4176             :             break;
    4177             :           return MCK_vrmpy;      // "vrmpy"
    4178             :         case 's':        // 1 string to match.
    4179           0 :           if (memcmp(Name.data()+3, "ad", 2) != 0)
    4180             :             break;
    4181             :           return MCK_vrsad;      // "vrsad"
    4182             :         }
    4183             :         break;
    4184        2241 :       case 's':  // 7 strings to match.
    4185             :         switch (Name[2]) {
    4186             :         default: break;
    4187             :         case 'e':        // 1 string to match.
    4188           0 :           if (memcmp(Name.data()+3, "tq", 2) != 0)
    4189             :             break;
    4190             :           return MCK_vsetq;      // "vsetq"
    4191        2241 :         case 'u':        // 3 strings to match.
    4192        2241 :           if (Name[3] != 'b')
    4193             :             break;
    4194             :           switch (Name[4]) {
    4195             :           default: break;
    4196             :           case 'b':      // 1 string to match.
    4197             :             return MCK_vsubb;    // "vsubb"
    4198             :           case 'h':      // 1 string to match.
    4199             :             return MCK_vsubh;    // "vsubh"
    4200             :           case 'w':      // 1 string to match.
    4201             :             return MCK_vsubw;    // "vsubw"
    4202             :           }
    4203             :           break;
    4204             :         case 'w':        // 1 string to match.
    4205           0 :           if (memcmp(Name.data()+3, "ap", 2) != 0)
    4206             :             break;
    4207             :           return MCK_vswap;      // "vswap"
    4208           0 :         case 'x':        // 2 strings to match.
    4209           0 :           if (Name[3] != 't')
    4210             :             break;
    4211             :           switch (Name[4]) {
    4212             :           default: break;
    4213             :           case 'b':      // 1 string to match.
    4214             :             return MCK_vsxtb;    // "vsxtb"
    4215           0 :           case 'h':      // 1 string to match.
    4216           0 :             return MCK_vsxth;    // "vsxth"
    4217             :           }
    4218             :           break;
    4219             :         }
    4220             :         break;
    4221             :       case 't':  // 1 string to match.
    4222         148 :         if (memcmp(Name.data()+2, "mpy", 3) != 0)
    4223             :           break;
    4224             :         return MCK_vtmpy;        // "vtmpy"
    4225             :       case 'z':  // 2 strings to match.
    4226           0 :         if (memcmp(Name.data()+2, "xt", 2) != 0)
    4227             :           break;
    4228             :         switch (Name[4]) {
    4229             :         default: break;
    4230             :         case 'b':        // 1 string to match.
    4231             :           return MCK_vzxtb;      // "vzxtb"
    4232           0 :         case 'h':        // 1 string to match.
    4233           0 :           return MCK_vzxth;      // "vzxth"
    4234             :         }
    4235             :         break;
    4236             :       }
    4237             :       break;
    4238             :     }
    4239             :     break;
    4240       47680 :   case 6:        // 81 strings to match.
    4241             :     switch (Name[0]) {
    4242             :     default: break;
    4243             :     case 'D':    // 1 string to match.
    4244         396 :       if (memcmp(Name.data()+1, "UPLEX", 5) != 0)
    4245             :         break;
    4246             :       return MCK_DUPLEX;         // "DUPLEX"
    4247         531 :     case 'a':    // 2 strings to match.
    4248             :       switch (Name[1]) {
    4249             :       default: break;
    4250             :       case 'd':  // 1 string to match.
    4251         292 :         if (memcmp(Name.data()+2, "dasl", 4) != 0)
    4252             :           break;
    4253             :         return MCK_addasl;       // "addasl"
    4254             :       case 's':  // 1 string to match.
    4255         239 :         if (memcmp(Name.data()+2, "rrnd", 4) != 0)
    4256             :           break;
    4257             :         return MCK_asrrnd;       // "asrrnd"
    4258             :       }
    4259             :       break;
    4260         798 :     case 'c':    // 2 strings to match.
    4261             :       switch (Name[1]) {
    4262             :       default: break;
    4263             :       case 'l':  // 1 string to match.
    4264         409 :         if (memcmp(Name.data()+2, "rbit", 4) != 0)
    4265             :           break;
    4266             :         return MCK_clrbit;       // "clrbit"
    4267             :       case 'r':  // 1 string to match.
    4268         389 :         if (memcmp(Name.data()+2, "ound", 4) != 0)
    4269             :           break;
    4270             :         return MCK_cround;       // "cround"
    4271             :       }
    4272             :       break;
    4273         787 :     case 'd':    // 3 strings to match.
    4274             :       switch (Name[1]) {
    4275             :       default: break;
    4276             :       case 'c':  // 1 string to match.
    4277           0 :         if (memcmp(Name.data()+2, "inva", 4) != 0)
    4278             :           break;
    4279             :         return MCK_dcinva;       // "dcinva"
    4280             :       case 'e':  // 1 string to match.
    4281          98 :         if (memcmp(Name.data()+2, "cbin", 4) != 0)
    4282             :           break;
    4283             :         return MCK_decbin;       // "decbin"
    4284             :       case 'f':  // 1 string to match.
    4285         689 :         if (memcmp(Name.data()+2, "make", 4) != 0)
    4286             :           break;
    4287             :         return MCK_dfmake;       // "dfmake"
    4288             :       }
    4289             :       break;
    4290             :     case 'h':    // 1 string to match.
    4291           0 :       if (memcmp(Name.data()+1, "intjr", 5) != 0)
    4292             :         break;
    4293             :       return MCK_hintjr;         // "hintjr"
    4294        1236 :     case 'i':    // 4 strings to match.
    4295             :       switch (Name[1]) {
    4296             :       default: break;
    4297         142 :       case 'c':  // 2 strings to match.
    4298             :         switch (Name[2]) {
    4299             :         default: break;
    4300             :         case 'i':        // 1 string to match.
    4301           0 :           if (memcmp(Name.data()+3, "nva", 3) != 0)
    4302             :             break;
    4303             :           return MCK_icinva;     // "icinva"
    4304             :         case 'o':        // 1 string to match.
    4305         142 :           if (memcmp(Name.data()+3, "nst", 3) != 0)
    4306             :             break;
    4307             :           return MCK_iconst;     // "iconst"
    4308             :         }
    4309             :         break;
    4310             :       case 'm':  // 1 string to match.
    4311           0 :         if (memcmp(Name.data()+2, "mext", 4) != 0)
    4312             :           break;
    4313             :         return MCK_immext;       // "immext"
    4314             :       case 'n':  // 1 string to match.
    4315        1094 :         if (memcmp(Name.data()+2, "sert", 4) != 0)
    4316             :           break;
    4317             :         return MCK_insert;       // "insert"
    4318             :       }
    4319             :       break;
    4320             :     case 'm':    // 1 string to match.
    4321        7991 :       if (memcmp(Name.data()+1, "emubh", 5) != 0)
    4322             :         break;
    4323             :       return MCK_memubh;         // "memubh"
    4324         493 :     case 'p':    // 2 strings to match.
    4325         493 :       if (Name[1] != 'a')
    4326             :         break;
    4327             :       switch (Name[2]) {
    4328             :       default: break;
    4329             :       case 'c':  // 1 string to match.
    4330         128 :         if (memcmp(Name.data()+3, "khl", 3) != 0)
    4331             :           break;
    4332             :         return MCK_packhl;       // "packhl"
    4333             :       case 'r':  // 1 string to match.
    4334         365 :         if (memcmp(Name.data()+3, "ity", 3) != 0)
    4335             :           break;
    4336             :         return MCK_parity;       // "parity"
    4337             :       }
    4338             :       break;
    4339        1228 :     case 's':    // 3 strings to match.
    4340             :       switch (Name[1]) {
    4341             :       default: break;
    4342             :       case 'e':  // 1 string to match.
    4343         507 :         if (memcmp(Name.data()+2, "tbit", 4) != 0)
    4344             :           break;
    4345             :         return MCK_setbit;       // "setbit"
    4346             :       case 'f':  // 1 string to match.
    4347         721 :         if (memcmp(Name.data()+2, "make", 4) != 0)
    4348             :           break;
    4349             :         return MCK_sfmake;       // "sfmake"
    4350             :       case 'y':  // 1 string to match.
    4351           0 :         if (memcmp(Name.data()+2, "ncht", 4) != 0)
    4352             :           break;
    4353             :         return MCK_syncht;       // "syncht"
    4354             :       }
    4355             :       break;
    4356             :     case 't':    // 1 string to match.
    4357        1830 :       if (memcmp(Name.data()+1, "stbit", 5) != 0)
    4358             :         break;
    4359             :       return MCK_tstbit;         // "tstbit"
    4360       15767 :     case 'v':    // 61 strings to match.
    4361             :       switch (Name[1]) {
    4362             :       default: break;
    4363        2994 :       case 'a':  // 9 strings to match.
    4364             :         switch (Name[2]) {
    4365             :         default: break;
    4366             :         case 'd':        // 3 strings to match.
    4367        1293 :           if (memcmp(Name.data()+3, "du", 2) != 0)
    4368             :             break;
    4369             :           switch (Name[5]) {
    4370             :           default: break;
    4371             :           case 'b':      // 1 string to match.
    4372             :             return MCK_vaddub;   // "vaddub"
    4373             :           case 'h':      // 1 string to match.
    4374             :             return MCK_vadduh;   // "vadduh"
    4375             :           case 'w':      // 1 string to match.
    4376             :             return MCK_vadduw;   // "vadduw"
    4377             :           }
    4378             :           break;
    4379             :         case 'l':        // 1 string to match.
    4380           0 :           if (memcmp(Name.data()+3, "ign", 3) != 0)
    4381             :             break;
    4382             :           return MCK_valign;     // "valign"
    4383           0 :         case 's':        // 2 strings to match.
    4384           0 :           if (Name[3] != 'r')
    4385             :             break;
    4386             :           switch (Name[4]) {
    4387             :           default: break;
    4388           0 :           case 'h':      // 1 string to match.
    4389           0 :             if (Name[5] != 'b')
    4390             :               break;
    4391             :             return MCK_vasrhb;   // "vasrhb"
    4392           0 :           case 'w':      // 1 string to match.
    4393           0 :             if (Name[5] != 'h')
    4394             :               break;
    4395             :             return MCK_vasrwh;   // "vasrwh"
    4396             :           }
    4397             :           break;
    4398             :         case 'v':        // 3 strings to match.
    4399        1701 :           if (memcmp(Name.data()+3, "gu", 2) != 0)
    4400             :             break;
    4401             :           switch (Name[5]) {
    4402             :           default: break;
    4403             :           case 'b':      // 1 string to match.
    4404             :             return MCK_vavgub;   // "vavgub"
    4405             :           case 'h':      // 1 string to match.
    4406             :             return MCK_vavguh;   // "vavguh"
    4407             :           case 'w':      // 1 string to match.
    4408             :             return MCK_vavguw;   // "vavguw"
    4409             :           }
    4410             :           break;
    4411             :         }
    4412             :         break;
    4413        2206 :       case 'c':  // 3 strings to match.
    4414             :         switch (Name[2]) {
    4415             :         default: break;
    4416             :         case 'm':        // 2 strings to match.
    4417        2030 :           if (memcmp(Name.data()+3, "py", 2) != 0)
    4418             :             break;
    4419             :           switch (Name[5]) {
    4420             :           default: break;
    4421             :           case 'i':      // 1 string to match.
    4422             :             return MCK_vcmpyi;   // "vcmpyi"
    4423        1018 :           case 'r':      // 1 string to match.
    4424        1018 :             return MCK_vcmpyr;   // "vcmpyr"
    4425             :           }
    4426             :           break;
    4427             :         case 'n':        // 1 string to match.
    4428         176 :           if (memcmp(Name.data()+3, "egh", 3) != 0)
    4429             :             break;
    4430             :           return MCK_vcnegh;     // "vcnegh"
    4431             :         }
    4432             :         break;
    4433         107 :       case 'd':  // 5 strings to match.
    4434             :         switch (Name[2]) {
    4435             :         default: break;
    4436         107 :         case 'e':        // 4 strings to match.
    4437             :           switch (Name[3]) {
    4438             :           default: break;
    4439          17 :           case 'a':      // 3 strings to match.
    4440          17 :             if (Name[4] != 'l')
    4441             :               break;
    4442             :             switch (Name[5]) {
    4443             :             default: break;
    4444             :             case 'b':    // 1 string to match.
    4445             :               return MCK_vdealb;         // "vdealb"
    4446             :             case 'e':    // 1 string to match.
    4447             :               return MCK_vdeale;         // "vdeale"
    4448             :             case 'h':    // 1 string to match.
    4449             :               return MCK_vdealh;         // "vdealh"
    4450             :             }
    4451             :             break;
    4452             :           case 'l':      // 1 string to match.
    4453          90 :             if (memcmp(Name.data()+4, "ta", 2) != 0)
    4454             :               break;
    4455             :             return MCK_vdelta;   // "vdelta"
    4456             :           }
    4457             :           break;
    4458             :         case 'm':        // 1 string to match.
    4459           0 :           if (memcmp(Name.data()+3, "pyh", 3) != 0)
    4460             :             break;
    4461             :           return MCK_vdmpyh;     // "vdmpyh"
    4462             :         }
    4463             :         break;
    4464             :       case 'l':  // 2 strings to match.
    4465         254 :         if (memcmp(Name.data()+2, "ut", 2) != 0)
    4466             :           break;
    4467             :         switch (Name[4]) {
    4468             :         default: break;
    4469         121 :         case '1':        // 1 string to match.
    4470         121 :           if (Name[5] != '6')
    4471             :             break;
    4472             :           return MCK_vlut16;     // "vlut16"
    4473         133 :         case '3':        // 1 string to match.
    4474         133 :           if (Name[5] != '2')
    4475             :             break;
    4476             :           return MCK_vlut32;     // "vlut32"
    4477             :         }
    4478             :         break;
    4479        2714 :       case 'm':  // 14 strings to match.
    4480             :         switch (Name[2]) {
    4481             :         default: break;
    4482             :         case 'a':        // 3 strings to match.
    4483         582 :           if (memcmp(Name.data()+3, "xu", 2) != 0)
    4484             :             break;
    4485             :           switch (Name[5]) {
    4486             :           default: break;
    4487             :           case 'b':      // 1 string to match.
    4488             :             return MCK_vmaxub;   // "vmaxub"
    4489             :           case 'h':      // 1 string to match.
    4490             :             return MCK_vmaxuh;   // "vmaxuh"
    4491             :           case 'w':      // 1 string to match.
    4492             :             return MCK_vmaxuw;   // "vmaxuw"
    4493             :           }
    4494             :           break;
    4495             :         case 'i':        // 3 strings to match.
    4496         621 :           if (memcmp(Name.data()+3, "nu", 2) != 0)
    4497             :             break;
    4498             :           switch (Name[5]) {
    4499             :           default: break;
    4500             :           case 'b':      // 1 string to match.
    4501             :             return MCK_vminub;   // "vminub"
    4502             :           case 'h':      // 1 string to match.
    4503             :             return MCK_vminuh;   // "vminuh"
    4504             :           case 'w':      // 1 string to match.
    4505             :             return MCK_vminuw;   // "vminuw"
    4506             :           }
    4507             :           break;
    4508        1511 :         case 'p':        // 8 strings to match.
    4509             :           switch (Name[3]) {
    4510             :           default: break;
    4511             :           case 'a':      // 1 string to match.
    4512           0 :             if (memcmp(Name.data()+4, "hb", 2) != 0)
    4513             :               break;
    4514             :             return MCK_vmpahb;   // "vmpahb"
    4515        1511 :           case 'y':      // 7 strings to match.
    4516             :             switch (Name[4]) {
    4517             :             default: break;
    4518         233 :             case 'b':    // 1 string to match.
    4519         233 :               if (Name[5] != 'u')
    4520             :                 break;
    4521             :               return MCK_vmpybu;         // "vmpybu"
    4522        1169 :             case 'e':    // 1 string to match.
    4523        1169 :               if (Name[5] != 'h')
    4524             :                 break;
    4525             :               return MCK_vmpyeh;         // "vmpyeh"
    4526         109 :             case 'i':    // 3 strings to match.
    4527             :               switch (Name[5]) {
    4528             :               default: break;
    4529             :               case 'e':  // 1 string to match.
    4530             :                 return MCK_vmpyie;       // "vmpyie"
    4531             :               case 'h':  // 1 string to match.
    4532             :                 return MCK_vmpyih;       // "vmpyih"
    4533             :               case 'o':  // 1 string to match.
    4534             :                 return MCK_vmpyio;       // "vmpyio"
    4535             :               }
    4536             :               break;
    4537           0 :             case 'u':    // 2 strings to match.
    4538             :               switch (Name[5]) {
    4539             :               default: break;
    4540             :               case 'b':  // 1 string to match.
    4541             :                 return MCK_vmpyub;       // "vmpyub"
    4542           0 :               case 'h':  // 1 string to match.
    4543           0 :                 return MCK_vmpyuh;       // "vmpyuh"
    4544             :               }
    4545             :               break;
    4546             :             }
    4547             :             break;
    4548             :           }
    4549             :           break;
    4550             :         }
    4551             :         break;
    4552             :       case 'n':  // 3 strings to match.
    4553        2714 :         if (memcmp(Name.data()+2, "avg", 3) != 0)
    4554             :           break;
    4555             :         switch (Name[5]) {
    4556             :         default: break;
    4557             :         case 'b':        // 1 string to match.
    4558             :           return MCK_vnavgb;     // "vnavgb"
    4559             :         case 'h':        // 1 string to match.
    4560             :           return MCK_vnavgh;     // "vnavgh"
    4561             :         case 'w':        // 1 string to match.
    4562             :           return MCK_vnavgw;     // "vnavgw"
    4563             :         }
    4564             :         break;
    4565         379 :       case 'p':  // 3 strings to match.
    4566             :         switch (Name[2]) {
    4567             :         default: break;
    4568             :         case 'a':        // 2 strings to match.
    4569         148 :           if (memcmp(Name.data()+3, "ck", 2) != 0)
    4570             :             break;
    4571             :           switch (Name[5]) {
    4572             :           default: break;
    4573             :           case 'e':      // 1 string to match.
    4574             :             return MCK_vpacke;   // "vpacke"
    4575          76 :           case 'o':      // 1 string to match.
    4576          76 :             return MCK_vpacko;   // "vpacko"
    4577             :           }
    4578             :           break;
    4579             :         case 'm':        // 1 string to match.
    4580         231 :           if (memcmp(Name.data()+3, "pyh", 3) != 0)
    4581             :             break;
    4582             :           return MCK_vpmpyh;     // "vpmpyh"
    4583             :         }
    4584             :         break;
    4585        2044 :       case 'r':  // 9 strings to match.
    4586             :         switch (Name[2]) {
    4587             :         default: break;
    4588             :         case 'a':        // 1 string to match.
    4589         220 :           if (memcmp(Name.data()+3, "ddh", 3) != 0)
    4590             :             break;
    4591             :           return MCK_vraddh;     // "vraddh"
    4592        1225 :         case 'm':        // 6 strings to match.
    4593             :           switch (Name[3]) {
    4594             :           default: break;
    4595         462 :           case 'a':      // 2 strings to match.
    4596         462 :             if (Name[4] != 'x')
    4597             :               break;
    4598             :             switch (Name[5]) {
    4599             :             default: break;
    4600             :             case 'h':    // 1 string to match.
    4601             :               return MCK_vrmaxh;         // "vrmaxh"
    4602         234 :             case 'w':    // 1 string to match.
    4603         234 :               return MCK_vrmaxw;         // "vrmaxw"
    4604             :             }
    4605             :             break;
    4606         478 :           case 'i':      // 2 strings to match.
    4607         478 :             if (Name[4] != 'n')
    4608             :               break;
    4609             :             switch (Name[5]) {
    4610             :             default: break;
    4611             :             case 'h':    // 1 string to match.
    4612             :               return MCK_vrminh;         // "vrminh"
    4613         242 :             case 'w':    // 1 string to match.
    4614         242 :               return MCK_vrminw;         // "vrminw"
    4615             :             }
    4616             :             break;
    4617         285 :           case 'p':      // 2 strings to match.
    4618         285 :             if (Name[4] != 'y')
    4619             :               break;
    4620             :             switch (Name[5]) {
    4621             :             default: break;
    4622             :             case 'b':    // 1 string to match.
    4623             :               return MCK_vrmpyb;         // "vrmpyb"
    4624         285 :             case 'h':    // 1 string to match.
    4625         285 :               return MCK_vrmpyh;         // "vrmpyh"
    4626             :             }
    4627             :             break;
    4628             :           }
    4629             :           break;
    4630             :         case 'n':        // 1 string to match.
    4631         381 :           if (memcmp(Name.data()+3, "dwh", 3) != 0)
    4632             :             break;
    4633             :           return MCK_vrndwh;     // "vrndwh"
    4634             :         case 'o':        // 1 string to match.
    4635         218 :           if (memcmp(Name.data()+3, "und", 3) != 0)
    4636             :             break;
    4637             :           return MCK_vround;     // "vround"
    4638             :         }
    4639             :         break;
    4640        2205 :       case 's':  // 10 strings to match.
    4641             :         switch (Name[2]) {
    4642             :         default: break;
    4643         429 :         case 'a':        // 2 strings to match.
    4644         429 :           if (Name[3] != 't')
    4645             :             break;
    4646             :           switch (Name[4]) {
    4647             :           default: break;
    4648         259 :           case 'h':      // 1 string to match.
    4649         259 :             if (Name[5] != 'b')
    4650             :               break;
    4651             :             return MCK_vsathb;   // "vsathb"
    4652         170 :           case 'w':      // 1 string to match.
    4653         170 :             if (Name[5] != 'h')
    4654             :               break;
    4655             :             return MCK_vsatwh;   // "vsatwh"
    4656             :           }
    4657             :           break;
    4658             :         case 'e':        // 1 string to match.
    4659           5 :           if (memcmp(Name.data()+3, "tq2", 3) != 0)
    4660             :             break;
    4661             :           return MCK_vsetq2;     // "vsetq2"
    4662             :         case 'h':        // 1 string to match.
    4663         118 :           if (memcmp(Name.data()+3, "uff", 3) != 0)
    4664             :             break;
    4665             :           return MCK_vshuff;     // "vshuff"
    4666             :         case 'p':        // 1 string to match.
    4667           6 :           if (memcmp(Name.data()+3, "lat", 3) != 0)
    4668             :             break;
    4669             :           return MCK_vsplat;     // "vsplat"
    4670             :         case 'u':        // 3 strings to match.
    4671        1505 :           if (memcmp(Name.data()+3, "bu", 2) != 0)
    4672             :             break;
    4673             :           switch (Name[5]) {
    4674             :           default: break;
    4675             :           case 'b':      // 1 string to match.
    4676             :             return MCK_vsubub;   // "vsubub"
    4677             :           case 'h':      // 1 string to match.
    4678             :             return MCK_vsubuh;   // "vsubuh"
    4679             :           case 'w':      // 1 string to match.
    4680             :             return MCK_vsubuw;   // "vsubuw"
    4681             :           }
    4682             :           break;
    4683         142 :         case 'x':        // 2 strings to match.
    4684         142 :           if (Name[3] != 't')
    4685             :             break;
    4686             :           switch (Name[4]) {
    4687             :           default: break;
    4688          70 :           case 'b':      // 1 string to match.
    4689          70 :             if (Name[5] != 'h')
    4690             :               break;
    4691             :             return MCK_vsxtbh;   // "vsxtbh"
    4692          72 :           case 'h':      // 1 string to match.
    4693          72 :             if (Name[5] != 'w')
    4694             :               break;
    4695             :             return MCK_vsxthw;   // "vsxthw"
    4696             :           }
    4697             :           break;
    4698             :         }
    4699             :         break;
    4700             :       case 't':  // 1 string to match.
    4701           0 :         if (memcmp(Name.data()+2, "mpyb", 4) != 0)
    4702             :           break;
    4703             :         return MCK_vtmpyb;       // "vtmpyb"
    4704             :       case 'z':  // 2 strings to match.
    4705         150 :         if (memcmp(Name.data()+2, "xt", 2) != 0)
    4706             :           break;
    4707             :         switch (Name[4]) {
    4708             :         default: break;
    4709          74 :         case 'b':        // 1 string to match.
    4710          74 :           if (Name[5] != 'h')
    4711             :             break;
    4712             :           return MCK_vzxtbh;     // "vzxtbh"
    4713          76 :         case 'h':        // 1 string to match.
    4714          76 :           if (Name[5] != 'w')
    4715             :             break;
    4716             :           return MCK_vzxthw;     // "vzxthw"
    4717             :         }
    4718             :         break;
    4719             :       }
    4720             :       break;
    4721             :     }
    4722             :     break;
    4723       41172 :   case 7:        // 76 strings to match.
    4724             :     switch (Name[0]) {
    4725             :     default: break;
    4726             :     case 'C':    // 2 strings to match.
    4727        2979 :       if (memcmp(Name.data()+1, "ONST", 4) != 0)
    4728             :         break;
    4729             :       switch (Name[5]) {
    4730             :       default: break;
    4731           0 :       case '3':  // 1 string to match.
    4732           0 :         if (Name[6] != '2')
    4733             :           break;
    4734             :         return MCK_CONST32;      // "CONST32"
    4735           0 :       case '6':  // 1 string to match.
    4736           0 :         if (Name[6] != '4')
    4737             :           break;
    4738             :         return MCK_CONST64;      // "CONST64"
    4739             :       }
    4740             :       break;
    4741          72 :     case 'b':    // 3 strings to match.
    4742             :       switch (Name[1]) {
    4743             :       default: break;
    4744             :       case 'a':  // 1 string to match.
    4745           0 :         if (memcmp(Name.data()+2, "rrier", 5) != 0)
    4746             :           break;
    4747             :         return MCK_barrier;      // "barrier"
    4748             :       case 'i':  // 2 strings to match.
    4749          72 :         if (memcmp(Name.data()+2, "ts", 2) != 0)
    4750             :           break;
    4751             :         switch (Name[4]) {
    4752             :         default: break;
    4753             :         case 'c':        // 1 string to match.
    4754          56 :           if (memcmp(Name.data()+5, "lr", 2) != 0)
    4755             :             break;
    4756             :           return MCK_bitsclr;    // "bitsclr"
    4757             :         case 's':        // 1 string to match.
    4758          16 :           if (memcmp(Name.data()+5, "et", 2) != 0)
    4759             :             break;
    4760             :           return MCK_bitsset;    // "bitsset"
    4761             :         }
    4762             :         break;
    4763             :       }
    4764             :       break;
    4765        5935 :     case 'c':    // 3 strings to match.
    4766             :       switch (Name[1]) {
    4767             :       default: break;
    4768             :       case 'm':  // 2 strings to match.
    4769        2542 :         if (memcmp(Name.data()+2, "py", 2) != 0)
    4770             :           break;
    4771             :         switch (Name[4]) {
    4772             :         default: break;
    4773             :         case 'i':        // 1 string to match.
    4774        1269 :           if (memcmp(Name.data()+5, "wh", 2) != 0)
    4775             :             break;
    4776             :           return MCK_cmpyiwh;    // "cmpyiwh"
    4777             :         case 'r':        // 1 string to match.
    4778        1273 :           if (memcmp(Name.data()+5, "wh", 2) != 0)
    4779             :             break;
    4780             :           return MCK_cmpyrwh;    // "cmpyrwh"
    4781             :         }
    4782             :         break;
    4783             :       case 'o':  // 1 string to match.
    4784        3393 :         if (memcmp(Name.data()+2, "mbine", 5) != 0)
    4785             :           break;
    4786             :         return MCK_combine;      // "combine"
    4787             :       }
    4788             :       break;
    4789          78 :     case 'd':    // 3 strings to match.
    4790             :       switch (Name[1]) {
    4791             :       default: break;
    4792           0 :       case 'c':  // 2 strings to match.
    4793             :         switch (Name[2]) {
    4794             :         default: break;
    4795             :         case 'f':        // 1 string to match.
    4796           0 :           if (memcmp(Name.data()+3, "etch", 4) != 0)
    4797             :             break;
    4798             :           return MCK_dcfetch;    // "dcfetch"
    4799             :         case 'z':        // 1 string to match.
    4800           0 :           if (memcmp(Name.data()+3, "eroa", 4) != 0)
    4801             :             break;
    4802             :           return MCK_dczeroa;    // "dczeroa"
    4803             :         }
    4804             :         break;
    4805             :       case 'f':  // 1 string to match.
    4806          78 :         if (memcmp(Name.data()+2, "class", 5) != 0)
    4807             :           break;
    4808             :         return MCK_dfclass;      // "dfclass"
    4809             :       }
    4810             :       break;
    4811             :     case 'e':    // 1 string to match.
    4812        1076 :       if (memcmp(Name.data()+1, "xtract", 6) != 0)
    4813             :         break;
    4814             :       return MCK_extract;        // "extract"
    4815             :     case 'l':    // 1 string to match.
    4816           0 :       if (memcmp(Name.data()+1, "2fetch", 6) != 0)
    4817             :         break;
    4818             :       return MCK_l2fetch;        // "l2fetch"
    4819             :     case 'm':    // 1 string to match.
    4820         168 :       if (memcmp(Name.data()+1, "odwrap", 6) != 0)
    4821             :         break;
    4822             :       return MCK_modwrap;        // "modwrap"
    4823         147 :     case 'n':    // 2 strings to match.
    4824         147 :       if (Name[1] != 'o')
    4825             :         break;
    4826             :       switch (Name[2]) {
    4827             :       default: break;
    4828             :       case 'm':  // 1 string to match.
    4829           2 :         if (memcmp(Name.data()+3, "atch", 4) != 0)
    4830             :           break;
    4831             :         return MCK_nomatch;      // "nomatch"
    4832             :       case 'r':  // 1 string to match.
    4833         145 :         if (memcmp(Name.data()+3, "mamt", 4) != 0)
    4834             :           break;
    4835             :         return MCK_normamt;      // "normamt"
    4836             :       }
    4837             :       break;
    4838         583 :     case 's':    // 5 strings to match.
    4839             :       switch (Name[1]) {
    4840             :       default: break;
    4841             :       case 'f':  // 1 string to match.
    4842          43 :         if (memcmp(Name.data()+2, "class", 5) != 0)
    4843             :           break;
    4844             :         return MCK_sfclass;      // "sfclass"
    4845             :       case 'h':  // 4 strings to match.
    4846         540 :         if (memcmp(Name.data()+2, "uff", 3) != 0)
    4847             :           break;
    4848             :         switch (Name[5]) {
    4849             :         default: break;
    4850         266 :         case 'e':        // 2 strings to match.
    4851             :           switch (Name[6]) {
    4852             :           default: break;
    4853             :           case 'b':      // 1 string to match.
    4854             :             return MCK_shuffeb;  // "shuffeb"
    4855         134 :           case 'h':      // 1 string to match.
    4856         134 :             return MCK_shuffeh;  // "shuffeh"
    4857             :           }
    4858             :           break;
    4859         274 :         case 'o':        // 2 strings to match.
    4860             :           switch (Name[6]) {
    4861             :           default: break;
    4862             :           case 'b':      // 1 string to match.
    4863             :             return MCK_shuffob;  // "shuffob"
    4864         138 :           case 'h':      // 1 string to match.
    4865         138 :             return MCK_shuffoh;  // "shuffoh"
    4866             :           }
    4867             :           break;
    4868             :         }
    4869             :         break;
    4870             :       }
    4871             :       break;
    4872       19205 :     case 'v':    // 55 strings to match.
    4873             :       switch (Name[1]) {
    4874             :       default: break;
    4875        2187 :       case 'a':  // 4 strings to match.
    4876             :         switch (Name[2]) {
    4877             :         default: break;
    4878             :         case 'd':        // 1 string to match.
    4879         368 :           if (memcmp(Name.data()+3, "dhub", 4) != 0)
    4880             :             break;
    4881             :           return MCK_vaddhub;    // "vaddhub"
    4882             :         case 'l':        // 1 string to match.
    4883         467 :           if (memcmp(Name.data()+3, "ignb", 4) != 0)
    4884             :             break;
    4885             :           return MCK_valignb;    // "valignb"
    4886        1352 :         case 's':        // 2 strings to match.
    4887        1352 :           if (Name[3] != 'r')
    4888             :             break;
    4889             :           switch (Name[4]) {
    4890             :           default: break;
    4891             :           case 'h':      // 1 string to match.
    4892        1352 :             if (memcmp(Name.data()+5, "ub", 2) != 0)
    4893             :               break;
    4894             :             return MCK_vasrhub;  // "vasrhub"
    4895             :           case 'w':      // 1 string to match.
    4896           0 :             if (memcmp(Name.data()+5, "uh", 2) != 0)
    4897             :               break;
    4898             :             return MCK_vasrwuh;  // "vasrwuh"
    4899             :           }
    4900             :           break;
    4901             :         }
    4902             :         break;
    4903           0 :       case 'd':  // 2 strings to match.
    4904             :         switch (Name[2]) {
    4905             :         default: break;
    4906             :         case 'm':        // 1 string to match.
    4907           0 :           if (memcmp(Name.data()+3, "pyhb", 4) != 0)
    4908             :             break;
    4909             :           return MCK_vdmpyhb;    // "vdmpyhb"
    4910             :         case 's':        // 1 string to match.
    4911           0 :           if (memcmp(Name.data()+3, "aduh", 4) != 0)
    4912             :             break;
    4913             :           return MCK_vdsaduh;    // "vdsaduh"
    4914             :         }
    4915             :         break;
    4916             :       case 'g':  // 1 string to match.
    4917         438 :         if (memcmp(Name.data()+2, "ather", 5) != 0)
    4918             :           break;
    4919             :         return MCK_vgather;      // "vgather"
    4920         219 :       case 'i':  // 2 strings to match.
    4921             :         switch (Name[2]) {
    4922             :         default: break;
    4923             :         case 'n':        // 1 string to match.
    4924           3 :           if (memcmp(Name.data()+3, "sert", 4) != 0)
    4925             :             break;
    4926             :           return MCK_vinsert;    // "vinsert"
    4927             :         case 't':        // 1 string to match.
    4928         216 :           if (memcmp(Name.data()+3, "pack", 4) != 0)
    4929             :             break;
    4930             :           return MCK_vitpack;    // "vitpack"
    4931             :         }
    4932             :         break;
    4933             :       case 'l':  // 1 string to match.
    4934           0 :         if (memcmp(Name.data()+2, "align", 5) != 0)
    4935             :           break;
    4936             :         return MCK_vlalign;      // "vlalign"
    4937        6528 :       case 'm':  // 14 strings to match.
    4938        6528 :         if (Name[2] != 'p')
    4939             :           break;
    4940             :         switch (Name[3]) {
    4941             :         default: break;
    4942           0 :         case 'a':        // 3 strings to match.
    4943             :           switch (Name[4]) {
    4944             :           default: break;
    4945           0 :           case 'b':      // 2 strings to match.
    4946           0 :             if (Name[5] != 'u')
    4947             :               break;
    4948             :             switch (Name[6]) {
    4949             :             default: break;
    4950             :             case 's':    // 1 string to match.
    4951             :               return MCK_vmpabus;        // "vmpabus"
    4952           0 :             case 'u':    // 1 string to match.
    4953           0 :               return MCK_vmpabuu;        // "vmpabuu"
    4954             :             }
    4955             :             break;
    4956             :           case 'u':      // 1 string to match.
    4957           0 :             if (memcmp(Name.data()+5, "hb", 2) != 0)
    4958             :               break;
    4959             :             return MCK_vmpauhb;  // "vmpauhb"
    4960             :           }
    4961             :           break;
    4962        6528 :         case 'y':        // 11 strings to match.
    4963             :           switch (Name[4]) {
    4964             :           default: break;
    4965         229 :           case 'b':      // 2 strings to match.
    4966             :             switch (Name[5]) {
    4967             :             default: break;
    4968         229 :             case 's':    // 1 string to match.
    4969         229 :               if (Name[6] != 'u')
    4970             :                 break;
    4971             :               return MCK_vmpybsu;        // "vmpybsu"
    4972           0 :             case 'u':    // 1 string to match.
    4973           0 :               if (Name[6] != 's')
    4974             :                 break;
    4975             :               return MCK_vmpybus;        // "vmpybus"
    4976             :             }
    4977             :             break;
    4978        1166 :           case 'h':      // 2 strings to match.
    4979             :             switch (Name[5]) {
    4980             :             default: break;
    4981        1166 :             case 's':    // 1 string to match.
    4982        1166 :               if (Name[6] != 'u')
    4983             :                 break;
    4984             :               return MCK_vmpyhsu;        // "vmpyhsu"
    4985           0 :             case 'u':    // 1 string to match.
    4986           0 :               if (Name[6] != 's')
    4987             :                 break;
    4988             :               return MCK_vmpyhus;        // "vmpyhus"
    4989             :             }
    4990             :             break;
    4991          41 :           case 'i':      // 4 strings to match.
    4992             :             switch (Name[5]) {
    4993             :             default: break;
    4994          41 :             case 'e':    // 1 string to match.
    4995          41 :               if (Name[6] != 'o')
    4996             :                 break;
    4997             :               return MCK_vmpyieo;        // "vmpyieo"
    4998           0 :             case 'h':    // 1 string to match.
    4999           0 :               if (Name[6] != 'b')
    5000             :                 break;
    5001             :               return MCK_vmpyihb;        // "vmpyihb"
    5002           0 :             case 'w':    // 2 strings to match.
    5003             :               switch (Name[6]) {
    5004             :               default: break;
    5005             :               case 'b':  // 1 string to match.
    5006             :                 return MCK_vmpyiwb;      // "vmpyiwb"
    5007           0 :               case 'h':  // 1 string to match.
    5008           0 :                 return MCK_vmpyiwh;      // "vmpyiwh"
    5009             :               }
    5010             :               break;
    5011             :             }
    5012             :             break;
    5013             :           case 'o':      // 1 string to match.
    5014           0 :             if (memcmp(Name.data()+5, "wh", 2) != 0)
    5015             :               break;
    5016             :             return MCK_vmpyowh;  // "vmpyowh"
    5017        5092 :           case 'w':      // 2 strings to match.
    5018             :             switch (Name[5]) {
    5019             :             default: break;
    5020        2530 :             case 'e':    // 1 string to match.
    5021        2530 :               if (Name[6] != 'h')
    5022             :                 break;
    5023             :               return MCK_vmpyweh;        // "vmpyweh"
    5024        2562 :             case 'o':    // 1 string to match.
    5025        2562 :               if (Name[6] != 'h')
    5026             :                 break;
    5027             :               return MCK_vmpywoh;        // "vmpywoh"
    5028             :             }
    5029             :             break;
    5030             :           }
    5031             :           break;
    5032             :         }
    5033             :         break;
    5034             :       case 'n':  // 1 string to match.
    5035           0 :         if (memcmp(Name.data()+2, "avgub", 5) != 0)
    5036             :           break;
    5037             :         return MCK_vnavgub;      // "vnavgub"
    5038             :       case 'p':  // 6 strings to match.
    5039           0 :         if (memcmp(Name.data()+2, "ack", 3) != 0)
    5040             :           break;
    5041             :         switch (Name[5]) {
    5042             :         default: break;
    5043           0 :         case 'e':        // 2 strings to match.
    5044             :           switch (Name[6]) {
    5045             :           default: break;
    5046             :           case 'b':      // 1 string to match.
    5047             :             return MCK_vpackeb;  // "vpackeb"
    5048           0 :           case 'h':      // 1 string to match.
    5049           0 :             return MCK_vpackeh;  // "vpackeh"
    5050             :           }
    5051             :           break;
    5052           0 :         case 'h':        // 1 string to match.
    5053           0 :           if (Name[6] != 'b')
    5054             :             break;
    5055             :           return MCK_vpackhb;    // "vpackhb"
    5056           0 :         case 'o':        // 2 strings to match.
    5057             :           switch (Name[6]) {
    5058             :           default: break;
    5059             :           case 'b':      // 1 string to match.
    5060             :             return MCK_vpackob;  // "vpackob"
    5061           0 :           case 'h':      // 1 string to match.
    5062           0 :             return MCK_vpackoh;  // "vpackoh"
    5063             :           }
    5064             :           break;
    5065           0 :         case 'w':        // 1 string to match.
    5066           0 :           if (Name[6] != 'h')
    5067             :             break;
    5068             :           return MCK_vpackwh;    // "vpackwh"
    5069             :         }
    5070             :         break;
    5071        8966 :       case 'r':  // 14 strings to match.
    5072             :         switch (Name[2]) {
    5073             :         default: break;
    5074             :         case 'a':        // 2 strings to match.
    5075         469 :           if (memcmp(Name.data()+3, "ddu", 3) != 0)
    5076             :             break;
    5077             :           switch (Name[6]) {
    5078             :           default: break;
    5079             :           case 'b':      // 1 string to match.
    5080             :             return MCK_vraddub;  // "vraddub"
    5081         222 :           case 'h':      // 1 string to match.
    5082         222 :             return MCK_vradduh;  // "vradduh"
    5083             :           }
    5084             :           break;
    5085        6821 :         case 'c':        // 4 strings to match.
    5086             :           switch (Name[3]) {
    5087             :           default: break;
    5088             :           case 'm':      // 3 strings to match.
    5089        6790 :             if (memcmp(Name.data()+4, "py", 2) != 0)
    5090             :               break;
    5091             :             switch (Name[6]) {
    5092             :             default: break;
    5093             :             case 'i':    // 1 string to match.
    5094             :               return MCK_vrcmpyi;        // "vrcmpyi"
    5095             :             case 'r':    // 1 string to match.
    5096             :               return MCK_vrcmpyr;        // "vrcmpyr"
    5097             :             case 's':    // 1 string to match.
    5098             :               return MCK_vrcmpys;        // "vrcmpys"
    5099             :             }
    5100             :             break;
    5101             :           case 'n':      // 1 string to match.
    5102          31 :             if (memcmp(Name.data()+4, "egh", 3) != 0)
    5103             :               break;
    5104             :             return MCK_vrcnegh;  // "vrcnegh"
    5105             :           }
    5106             :           break;
    5107             :         case 'd':        // 1 string to match.
    5108         158 :           if (memcmp(Name.data()+3, "elta", 4) != 0)
    5109             :             break;
    5110             :           return MCK_vrdelta;    // "vrdelta"
    5111        1221 :         case 'm':        // 6 strings to match.
    5112             :           switch (Name[3]) {
    5113             :           default: break;
    5114             :           case 'a':      // 2 strings to match.
    5115         462 :             if (memcmp(Name.data()+4, "xu", 2) != 0)
    5116             :               break;
    5117             :             switch (Name[6]) {
    5118             :             default: break;
    5119             :             case 'h':    // 1 string to match.
    5120             :               return MCK_vrmaxuh;        // "vrmaxuh"
    5121         232 :             case 'w':    // 1 string to match.
    5122         232 :               return MCK_vrmaxuw;        // "vrmaxuw"
    5123             :             }
    5124             :             break;
    5125             :           case 'i':      // 2 strings to match.
    5126         478 :             if (memcmp(Name.data()+4, "nu", 2) != 0)
    5127             :               break;
    5128             :             switch (Name[6]) {
    5129             :             default: break;
    5130             :             case 'h':    // 1 string to match.
    5131             :               return MCK_vrminuh;        // "vrminuh"
    5132         240 :             case 'w':    // 1 string to match.
    5133         240 :               return MCK_vrminuw;        // "vrminuw"
    5134             :             }
    5135             :             break;
    5136         281 :           case 'p':      // 2 strings to match.
    5137         281 :             if (Name[4] != 'y')
    5138             :               break;
    5139             :             switch (Name[5]) {
    5140             :             default: break;
    5141         281 :             case 'b':    // 1 string to match.
    5142         281 :               if (Name[6] != 'u')
    5143             :                 break;
    5144             :               return MCK_vrmpybu;        // "vrmpybu"
    5145           0 :             case 'u':    // 1 string to match.
    5146           0 :               if (Name[6] != 'b')
    5147             :                 break;
    5148             :               return MCK_vrmpyub;        // "vrmpyub"
    5149             :             }
    5150             :             break;
    5151             :           }
    5152             :           break;
    5153             :         case 's':        // 1 string to match.
    5154         297 :           if (memcmp(Name.data()+3, "adub", 4) != 0)
    5155             :             break;
    5156             :           return MCK_vrsadub;    // "vrsadub"
    5157             :         }
    5158             :         break;
    5159         859 :       case 's':  // 8 strings to match.
    5160             :         switch (Name[2]) {
    5161             :         default: break;
    5162         443 :         case 'a':        // 2 strings to match.
    5163         443 :           if (Name[3] != 't')
    5164             :             break;
    5165             :           switch (Name[4]) {
    5166             :           default: break;
    5167             :           case 'h':      // 1 string to match.
    5168         269 :             if (memcmp(Name.data()+5, "ub", 2) != 0)
    5169             :               break;
    5170             :             return MCK_vsathub;  // "vsathub"
    5171             :           case 'w':      // 1 string to match.
    5172         174 :             if (memcmp(Name.data()+5, "uh", 2) != 0)
    5173             :               break;
    5174             :             return MCK_vsatwuh;  // "vsatwuh"
    5175             :           }
    5176             :           break;
    5177             :         case 'h':        // 4 strings to match.
    5178         170 :           if (memcmp(Name.data()+3, "uff", 3) != 0)
    5179             :             break;
    5180             :           switch (Name[6]) {
    5181             :           default: break;
    5182             :           case 'b':      // 1 string to match.
    5183             :             return MCK_vshuffb;  // "vshuffb"
    5184             :           case 'e':      // 1 string to match.
    5185             :             return MCK_vshuffe;  // "vshuffe"
    5186             :           case 'h':      // 1 string to match.
    5187             :             return MCK_vshuffh;  // "vshuffh"
    5188             :           case 'o':      // 1 string to match.
    5189             :             return MCK_vshuffo;  // "vshuffo"
    5190             :           }
    5191             :           break;
    5192             :         case 'p':        // 2 strings to match.
    5193         246 :           if (memcmp(Name.data()+3, "lat", 3) != 0)
    5194             :             break;
    5195             :           switch (Name[6]) {
    5196             :           default: break;
    5197             :           case 'b':      // 1 string to match.
    5198             :             return MCK_vsplatb;  // "vsplatb"
    5199          68 :           case 'h':      // 1 string to match.
    5200          68 :             return MCK_vsplath;  // "vsplath"
    5201             :           }
    5202             :           break;
    5203             :         }
    5204             :         break;
    5205             :       case 't':  // 1 string to match.
    5206           0 :         if (memcmp(Name.data()+2, "mpyhb", 5) != 0)
    5207             :           break;
    5208             :         return MCK_vtmpyhb;      // "vtmpyhb"
    5209             :       case 'u':  // 1 string to match.
    5210           8 :         if (memcmp(Name.data()+2, "npack", 5) != 0)
    5211             :           break;
    5212             :         return MCK_vunpack;      // "vunpack"
    5213             :       }
    5214             :       break;
    5215             :     }
    5216             :     break;
    5217       25305 :   case 8:        // 53 strings to match.
    5218             :     switch (Name[0]) {
    5219             :     default: break;
    5220             :     case 'b':    // 1 string to match.
    5221         371 :       if (memcmp(Name.data()+1, "itsplit", 7) != 0)
    5222             :         break;
    5223             :       return MCK_bitsplit;       // "bitsplit"
    5224             :     case 'd':    // 1 string to match.
    5225           0 :       if (memcmp(Name.data()+1, "ccleana", 7) != 0)
    5226             :         break;
    5227             :       return MCK_dccleana;       // "dccleana"
    5228        1084 :     case 'e':    // 3 strings to match.
    5229             :       switch (Name[1]) {
    5230             :       default: break;
    5231             :       case 'n':  // 2 strings to match.
    5232           0 :         if (memcmp(Name.data()+2, "dloop", 5) != 0)
    5233             :           break;
    5234             :         switch (Name[7]) {
    5235             :         default: break;
    5236             :         case '0':        // 1 string to match.
    5237             :           return MCK_endloop0;   // "endloop0"
    5238           0 :         case '1':        // 1 string to match.
    5239           0 :           return MCK_endloop1;   // "endloop1"
    5240             :         }
    5241             :         break;
    5242             :       case 'x':  // 1 string to match.
    5243        1084 :         if (memcmp(Name.data()+2, "tractu", 6) != 0)
    5244             :           break;
    5245             :         return MCK_extractu;     // "extractu"
    5246             :       }
    5247             :       break;
    5248             :     case 'p':    // 1 string to match.
    5249         709 :       if (memcmp(Name.data()+1, "opcount", 7) != 0)
    5250             :         break;
    5251             :       return MCK_popcount;       // "popcount"
    5252        1736 :     case 's':    // 7 strings to match.
    5253             :       switch (Name[1]) {
    5254             :       default: break;
    5255         479 :       case 'f':  // 4 strings to match.
    5256             :         switch (Name[2]) {
    5257             :         default: break;
    5258             :         case 'f':        // 3 strings to match.
    5259         476 :           if (memcmp(Name.data()+3, "ixup", 4) != 0)
    5260             :             break;
    5261             :           switch (Name[7]) {
    5262             :           default: break;
    5263             :           case 'd':      // 1 string to match.
    5264             :             return MCK_sffixupd;         // "sffixupd"
    5265             :           case 'n':      // 1 string to match.
    5266             :             return MCK_sffixupn;         // "sffixupn"
    5267             :           case 'r':      // 1 string to match.
    5268             :             return MCK_sffixupr;         // "sffixupr"
    5269             :           }
    5270             :           break;
    5271             :         case 'r':        // 1 string to match.
    5272           3 :           if (memcmp(Name.data()+3, "ecipa", 5) != 0)
    5273             :             break;
    5274             :           return MCK_sfrecipa;   // "sfrecipa"
    5275             :         }
    5276             :         break;
    5277        1257 :       case 'p':  // 3 strings to match.
    5278             :         switch (Name[2]) {
    5279             :         default: break;
    5280             :         case '1':        // 1 string to match.
    5281         479 :           if (memcmp(Name.data()+3, "loop0", 5) != 0)
    5282             :             break;
    5283             :           return MCK_sp1loop0;   // "sp1loop0"
    5284             :         case '2':        // 1 string to match.
    5285         387 :           if (memcmp(Name.data()+3, "loop0", 5) != 0)
    5286             :             break;
    5287             :           return MCK_sp2loop0;   // "sp2loop0"
    5288             :         case '3':        // 1 string to match.
    5289         391 :           if (memcmp(Name.data()+3, "loop0", 5) != 0)
    5290             :             break;
    5291             :           return MCK_sp3loop0;   // "sp3loop0"
    5292             :         }
    5293             :         break;
    5294             :       }
    5295             :       break;
    5296             :     case 't':    // 1 string to match.
    5297          21 :       if (memcmp(Name.data()+1, "lbmatch", 7) != 0)
    5298             :         break;
    5299             :       return MCK_tlbmatch;       // "tlbmatch"
    5300       10683 :     case 'v':    // 39 strings to match.
    5301             :       switch (Name[1]) {
    5302             :       default: break;
    5303             :       case 'a':  // 1 string to match.
    5304          27 :         if (memcmp(Name.data()+2, "bsdiff", 6) != 0)
    5305             :           break;
    5306             :         return MCK_vabsdiff;     // "vabsdiff"
    5307         210 :       case 'c':  // 2 strings to match.
    5308             :         switch (Name[2]) {
    5309             :         default: break;
    5310             :         case 'o':        // 1 string to match.
    5311          32 :           if (memcmp(Name.data()+3, "mbine", 5) != 0)
    5312             :             break;
    5313             :           return MCK_vcombine;   // "vcombine"
    5314             :         case 'r':        // 1 string to match.
    5315         178 :           if (memcmp(Name.data()+3, "otate", 5) != 0)
    5316             :             break;
    5317             :           return MCK_vcrotate;   // "vcrotate"
    5318             :         }
    5319             :         break;
    5320         477 :       case 'd':  // 4 strings to match.
    5321             :         switch (Name[2]) {
    5322             :         default: break;
    5323             :         case 'e':        // 1 string to match.
    5324           0 :           if (memcmp(Name.data()+3, "alb4w", 5) != 0)
    5325             :             break;
    5326             :           return MCK_vdealb4w;   // "vdealb4w"
    5327             :         case 'm':        // 3 strings to match.
    5328         477 :           if (memcmp(Name.data()+3, "py", 2) != 0)
    5329             :             break;
    5330             :           switch (Name[5]) {
    5331             :           default: break;
    5332         477 :           case 'b':      // 2 strings to match.
    5333             :             switch (Name[6]) {
    5334             :             default: break;
    5335         477 :             case 's':    // 1 string to match.
    5336         477 :               if (Name[7] != 'u')
    5337             :                 break;
    5338             :               return MCK_vdmpybsu;       // "vdmpybsu"
    5339           0 :             case 'u':    // 1 string to match.
    5340           0 :               if (Name[7] != 's')
    5341             :                 break;
    5342             :               return MCK_vdmpybus;       // "vdmpybus"
    5343             :             }
    5344             :             break;
    5345             :           case 'h':      // 1 string to match.
    5346           0 :             if (memcmp(Name.data()+6, "su", 2) != 0)
    5347             :               break;
    5348             :             return MCK_vdmpyhsu;         // "vdmpyhsu"
    5349             :           }
    5350             :           break;
    5351             :         }
    5352             :         break;
    5353             :       case 'e':  // 1 string to match.
    5354         214 :         if (memcmp(Name.data()+2, "xtract", 6) != 0)
    5355             :           break;
    5356             :         return MCK_vextract;     // "vextract"
    5357             :       case 'm':  // 6 strings to match.
    5358        5124 :         if (memcmp(Name.data()+2, "py", 2) != 0)
    5359             :           break;
    5360             :         switch (Name[4]) {
    5361             :         default: break;
    5362             :         case 'e':        // 1 string to match.
    5363           0 :           if (memcmp(Name.data()+5, "wuh", 3) != 0)
    5364             :             break;
    5365             :           return MCK_vmpyewuh;   // "vmpyewuh"
    5366           0 :         case 'i':        // 3 strings to match.
    5367             :           switch (Name[5]) {
    5368             :           default: break;
    5369             :           case 'e':      // 1 string to match.
    5370           0 :             if (memcmp(Name.data()+6, "wh", 2) != 0)
    5371             :               break;
    5372             :             return MCK_vmpyiewh;         // "vmpyiewh"
    5373             :           case 'o':      // 1 string to match.
    5374           0 :             if (memcmp(Name.data()+6, "wh", 2) != 0)
    5375             :               break;
    5376             :             return MCK_vmpyiowh;         // "vmpyiowh"
    5377             :           case 'w':      // 1 string to match.
    5378           0 :             if (memcmp(Name.data()+6, "ub", 2) != 0)
    5379             :               break;
    5380             :             return MCK_vmpyiwub;         // "vmpyiwub"
    5381             :           }
    5382             :           break;
    5383        5124 :         case 'w':        // 2 strings to match.
    5384             :           switch (Name[5]) {
    5385             :           default: break;
    5386             :           case 'e':      // 1 string to match.
    5387        2546 :             if (memcmp(Name.data()+6, "uh", 2) != 0)
    5388             :               break;
    5389             :             return MCK_vmpyweuh;         // "vmpyweuh"
    5390             :           case 'o':      // 1 string to match.
    5391        2578 :             if (memcmp(Name.data()+6, "uh", 2) != 0)
    5392             :               break;
    5393             :             return MCK_vmpywouh;         // "vmpywouh"
    5394             :           }
    5395             :           break;
    5396             :         }
    5397             :         break;
    5398             :       case 'n':  // 1 string to match.
    5399          16 :         if (memcmp(Name.data()+2, "ormamt", 6) != 0)
    5400             :           break;
    5401             :         return MCK_vnormamt;     // "vnormamt"
    5402             :       case 'p':  // 2 strings to match.
    5403           0 :         if (memcmp(Name.data()+2, "ack", 3) != 0)
    5404             :           break;
    5405             :         switch (Name[5]) {
    5406             :         default: break;
    5407             :         case 'h':        // 1 string to match.
    5408           0 :           if (memcmp(Name.data()+6, "ub", 2) != 0)
    5409             :             break;
    5410             :           return MCK_vpackhub;   // "vpackhub"
    5411             :         case 'w':        // 1 string to match.
    5412           0 :           if (memcmp(Name.data()+6, "uh", 2) != 0)
    5413             :             break;
    5414             :           return MCK_vpackwuh;   // "vpackwuh"
    5415             :         }
    5416             :         break;
    5417        2121 :       case 'r':  // 6 strings to match.
    5418             :         switch (Name[2]) {
    5419             :         default: break;
    5420             :         case 'm':        // 4 strings to match.
    5421        2121 :           if (memcmp(Name.data()+3, "py", 2) != 0)
    5422             :             break;
    5423             :           switch (Name[5]) {
    5424             :           default: break;
    5425         277 :           case 'b':      // 2 strings to match.
    5426             :             switch (Name[6]) {
    5427             :             default: break;
    5428         277 :             case 's':    // 1 string to match.
    5429         277 :               if (Name[7] != 'u')
    5430             :                 break;
    5431             :               return MCK_vrmpybsu;       // "vrmpybsu"
    5432           0 :             case 'u':    // 1 string to match.
    5433           0 :               if (Name[7] != 's')
    5434             :                 break;
    5435             :               return MCK_vrmpybus;       // "vrmpybus"
    5436             :             }
    5437             :             break;
    5438        1844 :           case 'w':      // 2 strings to match.
    5439             :             switch (Name[6]) {
    5440             :             default: break;
    5441         918 :             case 'e':    // 1 string to match.
    5442         918 :               if (Name[7] != 'h')
    5443             :                 break;
    5444             :               return MCK_vrmpyweh;       // "vrmpyweh"
    5445         926 :             case 'o':    // 1 string to match.
    5446         926 :               if (Name[7] != 'h')
    5447             :                 break;
    5448             :               return MCK_vrmpywoh;       // "vrmpywoh"
    5449             :             }
    5450             :             break;
    5451             :           }
    5452             :           break;
    5453             :         case 'o':        // 2 strings to match.
    5454           0 :           if (memcmp(Name.data()+3, "und", 3) != 0)
    5455             :             break;
    5456             :           switch (Name[6]) {
    5457             :           default: break;
    5458           0 :           case 'h':      // 1 string to match.
    5459           0 :             if (Name[7] != 'b')
    5460             :               break;
    5461             :             return MCK_vroundhb;         // "vroundhb"
    5462           0 :           case 'w':      // 1 string to match.
    5463           0 :             if (Name[7] != 'h')
    5464             :               break;
    5465             :             return MCK_vroundwh;         // "vroundwh"
    5466             :           }
    5467             :           break;
    5468             :         }
    5469             :         break;
    5470        1196 :       case 's':  // 8 strings to match.
    5471             :         switch (Name[2]) {
    5472             :         default: break;
    5473             :         case 'a':        // 1 string to match.
    5474           0 :           if (memcmp(Name.data()+3, "tuwuh", 5) != 0)
    5475             :             break;
    5476             :           return MCK_vsatuwuh;   // "vsatuwuh"
    5477             :         case 'c':        // 1 string to match.
    5478          75 :           if (memcmp(Name.data()+3, "atter", 5) != 0)
    5479             :             break;
    5480             :           return MCK_vscatter;   // "vscatter"
    5481             :         case 'h':        // 5 strings to match.
    5482          28 :           if (memcmp(Name.data()+3, "uff", 3) != 0)
    5483             :             break;
    5484             :           switch (Name[6]) {
    5485             :           default: break;
    5486           0 :           case 'e':      // 2 strings to match.
    5487             :             switch (Name[7]) {
    5488             :             default: break;
    5489             :             case 'b':    // 1 string to match.
    5490             :               return MCK_vshuffeb;       // "vshuffeb"
    5491           0 :             case 'h':    // 1 string to match.
    5492           0 :               return MCK_vshuffeh;       // "vshuffeh"
    5493             :             }
    5494             :             break;
    5495          28 :           case 'o':      // 3 strings to match.
    5496             :             switch (Name[7]) {
    5497             :             default: break;
    5498             :             case 'b':    // 1 string to match.
    5499             :               return MCK_vshuffob;       // "vshuffob"
    5500             :             case 'e':    // 1 string to match.
    5501             :               return MCK_vshuffoe;       // "vshuffoe"
    5502             :             case 'h':    // 1 string to match.
    5503             :               return MCK_vshuffoh;       // "vshuffoh"
    5504             :             }
    5505             :             break;
    5506             :           }
    5507             :           break;
    5508             :         case 'p':        // 1 string to match.
    5509        1093 :           if (memcmp(Name.data()+3, "liceb", 5) != 0)
    5510             :             break;
    5511             :           return MCK_vspliceb;   // "vspliceb"
    5512             :         }
    5513             :         break;
    5514        1298 :       case 't':  // 5 strings to match.
    5515             :         switch (Name[2]) {
    5516             :         default: break;
    5517             :         case 'm':        // 1 string to match.
    5518           0 :           if (memcmp(Name.data()+3, "pybus", 5) != 0)
    5519             :             break;
    5520             :           return MCK_vtmpybus;   // "vtmpybus"
    5521             :         case 'r':        // 4 strings to match.
    5522        1298 :           if (memcmp(Name.data()+3, "un", 2) != 0)
    5523             :             break;
    5524             :           switch (Name[5]) {
    5525             :           default: break;
    5526         644 :           case 'e':      // 2 strings to match.
    5527             :             switch (Name[6]) {
    5528             :             default: break;
    5529         378 :             case 'h':    // 1 string to match.
    5530         378 :               if (Name[7] != 'b')
    5531             :                 break;
    5532             :               return MCK_vtrunehb;       // "vtrunehb"
    5533         266 :             case 'w':    // 1 string to match.
    5534         266 :               if (Name[7] != 'h')
    5535             :                 break;
    5536             :               return MCK_vtrunewh;       // "vtrunewh"
    5537             :             }
    5538             :             break;
    5539         654 :           case 'o':      // 2 strings to match.
    5540             :             switch (Name[6]) {
    5541             :             default: break;
    5542         384 :             case 'h':    // 1 string to match.
    5543         384 :               if (Name[7] != 'b')
    5544             :                 break;
    5545             :               return MCK_vtrunohb;       // "vtrunohb"
    5546         270 :             case 'w':    // 1 string to match.
    5547         270 :               if (Name[7] != 'h')
    5548             :                 break;
    5549             :               return MCK_vtrunowh;       // "vtrunowh"
    5550             :             }
    5551             :             break;
    5552             :           }
    5553             :           break;
    5554             :         }
    5555             :         break;
    5556             :       case 'u':  // 3 strings to match.
    5557           0 :         if (memcmp(Name.data()+2, "npack", 5) != 0)
    5558             :           break;
    5559             :         switch (Name[7]) {
    5560             :         default: break;
    5561             :         case 'b':        // 1 string to match.
    5562             :           return MCK_vunpackb;   // "vunpackb"
    5563             :         case 'h':        // 1 string to match.
    5564             :           return MCK_vunpackh;   // "vunpackh"
    5565             :         case 'o':        // 1 string to match.
    5566             :           return MCK_vunpacko;   // "vunpacko"
    5567             :         }
    5568             :         break;
    5569             :       }
    5570             :       break;
    5571             :     }
    5572             :     break;
    5573       18302 :   case 9:        // 32 strings to match.
    5574             :     switch (Name[0]) {
    5575             :     default: break;
    5576             :     case 'e':    // 1 string to match.
    5577           0 :       if (memcmp(Name.data()+1, "ndloop01", 8) != 0)
    5578             :         break;
    5579             :       return MCK_endloop01;      // "endloop01"
    5580             :     case 'm':    // 2 strings to match.
    5581        4037 :       if (memcmp(Name.data()+1, "em", 2) != 0)
    5582             :         break;
    5583             :       switch (Name[3]) {
    5584             :       default: break;
    5585             :       case 'b':  // 1 string to match.
    5586        2431 :         if (memcmp(Name.data()+4, "_fifo", 5) != 0)
    5587             :           break;
    5588             :         return MCK_memb_95_fifo;         // "memb_fifo"
    5589             :       case 'h':  // 1 string to match.
    5590        1606 :         if (memcmp(Name.data()+4, "_fifo", 5) != 0)
    5591             :           break;
    5592             :         return MCK_memh_95_fifo;         // "memh_fifo"
    5593             :       }
    5594             :       break;
    5595             :     case 'p':    // 1 string to match.
    5596           3 :       if (memcmp(Name.data()+1, "refixsum", 8) != 0)
    5597             :         break;
    5598             :       return MCK_prefixsum;      // "prefixsum"
    5599        4193 :     case 't':    // 5 strings to match.
    5600             :       switch (Name[1]) {
    5601             :       default: break;
    5602             :       case 'a':  // 4 strings to match.
    5603        3708 :         if (memcmp(Name.data()+2, "bleidx", 6) != 0)
    5604             :           break;
    5605             :         switch (Name[8]) {
    5606             :         default: break;
    5607             :         case 'b':        // 1 string to match.
    5608             :           return MCK_tableidxb;  // "tableidxb"
    5609             :         case 'd':        // 1 string to match.
    5610             :           return MCK_tableidxd;  // "tableidxd"
    5611             :         case 'h':        // 1 string to match.
    5612             :           return MCK_tableidxh;  // "tableidxh"
    5613             :         case 'w':        // 1 string to match.
    5614             :           return MCK_tableidxw;  // "tableidxw"
    5615             :         }
    5616             :         break;
    5617             :       case 'o':  // 1 string to match.
    5618         485 :         if (memcmp(Name.data()+2, "gglebit", 7) != 0)
    5619             :           break;
    5620             :         return MCK_togglebit;    // "togglebit"
    5621             :       }
    5622             :       break;
    5623        3958 :     case 'v':    // 23 strings to match.
    5624             :       switch (Name[1]) {
    5625             :       default: break;
    5626             :       case 'a':  // 3 strings to match.
    5627         434 :         if (memcmp(Name.data()+2, "bsdiff", 6) != 0)
    5628             :           break;
    5629             :         switch (Name[8]) {
    5630             :         default: break;
    5631             :         case 'b':        // 1 string to match.
    5632             :           return MCK_vabsdiffb;  // "vabsdiffb"
    5633             :         case 'h':        // 1 string to match.
    5634             :           return MCK_vabsdiffh;  // "vabsdiffh"
    5635             :         case 'w':        // 1 string to match.
    5636             :           return MCK_vabsdiffw;  // "vabsdiffw"
    5637             :         }
    5638             :         break;
    5639             :       case 'm':  // 1 string to match.
    5640           0 :         if (memcmp(Name.data()+2, "pyiewuh", 7) != 0)
    5641             :           break;
    5642             :         return MCK_vmpyiewuh;    // "vmpyiewuh"
    5643             :       case 'n':  // 2 strings to match.
    5644           0 :         if (memcmp(Name.data()+2, "ormamt", 6) != 0)
    5645             :           break;
    5646             :         switch (Name[8]) {
    5647             :         default: break;
    5648             :         case 'h':        // 1 string to match.
    5649             :           return MCK_vnormamth;  // "vnormamth"
    5650           0 :         case 'w':        // 1 string to match.
    5651           0 :           return MCK_vnormamtw;  // "vnormamtw"
    5652             :         }
    5653             :         break;
    5654             :       case 'p':  // 1 string to match.
    5655          11 :         if (memcmp(Name.data()+2, "opcount", 7) != 0)
    5656             :           break;
    5657             :         return MCK_vpopcount;    // "vpopcount"
    5658         509 :       case 'r':  // 3 strings to match.
    5659             :         switch (Name[2]) {
    5660             :         default: break;
    5661             :         case 'c':        // 1 string to match.
    5662         509 :           if (memcmp(Name.data()+3, "rotate", 6) != 0)
    5663             :             break;
    5664             :           return MCK_vrcrotate;  // "vrcrotate"
    5665             :         case 'o':        // 2 strings to match.
    5666           0 :           if (memcmp(Name.data()+3, "und", 3) != 0)
    5667             :             break;
    5668             :           switch (Name[6]) {
    5669             :           default: break;
    5670             :           case 'h':      // 1 string to match.
    5671           0 :             if (memcmp(Name.data()+7, "ub", 2) != 0)
    5672             :               break;
    5673             :             return MCK_vroundhub;        // "vroundhub"
    5674             :           case 'w':      // 1 string to match.
    5675           0 :             if (memcmp(Name.data()+7, "uh", 2) != 0)
    5676             :               break;
    5677             :             return MCK_vroundwuh;        // "vroundwuh"
    5678             :           }
    5679             :           break;
    5680             :         }
    5681             :         break;
    5682             :       case 's':  // 2 strings to match.
    5683           0 :         if (memcmp(Name.data()+2, "huffoe", 6) != 0)
    5684             :           break;
    5685             :         switch (Name[8]) {
    5686             :         default: break;
    5687             :         case 'b':        // 1 string to match.
    5688             :           return MCK_vshuffoeb;  // "vshuffoeb"
    5689           0 :         case 'h':        // 1 string to match.
    5690           0 :           return MCK_vshuffoeh;  // "vshuffoeh"
    5691             :         }
    5692             :         break;
    5693             :       case 't':  // 1 string to match.
    5694           0 :         if (memcmp(Name.data()+2, "rans2x2", 7) != 0)
    5695             :           break;
    5696             :         return MCK_vtrans2x2;    // "vtrans2x2"
    5697             :       case 'u':  // 4 strings to match.
    5698           0 :         if (memcmp(Name.data()+2, "npack", 5) != 0)
    5699             :           break;
    5700             :         switch (Name[7]) {
    5701             :         default: break;
    5702           0 :         case 'o':        // 2 strings to match.
    5703             :           switch (Name[8]) {
    5704             :           default: break;
    5705             :           case 'b':      // 1 string to match.
    5706             :             return MCK_vunpackob;        // "vunpackob"
    5707           0 :           case 'h':      // 1 string to match.
    5708           0 :             return MCK_vunpackoh;        // "vunpackoh"
    5709             :           }
    5710             :           break;
    5711           0 :         case 'u':        // 2 strings to match.
    5712             :           switch (Name[8]) {
    5713             :           default: break;
    5714             :           case 'b':      // 1 string to match.
    5715             :             return MCK_vunpackub;        // "vunpackub"
    5716           0 :           case 'h':      // 1 string to match.
    5717           0 :             return MCK_vunpackuh;        // "vunpackuh"
    5718             :           }
    5719             :           break;
    5720             :         }
    5721             :         break;
    5722             :       case 'w':  // 2 strings to match.
    5723           0 :         if (memcmp(Name.data()+2, "hist", 4) != 0)
    5724             :           break;
    5725             :         switch (Name[6]) {
    5726             :         default: break;
    5727             :         case '1':        // 1 string to match.
    5728           0 :           if (memcmp(Name.data()+7, "28", 2) != 0)
    5729             :             break;
    5730             :           return MCK_vwhist128;  // "vwhist128"
    5731             :         case '2':        // 1 string to match.
    5732           0 :           if (memcmp(Name.data()+7, "56", 2) != 0)
    5733             :             break;
    5734             :           return MCK_vwhist256;  // "vwhist256"
    5735             :         }
    5736             :         break;
    5737        3004 :       case 'x':  // 4 strings to match.
    5738             :         switch (Name[2]) {
    5739             :         default: break;
    5740             :         case 'a':        // 2 strings to match.
    5741        1497 :           if (memcmp(Name.data()+3, "ddsub", 5) != 0)
    5742             :             break;
    5743             :           switch (Name[8]) {
    5744             :           default: break;
    5745             :           case 'h':      // 1 string to match.
    5746             :             return MCK_vxaddsubh;        // "vxaddsubh"
    5747         442 :           case 'w':      // 1 string to match.
    5748         442 :             return MCK_vxaddsubw;        // "vxaddsubw"
    5749             :           }
    5750             :           break;
    5751             :         case 's':        // 2 strings to match.
    5752        1507 :           if (memcmp(Name.data()+3, "ubadd", 5) != 0)
    5753             :             break;
    5754             :           switch (Name[8]) {
    5755             :           default: break;
    5756             :           case 'h':      // 1 string to match.
    5757             :             return MCK_vxsubaddh;        // "vxsubaddh"
    5758         446 :           case 'w':      // 1 string to match.
    5759         446 :             return MCK_vxsubaddw;        // "vxsubaddw"
    5760             :           }
    5761             :           break;
    5762             :         }
    5763             :         break;
    5764             :       }
    5765             :       break;
    5766             :     }
    5767             :     break;
    5768        3553 :   case 10:       // 9 strings to match.
    5769             :     switch (Name[0]) {
    5770             :     default: break;
    5771             :     case 'a':    // 1 string to match.
    5772           0 :       if (memcmp(Name.data()+1, "llocframe", 9) != 0)
    5773             :         break;
    5774             :       return MCK_allocframe;     // "allocframe"
    5775             :     case 'd':    // 1 string to match.
    5776           0 :       if (memcmp(Name.data()+1, "eprecated", 9) != 0)
    5777             :         break;
    5778             :       return MCK_deprecated;     // "deprecated"
    5779             :     case 'i':    // 1 string to match.
    5780          64 :       if (memcmp(Name.data()+1, "nterleave", 9) != 0)
    5781             :         break;
    5782             :       return MCK_interleave;     // "interleave"
    5783             :     case 's':    // 1 string to match.
    5784           1 :       if (memcmp(Name.data()+1, "finvsqrta", 9) != 0)
    5785             :         break;
    5786             :       return MCK_sfinvsqrta;     // "sfinvsqrta"
    5787         146 :     case 'v':    // 5 strings to match.
    5788             :       switch (Name[1]) {
    5789             :       default: break;
    5790             :       case 'a':  // 2 strings to match.
    5791         146 :         if (memcmp(Name.data()+2, "bsdiffu", 7) != 0)
    5792             :           break;
    5793             :         switch (Name[9]) {
    5794             :         default: break;
    5795             :         case 'b':        // 1 string to match.
    5796             :           return MCK_vabsdiffub;         // "vabsdiffub"
    5797           0 :         case 'h':        // 1 string to match.
    5798           0 :           return MCK_vabsdiffuh;         // "vabsdiffuh"
    5799             :         }
    5800             :         break;
    5801             :       case 'p':  // 1 string to match.
    5802           0 :         if (memcmp(Name.data()+2, "opcounth", 8) != 0)
    5803             :           break;
    5804             :         return MCK_vpopcounth;   // "vpopcounth"
    5805             :       case 'r':  // 2 strings to match.
    5806           0 :         if (memcmp(Name.data()+2, "oundu", 5) != 0)
    5807             :           break;
    5808             :         switch (Name[7]) {
    5809             :         default: break;
    5810             :         case 'h':        // 1 string to match.
    5811           0 :           if (memcmp(Name.data()+8, "ub", 2) != 0)
    5812             :             break;
    5813             :           return MCK_vrounduhub;         // "vrounduhub"
    5814             :         case 'w':        // 1 string to match.
    5815           0 :           if (memcmp(Name.data()+8, "uh", 2) != 0)
    5816             :             break;
    5817             :           return MCK_vrounduwuh;         // "vrounduwuh"
    5818             :         }
    5819             :         break;
    5820             :       }
    5821             :       break;
    5822             :     }
    5823             :     break;
    5824         833 :   case 11:       // 5 strings to match.
    5825             :     switch (Name[0]) {
    5826             :     default: break;
    5827             :     case 'b':    // 1 string to match.
    5828         352 :       if (memcmp(Name.data()+1, "oundscheck", 10) != 0)
    5829             :         break;
    5830             :       return MCK_boundscheck;    // "boundscheck"
    5831             :     case 'd':    // 1 string to match.
    5832           0 :       if (memcmp(Name.data()+1, "ccleaninva", 10) != 0)
    5833             :         break;
    5834             :       return MCK_dccleaninva;    // "dccleaninva"
    5835             :     case 'f':    // 1 string to match.
    5836          22 :       if (memcmp(Name.data()+1, "astcorner9", 10) != 0)
    5837             :         break;
    5838             :       return MCK_fastcorner9;    // "fastcorner9"
    5839             :     case 'm':    // 2 strings to match.
    5840         178 :       if (memcmp(Name.data()+1, "em", 2) != 0)
    5841             :         break;
    5842             :       switch (Name[3]) {
    5843             :       default: break;
    5844             :       case 'd':  // 1 string to match.
    5845          42 :         if (memcmp(Name.data()+4, "_locked", 7) != 0)
    5846             :           break;
    5847             :         return MCK_memd_95_locked;       // "memd_locked"
    5848             :       case 'w':  // 1 string to match.
    5849         136 :         if (memcmp(Name.data()+4, "_locked", 7) != 0)
    5850             :           break;
    5851             :         return MCK_memw_95_locked;       // "memw_locked"
    5852             :       }
    5853             :       break;
    5854             :     }
    5855             :     break;
    5856        2000 :   case 12:       // 10 strings to match.
    5857             :     switch (Name[0]) {
    5858             :     default: break;
    5859             :     case 'c':    // 8 strings to match.
    5860        1292 :       if (memcmp(Name.data()+1, "onvert_", 7) != 0)
    5861             :         break;
    5862             :       switch (Name[8]) {
    5863             :       default: break;
    5864         622 :       case 'd':  // 4 strings to match.
    5865             :         switch (Name[9]) {
    5866             :         default: break;
    5867          40 :         case '2':        // 2 strings to match.
    5868             :           switch (Name[10]) {
    5869             :           default: break;
    5870          12 :           case 'd':      // 1 string to match.
    5871          12 :             if (Name[11] != 'f')
    5872             :               break;
    5873             :             return MCK_convert_95_d2df;  // "convert_d2df"
    5874          28 :           case 's':      // 1 string to match.
    5875          28 :             if (Name[11] != 'f')
    5876             :               break;
    5877             :             return MCK_convert_95_d2sf;  // "convert_d2sf"
    5878             :           }
    5879             :           break;
    5880         582 :         case 'f':        // 2 strings to match.
    5881         582 :           if (Name[10] != '2')
    5882             :             break;
    5883             :           switch (Name[11]) {
    5884             :           default: break;
    5885             :           case 'd':      // 1 string to match.
    5886             :             return MCK_convert_95_df2d;  // "convert_df2d"
    5887         281 :           case 'w':      // 1 string to match.
    5888         281 :             return MCK_convert_95_df2w;  // "convert_df2w"
    5889             :           }
    5890             :           break;
    5891             :         }
    5892             :         break;
    5893             :       case 's':  // 2 strings to match.
    5894         598 :         if (memcmp(Name.data()+9, "f2", 2) != 0)
    5895             :           break;
    5896             :         switch (Name[11]) {
    5897             :         default: break;
    5898             :         case 'd':        // 1 string to match.
    5899             :           return MCK_convert_95_sf2d;    // "convert_sf2d"
    5900         289 :         case 'w':        // 1 string to match.
    5901         289 :           return MCK_convert_95_sf2w;    // "convert_sf2w"
    5902             :         }
    5903             :         break;
    5904          72 :       case 'w':  // 2 strings to match.
    5905          72 :         if (Name[9] != '2')
    5906             :           break;
    5907             :         switch (Name[10]) {
    5908             :         default: break;
    5909          28 :         case 'd':        // 1 string to match.
    5910          28 :           if (Name[11] != 'f')
    5911             :             break;
    5912             :           return MCK_convert_95_w2df;    // "convert_w2df"
    5913          44 :         case 's':        // 1 string to match.
    5914          44 :           if (Name[11] != 'f')
    5915             :             break;
    5916             :           return MCK_convert_95_w2sf;    // "convert_w2sf"
    5917             :         }
    5918             :         break;
    5919             :       }
    5920             :       break;
    5921          30 :     case 'd':    // 2 strings to match.
    5922          30 :       if (Name[1] != 'e')
    5923             :         break;
    5924             :       switch (Name[2]) {
    5925             :       default: break;
    5926             :       case 'a':  // 1 string to match.
    5927           0 :         if (memcmp(Name.data()+3, "llocframe", 9) != 0)
    5928             :           break;
    5929             :         return MCK_deallocframe;         // "deallocframe"
    5930             :       case 'i':  // 1 string to match.
    5931          30 :         if (memcmp(Name.data()+3, "nterleave", 9) != 0)
    5932             :           break;
    5933             :         return MCK_deinterleave;         // "deinterleave"
    5934             :       }
    5935             :       break;
    5936             :     }
    5937             :     break;
    5938             :   case 13:       // 10 strings to match.
    5939        2065 :     if (memcmp(Name.data()+0, "convert_", 8) != 0)
    5940             :       break;
    5941             :     switch (Name[8]) {
    5942             :     default: break;
    5943             :     case 'd':    // 3 strings to match.
    5944         612 :       if (memcmp(Name.data()+9, "f2", 2) != 0)
    5945             :         break;
    5946             :       switch (Name[11]) {
    5947             :       default: break;
    5948          30 :       case 's':  // 1 string to match.
    5949          30 :         if (Name[12] != 'f')
    5950             :           break;
    5951             :         return MCK_convert_95_df2sf;     // "convert_df2sf"
    5952         582 :       case 'u':  // 2 strings to match.
    5953             :         switch (Name[12]) {
    5954             :         default: break;
    5955             :         case 'd':        // 1 string to match.
    5956             :           return MCK_convert_95_df2ud;   // "convert_df2ud"
    5957         277 :         case 'w':        // 1 string to match.
    5958         277 :           return MCK_convert_95_df2uw;   // "convert_df2uw"
    5959             :         }
    5960             :         break;
    5961             :       }
    5962             :       break;
    5963             :     case 's':    // 3 strings to match.
    5964         620 :       if (memcmp(Name.data()+9, "f2", 2) != 0)
    5965             :         break;
    5966             :       switch (Name[11]) {
    5967             :       default: break;
    5968          20 :       case 'd':  // 1 string to match.
    5969          20 :         if (Name[12] != 'f')
    5970             :           break;
    5971             :         return MCK_convert_95_sf2df;     // "convert_sf2df"
    5972         600 :       case 'u':  // 2 strings to match.
    5973             :         switch (Name[12]) {
    5974             :         default: break;
    5975             :         case 'd':        // 1 string to match.
    5976             :           return MCK_convert_95_sf2ud;   // "convert_sf2ud"
    5977         285 :         case 'w':        // 1 string to match.
    5978         285 :           return MCK_convert_95_sf2uw;   // "convert_sf2uw"
    5979             :         }
    5980             :         break;
    5981             :       }
    5982             :       break;
    5983         132 :     case 'u':    // 4 strings to match.
    5984             :       switch (Name[9]) {
    5985             :       default: break;
    5986          64 :       case 'd':  // 2 strings to match.
    5987          64 :         if (Name[10] != '2')
    5988             :           break;
    5989             :         switch (Name[11]) {
    5990             :         default: break;
    5991          24 :         case 'd':        // 1 string to match.
    5992          24 :           if (Name[12] != 'f')
    5993             :             break;
    5994             :           return MCK_convert_95_ud2df;   // "convert_ud2df"
    5995          40 :         case 's':        // 1 string to match.
    5996          40 :           if (Name[12] != 'f')
    5997             :             break;
    5998             :           return MCK_convert_95_ud2sf;   // "convert_ud2sf"
    5999             :         }
    6000             :         break;
    6001          68 :       case 'w':  // 2 strings to match.
    6002          68 :         if (Name[10] != '2')
    6003             :           break;
    6004             :         switch (Name[11]) {
    6005             :         default: break;
    6006          26 :         case 'd':        // 1 string to match.
    6007          26 :           if (Name[12] != 'f')
    6008             :             break;
    6009             :           return MCK_convert_95_uw2df;   // "convert_uw2df"
    6010          42 :         case 's':        // 1 string to match.
    6011          42 :           if (Name[12] != 'f')
    6012             :             break;
    6013             :           return MCK_convert_95_uw2sf;   // "convert_uw2sf"
    6014             :         }
    6015             :         break;
    6016             :       }
    6017             :       break;
    6018             :     }
    6019             :     break;
    6020             :   case 14:       // 1 string to match.
    6021         454 :     if (memcmp(Name.data()+0, "dealloc_return", 14) != 0)
    6022             :       break;
    6023             :     return MCK_dealloc_95_return;        // "dealloc_return"
    6024             :   case 15:       // 1 string to match.
    6025           5 :     if (memcmp(Name.data()+0, "scatter_release", 15) != 0)
    6026             :       break;
    6027             :     return MCK_scatter_95_release;       // "scatter_release"
    6028             :   }
    6029             :   return InvalidMatchClass;
    6030             : }
    6031             : 
    6032             : /// isSubclass - Compute whether \p A is a subclass of \p B.
    6033     3961144 : static bool isSubclass(MatchClassKind A, MatchClassKind B) {
    6034     3961144 :   if (A == B)
    6035             :     return true;
    6036             : 
    6037     3359272 :   switch (A) {
    6038             :   default:
    6039             :     return false;
    6040             : 
    6041           0 :   case MCK_Reg11:
    6042           0 :     return B == MCK_CtrRegs;
    6043             : 
    6044           0 :   case MCK_Reg19:
    6045           0 :     return B == MCK_CtrRegs64;
    6046             : 
    6047         900 :   case MCK_GP:
    6048         900 :     return B == MCK_CtrRegs;
    6049             : 
    6050       66425 :   case MCK_P0:
    6051       66425 :     return B == MCK_PredRegs;
    6052             : 
    6053       56229 :   case MCK_P1:
    6054       56229 :     return B == MCK_PredRegs;
    6055             : 
    6056      148705 :   case MCK_P3:
    6057      148705 :     return B == MCK_PredRegs;
    6058             : 
    6059          82 :   case MCK_PC:
    6060          82 :     return B == MCK_CtrRegs;
    6061             : 
    6062       13161 :   case MCK_V65Regs:
    6063       13161 :     return B == MCK_HvxVR;
    6064             : 
    6065         288 :   case MCK_ModRegs:
    6066         288 :     return B == MCK_CtrRegs;
    6067             : 
    6068           5 :   case MCK_Reg20:
    6069           5 :     switch (B) {
    6070             :     default: return false;
    6071           0 :     case MCK_V62Regs: return true;
    6072           5 :     case MCK_CtrRegs64: return true;
    6073             :     }
    6074             : 
    6075       24535 :   case MCK_Reg3:
    6076       24535 :     switch (B) {
    6077             :     default: return false;
    6078           0 :     case MCK_GeneralDoubleLow8Regs: return true;
    6079        5747 :     case MCK_DoubleRegs: return true;
    6080             :     }
    6081             : 
    6082          12 :   case MCK_Reg16:
    6083          12 :     switch (B) {
    6084             :     default: return false;
    6085           0 :     case MCK_V62Regs: return true;
    6086           6 :     case MCK_CtrRegs: return true;
    6087             :     }
    6088             : 
    6089      264471 :   case MCK_GeneralDoubleLow8Regs:
    6090      264471 :     return B == MCK_DoubleRegs;
    6091             : 
    6092       98089 :   case MCK_IntRegsLow8:
    6093       98089 :     switch (B) {
    6094             :     default: return false;
    6095         261 :     case MCK_GeneralSubRegs: return true;
    6096       26494 :     case MCK_IntRegs: return true;
    6097             :     }
    6098             : 
    6099      362438 :   case MCK_GeneralSubRegs:
    6100      362438 :     return B == MCK_IntRegs;
    6101             :   }
    6102             : }
    6103             : 
    6104     2470375 : static unsigned validateOperandClass(MCParsedAsmOperand &GOp, MatchClassKind Kind) {
    6105             :   HexagonOperand &Operand = (HexagonOperand&)GOp;
    6106     2470375 :   if (Kind == InvalidMatchClass)
    6107             :     return MCTargetAsmParser::Match_InvalidOperand;
    6108             : 
    6109     2469956 :   if (Operand.isToken() && Kind <= MCK_LAST_TOKEN)
    6110     1724858 :     return isSubclass(matchTokenString(Operand.getToken()), Kind) ?
    6111             :              MCTargetAsmParser::Match_Success :
    6112             :              MCTargetAsmParser::Match_InvalidOperand;
    6113             : 
    6114     1607527 :   switch (Kind) {
    6115             :   default: break;
    6116             :   // 'Imm' class
    6117           0 :   case MCK_Imm: {
    6118             :     DiagnosticPredicate DP(Operand.isImm());
    6119           0 :     if (DP.isMatch())
    6120             :       return MCTargetAsmParser::Match_Success;
    6121             :     break;
    6122             :     }
    6123             :   // 'a30_2Imm' class
    6124             :   case MCK_a30_2Imm: {
    6125             :     DiagnosticPredicate DP(Operand.isa30_2Imm());
    6126          16 :     if (DP.isMatch())
    6127             :       return MCTargetAsmParser::Match_Success;
    6128             :     break;
    6129             :     }
    6130             :   // 'b13_2Imm' class
    6131             :   case MCK_b13_2Imm: {
    6132             :     DiagnosticPredicate DP(Operand.isb13_2Imm());
    6133          10 :     if (DP.isMatch())
    6134             :       return MCTargetAsmParser::Match_Success;
    6135             :     break;
    6136             :     }
    6137             :   // 'b15_2Imm' class
    6138             :   case MCK_b15_2Imm: {
    6139             :     DiagnosticPredicate DP(Operand.isb15_2Imm());
    6140           0 :     if (DP.isMatch())
    6141             :       return MCTargetAsmParser::Match_Success;
    6142             :     break;
    6143             :     }
    6144             :   // 'b30_2Imm' class
    6145             :   case MCK_b30_2Imm: {
    6146             :     DiagnosticPredicate DP(Operand.isb30_2Imm());
    6147         180 :     if (DP.isMatch())
    6148             :       return MCTargetAsmParser::Match_Success;
    6149             :     break;
    6150             :     }
    6151             :   // 'f32Imm' class
    6152             :   case MCK_f32Imm: {
    6153             :     DiagnosticPredicate DP(Operand.isf32Imm());
    6154             :     if (DP.isMatch())
    6155             :       return MCTargetAsmParser::Match_Success;
    6156             :     break;
    6157             :     }
    6158             :   // 'f64Imm' class
    6159             :   case MCK_f64Imm: {
    6160             :     DiagnosticPredicate DP(Operand.isf64Imm());
    6161             :     if (DP.isMatch())
    6162             :       return MCTargetAsmParser::Match_Success;
    6163             :     break;
    6164             :     }
    6165             :   // 'm32_0Imm' class
    6166             :   case MCK_m32_0Imm: {
    6167             :     DiagnosticPredicate DP(Operand.ism32_0Imm());
    6168             :     if (DP.isMatch())
    6169             :       return MCTargetAsmParser::Match_Success;
    6170             :     break;
    6171             :     }
    6172             :   // 'n1Const' class
    6173          48 :   case MCK_n1Const: {
    6174          48 :     DiagnosticPredicate DP(Operand.isn1Const());
    6175          48 :     if (DP.isMatch())
    6176             :       return MCTargetAsmParser::Match_Success;
    6177             :     break;
    6178             :     }
    6179             :   // 's10_0Imm' class
    6180             :   case MCK_s10_0Imm: {
    6181             :     DiagnosticPredicate DP(Operand.iss10_0Imm());
    6182           0 :     if (DP.isMatch())
    6183             :       return MCTargetAsmParser::Match_Success;
    6184             :     break;
    6185             :     }
    6186             :   // 's10_6Imm' class
    6187             :   case MCK_s10_6Imm: {
    6188             :     DiagnosticPredicate DP(Operand.iss10_6Imm());
    6189           0 :     if (DP.isMatch())
    6190             :       return MCTargetAsmParser::Match_Success;
    6191             :     break;
    6192             :     }
    6193             :   // 's27_2Imm' class
    6194             :   case MCK_s27_2Imm: {
    6195             :     DiagnosticPredicate DP(Operand.iss27_2Imm());
    6196           1 :     if (DP.isMatch())
    6197             :       return MCTargetAsmParser::Match_Success;
    6198             :     break;
    6199             :     }
    6200             :   // 's29_3Imm' class
    6201             :   case MCK_s29_3Imm: {
    6202             :     DiagnosticPredicate DP(Operand.iss29_3Imm());
    6203             :     if (DP.isMatch())
    6204             :       return MCTargetAsmParser::Match_Success;
    6205             :     break;
    6206             :     }
    6207             :   // 's30_2Imm' class
    6208             :   case MCK_s30_2Imm: {
    6209             :     DiagnosticPredicate DP(Operand.iss30_2Imm());
    6210             :     if (DP.isMatch())
    6211             :       return MCTargetAsmParser::Match_Success;
    6212             :     break;
    6213             :     }
    6214             :   // 's31_1Imm' class
    6215             :   case MCK_s31_1Imm: {
    6216             :     DiagnosticPredicate DP(Operand.iss31_1Imm());
    6217             :     if (DP.isMatch())
    6218             :       return MCTargetAsmParser::Match_Success;
    6219             :     break;
    6220             :     }
    6221             :   // 's32_0Imm' class
    6222             :   case MCK_s32_0Imm: {
    6223             :     DiagnosticPredicate DP(Operand.iss32_0Imm());
    6224             :     if (DP.isMatch())
    6225             :       return MCTargetAsmParser::Match_Success;
    6226             :     break;
    6227             :     }
    6228             :   // 's3_0Imm' class
    6229             :   case MCK_s3_0Imm: {
    6230             :     DiagnosticPredicate DP(Operand.iss3_0Imm());
    6231          76 :     if (DP.isMatch())
    6232             :       return MCTargetAsmParser::Match_Success;
    6233             :     break;
    6234             :     }
    6235             :   // 's4_0Imm' class
    6236             :   case MCK_s4_0Imm: {
    6237             :     DiagnosticPredicate DP(Operand.iss4_0Imm());
    6238         128 :     if (DP.isMatch())
    6239             :       return MCTargetAsmParser::Match_Success;
    6240             :     break;
    6241             :     }
    6242             :   // 's4_1Imm' class
    6243             :   case MCK_s4_1Imm: {
    6244             :     DiagnosticPredicate DP(Operand.iss4_1Imm());
    6245          67 :     if (DP.isMatch())
    6246             :       return MCTargetAsmParser::Match_Success;
    6247             :     break;
    6248             :     }
    6249             :   // 's4_2Imm' class
    6250             :   case MCK_s4_2Imm: {
    6251             :     DiagnosticPredicate DP(Operand.iss4_2Imm());
    6252          36 :     if (DP.isMatch())
    6253             :       return MCTargetAsmParser::Match_Success;
    6254             :     break;
    6255             :     }
    6256             :   // 's4_3Imm' class
    6257             :   case MCK_s4_3Imm: {
    6258             :     DiagnosticPredicate DP(Operand.iss4_3Imm());
    6259          14 :     if (DP.isMatch())
    6260             :       return MCTargetAsmParser::Match_Success;
    6261             :     break;
    6262             :     }
    6263             :   // 's6_0Imm' class
    6264             :   case MCK_s6_0Imm: {
    6265             :     DiagnosticPredicate DP(Operand.iss6_0Imm());
    6266           7 :     if (DP.isMatch())
    6267             :       return MCTargetAsmParser::Match_Success;
    6268             :     break;
    6269             :     }
    6270             :   // 's6_3Imm' class
    6271             :   case MCK_s6_3Imm: {
    6272             :     DiagnosticPredicate DP(Operand.iss6_3Imm());
    6273           0 :     if (DP.isMatch())
    6274             :       return MCTargetAsmParser::Match_Success;
    6275             :     break;
    6276             :     }
    6277             :   // 's8_0Imm' class
    6278             :   case MCK_s8_0Imm: {
    6279             :     DiagnosticPredicate DP(Operand.iss8_0Imm());
    6280          15 :     if (DP.isMatch())
    6281             :       return MCTargetAsmParser::Match_Success;
    6282             :     break;
    6283             :     }
    6284             :   // 's9_0Imm' class
    6285             :   case MCK_s9_0Imm: {
    6286             :     DiagnosticPredicate DP(Operand.iss9_0Imm());
    6287           0 :     if (DP.isMatch())
    6288             :       return MCTargetAsmParser::Match_Success;
    6289             :     break;
    6290             :     }
    6291             :   // 'u10_0Imm' class
    6292             :   case MCK_u10_0Imm: {
    6293             :     DiagnosticPredicate DP(Operand.isu10_0Imm());
    6294          13 :     if (DP.isMatch())
    6295             :       return MCTargetAsmParser::Match_Success;
    6296             :     break;
    6297             :     }
    6298             :   // 'u11_3Imm' class
    6299             :   case MCK_u11_3Imm: {
    6300             :     DiagnosticPredicate DP(Operand.isu11_3Imm());
    6301           6 :     if (DP.isMatch())
    6302             :       return MCTargetAsmParser::Match_Success;
    6303             :     break;
    6304             :     }
    6305             :   // 'u16_0Imm' class
    6306             :   case MCK_u16_0Imm: {
    6307             :     DiagnosticPredicate DP(Operand.isu16_0Imm());
    6308          16 :     if (DP.isMatch())
    6309             :       return MCTargetAsmParser::Match_Success;
    6310             :     break;
    6311             :     }
    6312             :   // 'u1_0Imm' class
    6313             :   case MCK_u1_0Imm: {
    6314             :     DiagnosticPredicate DP(Operand.isu1_0Imm());
    6315           2 :     if (DP.isMatch())
    6316             :       return MCTargetAsmParser::Match_Success;
    6317             :     break;
    6318             :     }
    6319             :   // 'u26_6Imm' class
    6320             :   case MCK_u26_6Imm: {
    6321             :     DiagnosticPredicate DP(Operand.isu26_6Imm());
    6322           0 :     if (DP.isMatch())
    6323             :       return MCTargetAsmParser::Match_Success;
    6324             :     break;
    6325             :     }
    6326             :   // 'u29_3Imm' class
    6327             :   case MCK_u29_3Imm: {
    6328             :     DiagnosticPredicate DP(Operand.isu29_3Imm());
    6329             :     if (DP.isMatch())
    6330             :       return MCTargetAsmParser::Match_Success;
    6331             :     break;
    6332             :     }
    6333             :   // 'u2_0Imm' class
    6334             :   case MCK_u2_0Imm: {
    6335             :     DiagnosticPredicate DP(Operand.isu2_0Imm());
    6336         110 :     if (DP.isMatch())
    6337             :       return MCTargetAsmParser::Match_Success;
    6338             :     break;
    6339             :     }
    6340             :   // 'u30_2Imm' class
    6341             :   case MCK_u30_2Imm: {
    6342             :     DiagnosticPredicate DP(Operand.isu30_2Imm());
    6343             :     if (DP.isMatch())
    6344             :       return MCTargetAsmParser::Match_Success;
    6345             :     break;
    6346             :     }
    6347             :   // 'u31_1Imm' class
    6348             :   case MCK_u31_1Imm: {
    6349             :     DiagnosticPredicate DP(Operand.isu31_1Imm());
    6350             :     if (DP.isMatch())
    6351             :       return MCTargetAsmParser::Match_Success;
    6352             :     break;
    6353             :     }
    6354             :   // 'u32_0Imm' class
    6355             :   case MCK_u32_0Imm: {
    6356             :     DiagnosticPredicate DP(Operand.isu32_0Imm());
    6357             :     if (DP.isMatch())
    6358             :       return MCTargetAsmParser::Match_Success;
    6359             :     break;
    6360             :     }
    6361             :   // 'u3_0Imm' class
    6362             :   case MCK_u3_0Imm: {
    6363             :     DiagnosticPredicate DP(Operand.isu3_0Imm());
    6364           6 :     if (DP.isMatch())
    6365             :       return MCTargetAsmParser::Match_Success;
    6366             :     break;
    6367             :     }
    6368             :   // 'u3_1Imm' class
    6369             :   case MCK_u3_1Imm: {
    6370             :     DiagnosticPredicate DP(Operand.isu3_1Imm());
    6371           0 :     if (DP.isMatch())
    6372             :       return MCTargetAsmParser::Match_Success;
    6373             :     break;
    6374             :     }
    6375             :   // 'u4_0Imm' class
    6376             :   case MCK_u4_0Imm: {
    6377             :     DiagnosticPredicate DP(Operand.isu4_0Imm());
    6378          26 :     if (DP.isMatch())
    6379             :       return MCTargetAsmParser::Match_Success;
    6380             :     break;
    6381             :     }
    6382             :   // 'u4_2Imm' class
    6383             :   case MCK_u4_2Imm: {
    6384             :     DiagnosticPredicate DP(Operand.isu4_2Imm());
    6385           0 :     if (DP.isMatch())
    6386             :       return MCTargetAsmParser::Match_Success;
    6387             :     break;
    6388             :     }
    6389             :   // 'u5_0Imm' class
    6390             :   case MCK_u5_0Imm: {
    6391             :     DiagnosticPredicate DP(Operand.isu5_0Imm());
    6392         128 :     if (DP.isMatch())
    6393             :       return MCTargetAsmParser::Match_Success;
    6394             :     break;
    6395             :     }
    6396             :   // 'u5_2Imm' class
    6397             :   case MCK_u5_2Imm: {
    6398             :     DiagnosticPredicate DP(Operand.isu5_2Imm());
    6399           0 :     if (DP.isMatch())
    6400             :       return MCTargetAsmParser::Match_Success;
    6401             :     break;
    6402             :     }
    6403             :   // 'u5_3Imm' class
    6404             :   case MCK_u5_3Imm: {
    6405             :     DiagnosticPredicate DP(Operand.isu5_3Imm());
    6406           0 :     if (DP.isMatch())
    6407             :       return MCTargetAsmParser::Match_Success;
    6408             :     break;
    6409             :     }
    6410             :   // 'u64_0Imm' class
    6411             :   case MCK_u64_0Imm: {
    6412             :     DiagnosticPredicate DP(Operand.isu64_0Imm());
    6413           1 :     if (DP.isMatch())
    6414             :       return MCTargetAsmParser::Match_Success;
    6415             :     break;
    6416             :     }
    6417             :   // 'u6_0Imm' class
    6418             :   case MCK_u6_0Imm: {
    6419             :     DiagnosticPredicate DP(Operand.isu6_0Imm());
    6420          48 :     if (DP.isMatch())
    6421             :       return MCTargetAsmParser::Match_Success;
    6422             :     break;
    6423             :     }
    6424             :   // 'u6_1Imm' class
    6425             :   case MCK_u6_1Imm: {
    6426             :     DiagnosticPredicate DP(Operand.isu6_1Imm());
    6427          19 :     if (DP.isMatch())
    6428             :       return MCTargetAsmParser::Match_Success;
    6429             :     break;
    6430             :     }
    6431             :   // 'u6_2Imm' class
    6432             :   case MCK_u6_2Imm: {
    6433             :     DiagnosticPredicate DP(Operand.isu6_2Imm());
    6434          21 :     if (DP.isMatch())
    6435             :       return MCTargetAsmParser::Match_Success;
    6436             :     break;
    6437             :     }
    6438             :   // 'u7_0Imm' class
    6439             :   case MCK_u7_0Imm: {
    6440             :     DiagnosticPredicate DP(Operand.isu7_0Imm());
    6441           3 :     if (DP.isMatch())
    6442             :       return MCTargetAsmParser::Match_Success;
    6443             :     break;
    6444             :     }
    6445             :   // 'u8_0Imm' class
    6446             :   case MCK_u8_0Imm: {
    6447             :     DiagnosticPredicate DP(Operand.isu8_0Imm());
    6448          13 :     if (DP.isMatch())
    6449             :       return MCTargetAsmParser::Match_Success;
    6450             :     break;
    6451             :     }
    6452             :   } // end switch (Kind)
    6453             : 
    6454     1605620 :   if (Operand.isReg()) {
    6455             :     MatchClassKind OpKind;
    6456             :     switch (Operand.getReg()) {
    6457             :     default: OpKind = InvalidMatchClass; break;
    6458             :     case Hexagon::R0: OpKind = MCK_IntRegsLow8; break;
    6459             :     case Hexagon::R1: OpKind = MCK_IntRegsLow8; break;
    6460             :     case Hexagon::R2: OpKind = MCK_IntRegsLow8; break;
    6461             :     case Hexagon::R3: OpKind = MCK_IntRegsLow8; break;
    6462             :     case Hexagon::R4: OpKind = MCK_IntRegsLow8; break;
    6463             :     case Hexagon::R5: OpKind = MCK_IntRegsLow8; break;
    6464             :     case Hexagon::R6: OpKind = MCK_IntRegsLow8; break;
    6465             :     case Hexagon::R7: OpKind = MCK_IntRegsLow8; break;
    6466             :     case Hexagon::R8: OpKind = MCK_IntRegs; break;
    6467             :     case Hexagon::R9: OpKind = MCK_IntRegs; break;
    6468             :     case Hexagon::R10: OpKind = MCK_IntRegs; break;
    6469             :     case Hexagon::R11: OpKind = MCK_IntRegs; break;
    6470             :     case Hexagon::R12: OpKind = MCK_IntRegs; break;
    6471             :     case Hexagon::R13: OpKind = MCK_IntRegs; break;
    6472             :     case Hexagon::R14: OpKind = MCK_IntRegs; break;
    6473             :     case Hexagon::R15: OpKind = MCK_IntRegs; break;
    6474             :     case Hexagon::R16: OpKind = MCK_GeneralSubRegs; break;
    6475             :     case Hexagon::R17: OpKind = MCK_GeneralSubRegs; break;
    6476             :     case Hexagon::R18: OpKind = MCK_GeneralSubRegs; break;
    6477             :     case Hexagon::R19: OpKind = MCK_GeneralSubRegs; break;
    6478             :     case Hexagon::R20: OpKind = MCK_GeneralSubRegs; break;
    6479             :     case Hexagon::R21: OpKind = MCK_GeneralSubRegs; break;
    6480             :     case Hexagon::R22: OpKind = MCK_GeneralSubRegs; break;
    6481             :     case Hexagon::R23: OpKind = MCK_GeneralSubRegs; break;
    6482             :     case Hexagon::R24: OpKind = MCK_IntRegs; break;
    6483             :     case Hexagon::R25: OpKind = MCK_IntRegs; break;
    6484             :     case Hexagon::R26: OpKind = MCK_IntRegs; break;
    6485             :     case Hexagon::R27: OpKind = MCK_IntRegs; break;
    6486             :     case Hexagon::R28: OpKind = MCK_IntRegs; break;
    6487             :     case Hexagon::R29: OpKind = MCK_IntRegs; break;
    6488             :     case Hexagon::R30: OpKind = MCK_IntRegs; break;
    6489             :     case Hexagon::R31: OpKind = MCK_IntRegs; break;
    6490             :     case Hexagon::D0: OpKind = MCK_Reg3; break;
    6491             :     case Hexagon::D1: OpKind = MCK_Reg3; break;
    6492             :     case Hexagon::D2: OpKind = MCK_Reg3; break;
    6493             :     case Hexagon::D3: OpKind = MCK_Reg3; break;
    6494             :     case Hexagon::D4: OpKind = MCK_DoubleRegs; break;
    6495             :     case Hexagon::D5: OpKind = MCK_DoubleRegs; break;
    6496             :     case Hexagon::D6: OpKind = MCK_DoubleRegs; break;
    6497             :     case Hexagon::D7: OpKind = MCK_DoubleRegs; break;
    6498             :     case Hexagon::D8: OpKind = MCK_GeneralDoubleLow8Regs; break;
    6499             :     case Hexagon::D9: OpKind = MCK_GeneralDoubleLow8Regs; break;
    6500             :     case Hexagon::D10: OpKind = MCK_GeneralDoubleLow8Regs; break;
    6501             :     case Hexagon::D11: OpKind = MCK_GeneralDoubleLow8Regs; break;
    6502             :     case Hexagon::D12: OpKind = MCK_DoubleRegs; break;
    6503             :     case Hexagon::D13: OpKind = MCK_DoubleRegs; break;
    6504             :     case Hexagon::D14: OpKind = MCK_DoubleRegs; break;
    6505             :     case Hexagon::D15: OpKind = MCK_DoubleRegs; break;
    6506             :     case Hexagon::P0: OpKind = MCK_P0; break;
    6507             :     case Hexagon::P1: OpKind = MCK_P1; break;
    6508             :     case Hexagon::P2: OpKind = MCK_PredRegs; break;
    6509             :     case Hexagon::P3: OpKind = MCK_P3; break;
    6510             :     case Hexagon::USR_OVF: OpKind = MCK_UsrBits; break;
    6511             :     case Hexagon::USR: OpKind = MCK_Reg11; break;
    6512             :     case Hexagon::SA0: OpKind = MCK_CtrRegs; break;
    6513             :     case Hexagon::LC0: OpKind = MCK_CtrRegs; break;
    6514             :     case Hexagon::SA1: OpKind = MCK_CtrRegs; break;
    6515             :     case Hexagon::LC1: OpKind = MCK_CtrRegs; break;
    6516             :     case Hexagon::P3_0: OpKind = MCK_CtrRegs; break;
    6517             :     case Hexagon::C5: OpKind = MCK_CtrRegs; break;
    6518             :     case Hexagon::M0: OpKind = MCK_ModRegs; break;
    6519             :     case Hexagon::M1: OpKind = MCK_ModRegs; break;
    6520             :     case Hexagon::C8: OpKind = MCK_CtrRegs; break;
    6521             :     case Hexagon::PC: OpKind = MCK_PC; break;
    6522             :     case Hexagon::UGP: OpKind = MCK_CtrRegs; break;
    6523             :     case Hexagon::GP: OpKind = MCK_GP; break;
    6524             :     case Hexagon::CS0: OpKind = MCK_CtrRegs; break;
    6525             :     case Hexagon::CS1: OpKind = MCK_CtrRegs; break;
    6526             :     case Hexagon::UPCYCLELO: OpKind = MCK_CtrRegs; break;
    6527             :     case Hexagon::UPCYCLEHI: OpKind = MCK_CtrRegs; break;
    6528             :     case Hexagon::FRAMELIMIT: OpKind = MCK_Reg16; break;
    6529             :     case Hexagon::FRAMEKEY: OpKind = MCK_Reg16; break;
    6530             :     case Hexagon::PKTCOUNTLO: OpKind = MCK_Reg16; break;
    6531             :     case Hexagon::PKTCOUNTHI: OpKind = MCK_Reg16; break;
    6532             :     case Hexagon::UTIMERLO: OpKind = MCK_Reg16; break;
    6533             :     case Hexagon::UTIMERHI: OpKind = MCK_Reg16; break;
    6534             :     case Hexagon::C1_0: OpKind = MCK_CtrRegs64; break;
    6535             :     case Hexagon::C3_2: OpKind = MCK_CtrRegs64; break;
    6536             :     case Hexagon::C5_4: OpKind = MCK_CtrRegs64; break;
    6537             :     case Hexagon::C7_6: OpKind = MCK_Reg19; break;
    6538             :     case Hexagon::C9_8: OpKind = MCK_CtrRegs64; break;
    6539             :     case Hexagon::C11_10: OpKind = MCK_CtrRegs64; break;
    6540             :     case Hexagon::CS: OpKind = MCK_CtrRegs64; break;
    6541             :     case Hexagon::UPCYCLE: OpKind = MCK_CtrRegs64; break;
    6542             :     case Hexagon::C17_16: OpKind = MCK_Reg20; break;
    6543             :     case Hexagon::PKTCOUNT: OpKind = MCK_Reg20; break;
    6544             :     case Hexagon::UTIMER: OpKind = MCK_Reg20; break;
    6545             :     case Hexagon::V0: OpKind = MCK_HvxVR; break;
    6546             :     case Hexagon::V1: OpKind = MCK_HvxVR; break;
    6547             :     case Hexagon::V2: OpKind = MCK_HvxVR; break;
    6548             :     case Hexagon::V3: OpKind = MCK_HvxVR; break;
    6549             :     case Hexagon::V4: OpKind = MCK_HvxVR; break;
    6550             :     case Hexagon::V5: OpKind = MCK_HvxVR; break;
    6551             :     case Hexagon::V6: OpKind = MCK_HvxVR; break;
    6552             :     case Hexagon::V7: OpKind = MCK_HvxVR; break;
    6553             :     case Hexagon::V8: OpKind = MCK_HvxVR; break;
    6554             :     case Hexagon::V9: OpKind = MCK_HvxVR; break;
    6555             :     case Hexagon::V10: OpKind = MCK_HvxVR; break;
    6556             :     case Hexagon::V11: OpKind = MCK_HvxVR; break;
    6557             :     case Hexagon::V12: OpKind = MCK_HvxVR; break;
    6558             :     case Hexagon::V13: OpKind = MCK_HvxVR; break;
    6559             :     case Hexagon::V14: OpKind = MCK_HvxVR; break;
    6560             :     case Hexagon::V15: OpKind = MCK_HvxVR; break;
    6561             :     case Hexagon::V16: OpKind = MCK_HvxVR; break;
    6562             :     case Hexagon::V17: OpKind = MCK_HvxVR; break;
    6563             :     case Hexagon::V18: OpKind = MCK_HvxVR; break;
    6564             :     case Hexagon::V19: OpKind = MCK_HvxVR; break;
    6565             :     case Hexagon::V20: OpKind = MCK_HvxVR; break;
    6566             :     case Hexagon::V21: OpKind = MCK_HvxVR; break;
    6567             :     case Hexagon::V22: OpKind = MCK_HvxVR; break;
    6568             :     case Hexagon::V23: OpKind = MCK_HvxVR; break;
    6569             :     case Hexagon::V24: OpKind = MCK_HvxVR; break;
    6570             :     case Hexagon::V25: OpKind = MCK_HvxVR; break;
    6571             :     case Hexagon::V26: OpKind = MCK_HvxVR; break;
    6572             :     case Hexagon::V27: OpKind = MCK_HvxVR; break;
    6573             :     case Hexagon::V28: OpKind = MCK_HvxVR; break;
    6574             :     case Hexagon::V29: OpKind = MCK_HvxVR; break;
    6575             :     case Hexagon::V30: OpKind = MCK_HvxVR; break;
    6576             :     case Hexagon::V31: OpKind = MCK_HvxVR; break;
    6577             :     case Hexagon::VTMP: OpKind = MCK_V65Regs; break;
    6578             :     case Hexagon::W0: OpKind = MCK_HvxWR; break;
    6579             :     case Hexagon::W1: OpKind = MCK_HvxWR; break;
    6580             :     case Hexagon::W2: OpKind = MCK_HvxWR; break;
    6581             :     case Hexagon::W3: OpKind = MCK_HvxWR; break;
    6582             :     case Hexagon::W4: OpKind = MCK_HvxWR; break;
    6583             :     case Hexagon::W5: OpKind = MCK_HvxWR; break;
    6584             :     case Hexagon::W6: OpKind = MCK_HvxWR; break;
    6585             :     case Hexagon::W7: OpKind = MCK_HvxWR; break;
    6586             :     case Hexagon::W8: OpKind = MCK_HvxWR; break;
    6587             :     case Hexagon::W9: OpKind = MCK_HvxWR; break;
    6588             :     case Hexagon::W10: OpKind = MCK_HvxWR; break;
    6589             :     case Hexagon::W11: OpKind = MCK_HvxWR; break;
    6590             :     case Hexagon::W12: OpKind = MCK_HvxWR; break;
    6591             :     case Hexagon::W13: OpKind = MCK_HvxWR; break;
    6592             :     case Hexagon::W14: OpKind = MCK_HvxWR; break;
    6593             :     case Hexagon::W15: OpKind = MCK_HvxWR; break;
    6594             :     case Hexagon::Q0: OpKind = MCK_HvxQR; break;
    6595             :     case Hexagon::Q1: OpKind = MCK_HvxQR; break;
    6596             :     case Hexagon::Q2: OpKind = MCK_HvxQR; break;
    6597             :     case Hexagon::Q3: OpKind = MCK_HvxQR; break;
    6598             :     case Hexagon::GELR: OpKind = MCK_GuestRegs; break;
    6599             :     case Hexagon::GSR: OpKind = MCK_GuestRegs; break;
    6600             :     case Hexagon::GOSP: OpKind = MCK_GuestRegs; break;
    6601             :     case Hexagon::G3: OpKind = MCK_GuestRegs; break;
    6602             :     case Hexagon::G4: OpKind = MCK_GuestRegs; break;
    6603             :     case Hexagon::G5: OpKind = MCK_GuestRegs; break;
    6604             :     case Hexagon::G6: OpKind = MCK_GuestRegs; break;
    6605             :     case Hexagon::G7: OpKind = MCK_GuestRegs; break;
    6606             :     case Hexagon::G8: OpKind = MCK_GuestRegs; break;
    6607             :     case Hexagon::G9: OpKind = MCK_GuestRegs; break;
    6608             :     case Hexagon::G10: OpKind = MCK_GuestRegs; break;
    6609             :     case Hexagon::G11: OpKind = MCK_GuestRegs; break;
    6610             :     case Hexagon::G12: OpKind = MCK_GuestRegs; break;
    6611             :     case Hexagon::G13: OpKind = MCK_GuestRegs; break;
    6612             :     case Hexagon::G14: OpKind = MCK_GuestRegs; break;
    6613             :     case Hexagon::G15: OpKind = MCK_GuestRegs; break;
    6614             :     case Hexagon::GPMUCNT4: OpKind = MCK_GuestRegs; break;
    6615             :     case Hexagon::GPMUCNT5: OpKind = MCK_GuestRegs; break;
    6616             :     case Hexagon::GPMUCNT6: OpKind = MCK_GuestRegs; break;
    6617             :     case Hexagon::GPMUCNT7: OpKind = MCK_GuestRegs; break;
    6618             :     case Hexagon::G20: OpKind = MCK_GuestRegs; break;
    6619             :     case Hexagon::G21: OpKind = MCK_GuestRegs; break;
    6620             :     case Hexagon::G22: OpKind = MCK_GuestRegs; break;
    6621             :     case Hexagon::G23: OpKind = MCK_GuestRegs; break;
    6622             :     case Hexagon::GPCYCLELO: OpKind = MCK_GuestRegs; break;
    6623             :     case Hexagon::GPCYCLEHI: OpKind = MCK_GuestRegs; break;
    6624             :     case Hexagon::GPMUCNT0: OpKind = MCK_GuestRegs; break;
    6625             :     case Hexagon::GPMUCNT1: OpKind = MCK_GuestRegs; break;
    6626             :     case Hexagon::GPMUCNT2: OpKind = MCK_GuestRegs; break;
    6627             :     case Hexagon::GPMUCNT3: OpKind = MCK_GuestRegs; break;
    6628             :     case Hexagon::G30: OpKind = MCK_GuestRegs; break;
    6629             :     case Hexagon::G31: OpKind = MCK_GuestRegs; break;
    6630             :     case Hexagon::G1_0: OpKind = MCK_GuestRegs64; break;
    6631             :     case Hexagon::G3_2: OpKind = MCK_GuestRegs64; break;
    6632             :     case Hexagon::G5_4: OpKind = MCK_GuestRegs64; break;
    6633             :     case Hexagon::G7_6: OpKind = MCK_GuestRegs64; break;
    6634             :     case Hexagon::G9_8: OpKind = MCK_GuestRegs64; break;
    6635             :     case Hexagon::G11_10: OpKind = MCK_GuestRegs64; break;
    6636             :     case Hexagon::G13_12: OpKind = MCK_GuestRegs64; break;
    6637             :     case Hexagon::G15_14: OpKind = MCK_GuestRegs64; break;
    6638             :     case Hexagon::G17_16: OpKind = MCK_GuestRegs64; break;
    6639             :     case Hexagon::G19_18: OpKind = MCK_GuestRegs64; break;
    6640             :     case Hexagon::G21_20: OpKind = MCK_GuestRegs64; break;
    6641             :     case Hexagon::G23_22: OpKind = MCK_GuestRegs64; break;
    6642             :     case Hexagon::G25_24: OpKind = MCK_GuestRegs64; break;
    6643             :     case Hexagon::G27_26: OpKind = MCK_GuestRegs64; break;
    6644             :     case Hexagon::G29_28: OpKind = MCK_GuestRegs64; break;
    6645             :     case Hexagon::G31_30: OpKind = MCK_GuestRegs64; break;
    6646             :     }
    6647     1529712 :     return isSubclass(OpKind, Kind) ? (unsigned)MCTargetAsmParser::Match_Success :
    6648             :                                       getDiagKindFromRegisterClass(Kind);
    6649             :   }
    6650             : 
    6651             :   if (Kind > MCK_LAST_TOKEN && Kind <= MCK_LAST_REGISTER)
    6652             :     return getDiagKindFromRegisterClass(Kind);
    6653             : 
    6654             :   return MCTargetAsmParser::Match_InvalidOperand;
    6655             : }
    6656             : 
    6657             : #ifndef NDEBUG
    6658             : const char *getMatchClassName(MatchClassKind Kind) {
    6659             :   switch (Kind) {
    6660             :   case InvalidMatchClass: return "InvalidMatchClass";
    6661             :   case OptionalMatchClass: return "OptionalMatchClass";
    6662             :   case MCK__EXCLAIM_: return "MCK__EXCLAIM_";
    6663             :   case MCK__35_: return "MCK__35_";
    6664             :   case MCK__38_: return "MCK__38_";
    6665             :   case MCK__40_: return "MCK__40_";
    6666             :   case MCK__41_: return "MCK__41_";
    6667             :   case MCK__STAR_: return "MCK__STAR_";
    6668             :   case MCK__43_: return "MCK__43_";
    6669             :   case MCK__MINUS_: return "MCK__MINUS_";
    6670             :   case MCK__DOT_: return "MCK__DOT_";
    6671             :   case MCK_0: return "MCK_0";
    6672             :   case MCK_1: return "MCK_1";
    6673             :   case MCK_16: return "MCK_16";
    6674             :   case MCK__COLON_: return "MCK__COLON_";
    6675             :   case MCK__59_: return "MCK__59_";
    6676             :   case MCK__LT_: return "MCK__LT_";
    6677             :   case MCK__61_: return "MCK__61_";
    6678             :   case MCK__GT_: return "MCK__GT_";
    6679             :   case MCK_CONST32: return "MCK_CONST32";
    6680             :   case MCK_CONST64: return "MCK_CONST64";
    6681             :   case MCK_DUPLEX: return "MCK_DUPLEX";
    6682             :   case MCK_I: return "MCK_I";
    6683             :   case MCK__94_: return "MCK__94_";
    6684             :   case MCK_abs: return "MCK_abs";
    6685             :   case MCK_add: return "MCK_add";
    6686             :   case MCK_addasl: return "MCK_addasl";
    6687             :   case MCK_all8: return "MCK_all8";
    6688             :   case MCK_allocframe: return "MCK_allocframe";
    6689             :   case MCK_and: return "MCK_and";
    6690             :   case MCK_any8: return "MCK_any8";
    6691             :   case MCK_asl: return "MCK_asl";
    6692             :   case MCK_aslh: return "MCK_aslh";
    6693             :   case MCK_asr: return "MCK_asr";
    6694             :   case MCK_asrh: return "MCK_asrh";
    6695             :   case MCK_asrrnd: return "MCK_asrrnd";
    6696             :   case MCK_b: return "MCK_b";
    6697             :   case MCK_barrier: return "MCK_barrier";
    6698             :   case MCK_bitsclr: return "MCK_bitsclr";
    6699             :   case MCK_bitsplit: return "MCK_bitsplit";
    6700             :   case MCK_bitsset: return "MCK_bitsset";
    6701             :   case MCK_boundscheck: return "MCK_boundscheck";
    6702             :   case MCK_brev: return "MCK_brev";
    6703             :   case MCK_brkpt: return "MCK_brkpt";
    6704             :   case MCK_call: return "MCK_call";
    6705             :   case MCK_callr: return "MCK_callr";
    6706             :   case MCK_carry: return "MCK_carry";
    6707             :   case MCK_chop: return "MCK_chop";
    6708             :   case MCK_circ: return "MCK_circ";
    6709             :   case MCK_cl0: return "MCK_cl0";
    6710             :   case MCK_cl1: return "MCK_cl1";
    6711             :   case MCK_clb: return "MCK_clb";
    6712             :   case MCK_clrbit: return "MCK_clrbit";
    6713             :   case MCK_cmp: return "MCK_cmp";
    6714             :   case MCK_cmpb: return "MCK_cmpb";
    6715             :   case MCK_cmph: return "MCK_cmph";
    6716             :   case MCK_cmpy: return "MCK_cmpy";
    6717             :   case MCK_cmpyi: return "MCK_cmpyi";
    6718             :   case MCK_cmpyiwh: return "MCK_cmpyiwh";
    6719             :   case MCK_cmpyr: return "MCK_cmpyr";
    6720             :   case MCK_cmpyrwh: return "MCK_cmpyrwh";
    6721             :   case MCK_combine: return "MCK_combine";
    6722             :   case MCK_convert_95_d2df: return "MCK_convert_95_d2df";
    6723             :   case MCK_convert_95_d2sf: return "MCK_convert_95_d2sf";
    6724             :   case MCK_convert_95_df2d: return "MCK_convert_95_df2d";
    6725             :   case MCK_convert_95_df2sf: return "MCK_convert_95_df2sf";
    6726             :   case MCK_convert_95_df2ud: return "MCK_convert_95_df2ud";
    6727             :   case MCK_convert_95_df2uw: return "MCK_convert_95_df2uw";
    6728             :   case MCK_convert_95_df2w: return "MCK_convert_95_df2w";
    6729             :   case MCK_convert_95_sf2d: return "MCK_convert_95_sf2d";
    6730             :   case MCK_convert_95_sf2df: return "MCK_convert_95_sf2df";
    6731             :   case MCK_convert_95_sf2ud: return "MCK_convert_95_sf2ud";
    6732             :   case MCK_convert_95_sf2uw: return "MCK_convert_95_sf2uw";
    6733             :   case MCK_convert_95_sf2w: return "MCK_convert_95_sf2w";
    6734             :   case MCK_convert_95_ud2df: return "MCK_convert_95_ud2df";
    6735             :   case MCK_convert_95_ud2sf: return "MCK_convert_95_ud2sf";
    6736             :   case MCK_convert_95_uw2df: return "MCK_convert_95_uw2df";
    6737             :   case MCK_convert_95_uw2sf: return "MCK_convert_95_uw2sf";
    6738             :   case MCK_convert_95_w2df: return "MCK_convert_95_w2df";
    6739             :   case MCK_convert_95_w2sf: return "MCK_convert_95_w2sf";
    6740             :   case MCK_crnd: return "MCK_crnd";
    6741             :   case MCK_cround: return "MCK_cround";
    6742             :   case MCK_ct0: return "MCK_ct0";
    6743             :   case MCK_ct1: return "MCK_ct1";
    6744             :   case MCK_cur: return "MCK_cur";
    6745             :   case MCK_dccleana: return "MCK_dccleana";
    6746             :   case MCK_dccleaninva: return "MCK_dccleaninva";
    6747             :   case MCK_dcfetch: return "MCK_dcfetch";
    6748             :   case MCK_dcinva: return "MCK_dcinva";
    6749             :   case MCK_dczeroa: return "MCK_dczeroa";
    6750             :   case MCK_dealloc_95_return: return "MCK_dealloc_95_return";
    6751             :   case MCK_deallocframe: return "MCK_deallocframe";
    6752             :   case MCK_decbin: return "MCK_decbin";
    6753             :   case MCK_deinterleave: return "MCK_deinterleave";
    6754             :   case MCK_deprecated: return "MCK_deprecated";
    6755             :   case MCK_dfclass: return "MCK_dfclass";
    6756             :   case MCK_dfcmp: return "MCK_dfcmp";
    6757             :   case MCK_dfmake: return "MCK_dfmake";
    6758             :   case MCK_endloop0: return "MCK_endloop0";
    6759             :   case MCK_endloop01: return "MCK_endloop01";
    6760             :   case MCK_endloop1: return "MCK_endloop1";
    6761             :   case MCK_eq: return "MCK_eq";
    6762             :   case MCK_extract: return "MCK_extract";
    6763             :   case MCK_extractu: return "MCK_extractu";
    6764             :   case MCK_fastcorner9: return "MCK_fastcorner9";
    6765             :   case MCK_ge: return "MCK_ge";
    6766             :   case MCK_geu: return "MCK_geu";
    6767             :   case MCK_gt: return "MCK_gt";
    6768             :   case MCK_gtu: return "MCK_gtu";
    6769             :   case MCK_h: return "MCK_h";
    6770             :   case MCK_hi: return "MCK_hi";
    6771             :   case MCK_hintjr: return "MCK_hintjr";
    6772             :   case MCK_icinva: return "MCK_icinva";
    6773             :   case MCK_iconst: return "MCK_iconst";
    6774             :   case MCK_if: return "MCK_if";
    6775             :   case MCK_immext: return "MCK_immext";
    6776             :   case MCK_insert: return "MCK_insert";
    6777             :   case MCK_interleave: return "MCK_interleave";
    6778             :   case MCK_isync: return "MCK_isync";
    6779             :   case MCK_jump: return "MCK_jump";
    6780             :   case MCK_jumpr: return "MCK_jumpr";
    6781             :   case MCK_l: return "MCK_l";
    6782             :   case MCK_l2fetch: return "MCK_l2fetch";
    6783             :   case MCK_lfs: return "MCK_lfs";
    6784             :   case MCK_lib: return "MCK_lib";
    6785             :   case MCK_lo: return "MCK_lo";
    6786             :   case MCK_loop0: return "MCK_loop0";
    6787             :   case MCK_loop1: return "MCK_loop1";
    6788             :   case MCK_lsl: return "MCK_lsl";
    6789             :   case MCK_lsr: return "MCK_lsr";
    6790             :   case MCK_lt: return "MCK_lt";
    6791             :   case MCK_ltu: return "MCK_ltu";
    6792             :   case MCK_mask: return "MCK_mask";
    6793             :   case MCK_max: return "MCK_max";
    6794             :   case MCK_maxu: return "MCK_maxu";
    6795             :   case MCK_memb: return "MCK_memb";
    6796             :   case MCK_memb_95_fifo: return "MCK_memb_95_fifo";
    6797             :   case MCK_membh: return "MCK_membh";
    6798             :   case MCK_memd: return "MCK_memd";
    6799             :   case MCK_memd_95_locked: return "MCK_memd_95_locked";
    6800             :   case MCK_memh: return "MCK_memh";
    6801             :   case MCK_memh_95_fifo: return "MCK_memh_95_fifo";
    6802             :   case MCK_memub: return "MCK_memub";
    6803             :   case MCK_memubh: return "MCK_memubh";
    6804             :   case MCK_memuh: return "MCK_memuh";
    6805             :   case MCK_memw: return "MCK_memw";
    6806             :   case MCK_memw_95_locked: return "MCK_memw_95_locked";
    6807             :   case MCK_min: return "MCK_min";
    6808             :   case MCK_minu: return "MCK_minu";
    6809             :   case MCK_modwrap: return "MCK_modwrap";
    6810             :   case MCK_mpy: return "MCK_mpy";
    6811             :   case MCK_mpyi: return "MCK_mpyi";
    6812             :   case MCK_mpysu: return "MCK_mpysu";
    6813             :   case MCK_mpyu: return "MCK_mpyu";
    6814             :   case MCK_mpyui: return "MCK_mpyui";
    6815             :   case MCK_mux: return "MCK_mux";
    6816             :   case MCK_neg: return "MCK_neg";
    6817             :   case MCK_new: return "MCK_new";
    6818             :   case MCK_nomatch: return "MCK_nomatch";
    6819             :   case MCK_nop: return "MCK_nop";
    6820             :   case MCK_normamt: return "MCK_normamt";
    6821             :   case MCK_not: return "MCK_not";
    6822             :   case MCK_nt: return "MCK_nt";
    6823             :   case MCK_or: return "MCK_or";
    6824             :   case MCK_packhl: return "MCK_packhl";
    6825             :   case MCK_parity: return "MCK_parity";
    6826             :   case MCK_pause: return "MCK_pause";
    6827             :   case MCK_pmpyw: return "MCK_pmpyw";
    6828             :   case MCK_popcount: return "MCK_popcount";
    6829             :   case MCK_pos: return "MCK_pos";
    6830             :   case MCK_prefixsum: return "MCK_prefixsum";
    6831             :   case MCK_raw: return "MCK_raw";
    6832             :   case MCK_rnd: return "MCK_rnd";
    6833             :   case MCK_rol: return "MCK_rol";
    6834             :   case MCK_round: return "MCK_round";
    6835             :   case MCK_sat: return "MCK_sat";
    6836             :   case MCK_satb: return "MCK_satb";
    6837             :   case MCK_sath: return "MCK_sath";
    6838             :   case MCK_satub: return "MCK_satub";
    6839             :   case MCK_satuh: return "MCK_satuh";
    6840             :   case MCK_scale: return "MCK_scale";
    6841             :   case MCK_scatter_95_release: return "MCK_scatter_95_release";
    6842             :   case MCK_setbit: return "MCK_setbit";
    6843             :   case MCK_sfadd: return "MCK_sfadd";
    6844             :   case MCK_sfclass: return "MCK_sfclass";
    6845             :   case MCK_sfcmp: return "MCK_sfcmp";
    6846             :   case MCK_sffixupd: return "MCK_sffixupd";
    6847             :   case MCK_sffixupn: return "MCK_sffixupn";
    6848             :   case MCK_sffixupr: return "MCK_sffixupr";
    6849             :   case MCK_sfinvsqrta: return "MCK_sfinvsqrta";
    6850             :   case MCK_sfmake: return "MCK_sfmake";
    6851             :   case MCK_sfmax: return "MCK_sfmax";
    6852             :   case MCK_sfmin: return "MCK_sfmin";
    6853             :   case MCK_sfmpy: return "MCK_sfmpy";
    6854             :   case MCK_sfrecipa: return "MCK_sfrecipa";
    6855             :   case MCK_sfsub: return "MCK_sfsub";
    6856             :   case MCK_shift: return "MCK_shift";
    6857             :   case MCK_shuffeb: return "MCK_shuffeb";
    6858             :   case MCK_shuffeh: return "MCK_shuffeh";
    6859             :   case MCK_shuffob: return "MCK_shuffob";
    6860             :   case MCK_shuffoh: return "MCK_shuffoh";
    6861             :   case MCK_sp1loop0: return "MCK_sp1loop0";
    6862             :   case MCK_sp2loop0: return "MCK_sp2loop0";
    6863             :   case MCK_sp3loop0: return "MCK_sp3loop0";
    6864             :   case MCK_sub: return "MCK_sub";
    6865             :   case MCK_swiz: return "MCK_swiz";
    6866             :   case MCK_sxtb: return "MCK_sxtb";
    6867             :   case MCK_sxth: return "MCK_sxth";
    6868             :   case MCK_sxtw: return "MCK_sxtw";
    6869             :   case MCK_syncht: return "MCK_syncht";
    6870             :   case MCK_t: return "MCK_t";
    6871             :   case MCK_tableidxb: return "MCK_tableidxb";
    6872             :   case MCK_tableidxd: return "MCK_tableidxd";
    6873             :   case MCK_tableidxh: return "MCK_tableidxh";
    6874             :   case MCK_tableidxw: return "MCK_tableidxw";
    6875             :   case MCK_tlbmatch: return "MCK_tlbmatch";
    6876             :   case MCK_tmp: return "MCK_tmp";
    6877             :   case MCK_togglebit: return "MCK_togglebit";
    6878             :   case MCK_trace: return "MCK_trace";
    6879             :   case MCK_trap0: return "MCK_trap0";
    6880             :   case MCK_trap1: return "MCK_trap1";
    6881             :   case MCK_tstbit: return "MCK_tstbit";
    6882             :   case MCK_ub: return "MCK_ub";
    6883             :   case MCK_uh: return "MCK_uh";
    6884             :   case MCK_uo: return "MCK_uo";
    6885             :   case MCK_uw: return "MCK_uw";
    6886             :   case MCK_vabs: return "MCK_vabs";
    6887             :   case MCK_vabsb: return "MCK_vabsb";
    6888             :   case MCK_vabsdiff: return "MCK_vabsdiff";
    6889             :   case MCK_vabsdiffb: return "MCK_vabsdiffb";
    6890             :   case MCK_vabsdiffh: return "MCK_vabsdiffh";
    6891             :   case MCK_vabsdiffub: return "MCK_vabsdiffub";
    6892             :   case MCK_vabsdiffuh: return "MCK_vabsdiffuh";
    6893             :   case MCK_vabsdiffw: return "MCK_vabsdiffw";
    6894             :   case MCK_vabsh: return "MCK_vabsh";
    6895             :   case MCK_vabsw: return "MCK_vabsw";
    6896             :   case MCK_vacsh: return "MCK_vacsh";
    6897             :   case MCK_vadd: return "MCK_vadd";
    6898             :   case MCK_vaddb: return "MCK_vaddb";
    6899             :   case MCK_vaddh: return "MCK_vaddh";
    6900             :   case MCK_vaddhub: return "MCK_vaddhub";
    6901             :   case MCK_vaddub: return "MCK_vaddub";
    6902             :   case MCK_vadduh: return "MCK_vadduh";
    6903             :   case MCK_vadduw: return "MCK_vadduw";
    6904             :   case MCK_vaddw: return "MCK_vaddw";
    6905             :   case MCK_valign: return "MCK_valign";
    6906             :   case MCK_valignb: return "MCK_valignb";
    6907             :   case MCK_vand: return "MCK_vand";
    6908             :   case MCK_vasl: return "MCK_vasl";
    6909             :   case MCK_vaslh: return "MCK_vaslh";
    6910             :   case MCK_vaslw: return "MCK_vaslw";
    6911             :   case MCK_vasr: return "MCK_vasr";
    6912             :   case MCK_vasrh: return "MCK_vasrh";
    6913             :   case MCK_vasrhb: return "MCK_vasrhb";
    6914             :   case MCK_vasrhub: return "MCK_vasrhub";
    6915             :   case MCK_vasrw: return "MCK_vasrw";
    6916             :   case MCK_vasrwh: return "MCK_vasrwh";
    6917             :   case MCK_vasrwuh: return "MCK_vasrwuh";
    6918             :   case MCK_vavg: return "MCK_vavg";
    6919             :   case MCK_vavgb: return "MCK_vavgb";
    6920             :   case MCK_vavgh: return "MCK_vavgh";
    6921             :   case MCK_vavgub: return "MCK_vavgub";
    6922             :   case MCK_vavguh: return "MCK_vavguh";
    6923             :   case MCK_vavguw: return "MCK_vavguw";
    6924             :   case MCK_vavgw: return "MCK_vavgw";
    6925             :   case MCK_vcl0: return "MCK_vcl0";
    6926             :   case MCK_vcl0h: return "MCK_vcl0h";
    6927             :   case MCK_vcl0w: return "MCK_vcl0w";
    6928             :   case MCK_vclb: return "MCK_vclb";
    6929             :   case MCK_vcmp: return "MCK_vcmp";
    6930             :   case MCK_vcmpb: return "MCK_vcmpb";
    6931             :   case MCK_vcmph: return "MCK_vcmph";
    6932             :   case MCK_vcmpw: return "MCK_vcmpw";
    6933             :   case MCK_vcmpyi: return "MCK_vcmpyi";
    6934             :   case MCK_vcmpyr: return "MCK_vcmpyr";
    6935             :   case MCK_vcnegh: return "MCK_vcnegh";
    6936             :   case MCK_vcombine: return "MCK_vcombine";
    6937             :   case MCK_vconj: return "MCK_vconj";
    6938             :   case MCK_vcrotate: return "MCK_vcrotate";
    6939             :   case MCK_vdeal: return "MCK_vdeal";
    6940             :   case MCK_vdealb: return "MCK_vdealb";
    6941             :   case MCK_vdealb4w: return "MCK_vdealb4w";
    6942             :   case MCK_vdeale: return "MCK_vdeale";
    6943             :   case MCK_vdealh: return "MCK_vdealh";
    6944             :   case MCK_vdelta: return "MCK_vdelta";
    6945             :   case MCK_vdmpy: return "MCK_vdmpy";
    6946             :   case MCK_vdmpybsu: return "MCK_vdmpybsu";
    6947             :   case MCK_vdmpybus: return "MCK_vdmpybus";
    6948             :   case MCK_vdmpyh: return "MCK_vdmpyh";
    6949             :   case MCK_vdmpyhb: return "MCK_vdmpyhb";
    6950             :   case MCK_vdmpyhsu: return "MCK_vdmpyhsu";
    6951             :   case MCK_vdsad: return "MCK_vdsad";
    6952             :   case MCK_vdsaduh: return "MCK_vdsaduh";
    6953             :   case MCK_vextract: return "MCK_vextract";
    6954             :   case MCK_vgather: return "MCK_vgather";
    6955             :   case MCK_vhist: return "MCK_vhist";
    6956             :   case MCK_vinsert: return "MCK_vinsert";
    6957             :   case MCK_vitpack: return "MCK_vitpack";
    6958             :   case MCK_vlalign: return "MCK_vlalign";
    6959             :   case MCK_vlslh: return "MCK_vlslh";
    6960             :   case MCK_vlslw: return "MCK_vlslw";
    6961             :   case MCK_vlsr: return "MCK_vlsr";
    6962             :   case MCK_vlsrh: return "MCK_vlsrh";
    6963             :   case MCK_vlsrw: return "MCK_vlsrw";
    6964             :   case MCK_vlut16: return "MCK_vlut16";
    6965             :   case MCK_vlut32: return "MCK_vlut32";
    6966             :   case MCK_vlut4: return "MCK_vlut4";
    6967             :   case MCK_vmax: return "MCK_vmax";
    6968             :   case MCK_vmaxb: return "MCK_vmaxb";
    6969             :   case MCK_vmaxh: return "MCK_vmaxh";
    6970             :   case MCK_vmaxub: return "MCK_vmaxub";
    6971             :   case MCK_vmaxuh: return "MCK_vmaxuh";
    6972             :   case MCK_vmaxuw: return "MCK_vmaxuw";
    6973             :   case MCK_vmaxw: return "MCK_vmaxw";
    6974             :   case MCK_vmem: return "MCK_vmem";
    6975             :   case MCK_vmemu: return "MCK_vmemu";
    6976             :   case MCK_vmin: return "MCK_vmin";
    6977             :   case MCK_vminb: return "MCK_vminb";
    6978             :   case MCK_vminh: return "MCK_vminh";
    6979             :   case MCK_vminub: return "MCK_vminub";
    6980             :   case MCK_vminuh: return "MCK_vminuh";
    6981             :   case MCK_vminuw: return "MCK_vminuw";
    6982             :   case MCK_vminw: return "MCK_vminw";
    6983             :   case MCK_vmpa: return "MCK_vmpa";
    6984             :   case MCK_vmpabus: return "MCK_vmpabus";
    6985             :   case MCK_vmpabuu: return "MCK_vmpabuu";
    6986             :   case MCK_vmpahb: return "MCK_vmpahb";
    6987             :   case MCK_vmpauhb: return "MCK_vmpauhb";
    6988             :   case MCK_vmps: return "MCK_vmps";
    6989             :   case MCK_vmpy: return "MCK_vmpy";
    6990             :   case MCK_vmpyb: return "MCK_vmpyb";
    6991             :   case MCK_vmpybsu: return "MCK_vmpybsu";
    6992             :   case MCK_vmpybu: return "MCK_vmpybu";
    6993             :   case MCK_vmpybus: return "MCK_vmpybus";
    6994             :   case MCK_vmpye: return "MCK_vmpye";
    6995             :   case MCK_vmpyeh: return "MCK_vmpyeh";
    6996             :   case MCK_vmpyewuh: return "MCK_vmpyewuh";
    6997             :   case MCK_vmpyh: return "MCK_vmpyh";
    6998             :   case MCK_vmpyhsu: return "MCK_vmpyhsu";
    6999             :   case MCK_vmpyhus: return "MCK_vmpyhus";
    7000             :   case MCK_vmpyi: return "MCK_vmpyi";
    7001             :   case MCK_vmpyie: return "MCK_vmpyie";
    7002             :   case MCK_vmpyieo: return "MCK_vmpyieo";
    7003             :   case MCK_vmpyiewh: return "MCK_vmpyiewh";
    7004             :   case MCK_vmpyiewuh: return "MCK_vmpyiewuh";
    7005             :   case MCK_vmpyih: return "MCK_vmpyih";
    7006             :   case MCK_vmpyihb: return "MCK_vmpyihb";
    7007             :   case MCK_vmpyio: return "MCK_vmpyio";
    7008             :   case MCK_vmpyiowh: return "MCK_vmpyiowh";
    7009             :   case MCK_vmpyiwb: return "MCK_vmpyiwb";
    7010             :   case MCK_vmpyiwh: return "MCK_vmpyiwh";
    7011             :   case MCK_vmpyiwub: return "MCK_vmpyiwub";
    7012             :   case MCK_vmpyo: return "MCK_vmpyo";
    7013             :   case MCK_vmpyowh: return "MCK_vmpyowh";
    7014             :   case MCK_vmpyub: return "MCK_vmpyub";
    7015             :   case MCK_vmpyuh: return "MCK_vmpyuh";
    7016             :   case MCK_vmpyweh: return "MCK_vmpyweh";
    7017             :   case MCK_vmpyweuh: return "MCK_vmpyweuh";
    7018             :   case MCK_vmpywoh: return "MCK_vmpywoh";
    7019             :   case MCK_vmpywouh: return "MCK_vmpywouh";
    7020             :   case MCK_vmux: return "MCK_vmux";
    7021             :   case MCK_vnavg: return "MCK_vnavg";
    7022             :   case MCK_vnavgb: return "MCK_vnavgb";
    7023             :   case MCK_vnavgh: return "MCK_vnavgh";
    7024             :   case MCK_vnavgub: return "MCK_vnavgub";
    7025             :   case MCK_vnavgw: return "MCK_vnavgw";
    7026             :   case MCK_vnormamt: return "MCK_vnormamt";
    7027             :   case MCK_vnormamth: return "MCK_vnormamth";
    7028             :   case MCK_vnormamtw: return "MCK_vnormamtw";
    7029             :   case MCK_vnot: return "MCK_vnot";
    7030             :   case MCK_vor: return "MCK_vor";
    7031             :   case MCK_vpack: return "MCK_vpack";
    7032             :   case MCK_vpacke: return "MCK_vpacke";
    7033             :   case MCK_vpackeb: return "MCK_vpackeb";
    7034             :   case MCK_vpackeh: return "MCK_vpackeh";
    7035             :   case MCK_vpackhb: return "MCK_vpackhb";
    7036             :   case MCK_vpackhub: return "MCK_vpackhub";
    7037             :   case MCK_vpacko: return "MCK_vpacko";
    7038             :   case MCK_vpackob: return "MCK_vpackob";
    7039             :   case MCK_vpackoh: return "MCK_vpackoh";
    7040             :   case MCK_vpackwh: return "MCK_vpackwh";
    7041             :   case MCK_vpackwuh: return "MCK_vpackwuh";
    7042             :   case MCK_vpmpyh: return "MCK_vpmpyh";
    7043             :   case MCK_vpopcount: return "MCK_vpopcount";
    7044             :   case MCK_vpopcounth: return "MCK_vpopcounth";
    7045             :   case MCK_vraddh: return "MCK_vraddh";
    7046             :   case MCK_vraddub: return "MCK_vraddub";
    7047             :   case MCK_vradduh: return "MCK_vradduh";
    7048             :   case MCK_vrcmpyi: return "MCK_vrcmpyi";
    7049             :   case MCK_vrcmpyr: return "MCK_vrcmpyr";
    7050             :   case MCK_vrcmpys: return "MCK_vrcmpys";
    7051             :   case MCK_vrcnegh: return "MCK_vrcnegh";
    7052             :   case MCK_vrcrotate: return "MCK_vrcrotate";
    7053             :   case MCK_vrdelta: return "MCK_vrdelta";
    7054             :   case MCK_vrmaxh: return "MCK_vrmaxh";
    7055             :   case MCK_vrmaxuh: return "MCK_vrmaxuh";
    7056             :   case MCK_vrmaxuw: return "MCK_vrmaxuw";
    7057             :   case MCK_vrmaxw: return "MCK_vrmaxw";
    7058             :   case MCK_vrminh: return "MCK_vrminh";
    7059             :   case MCK_vrminuh: return "MCK_vrminuh";
    7060             :   case MCK_vrminuw: return "MCK_vrminuw";
    7061             :   case MCK_vrminw: return "MCK_vrminw";
    7062             :   case MCK_vrmpy: return "MCK_vrmpy";
    7063             :   case MCK_vrmpyb: return "MCK_vrmpyb";
    7064             :   case MCK_vrmpybsu: return "MCK_vrmpybsu";
    7065             :   case MCK_vrmpybu: return "MCK_vrmpybu";
    7066             :   case MCK_vrmpybus: return "MCK_vrmpybus";
    7067             :   case MCK_vrmpyh: return "MCK_vrmpyh";
    7068             :   case MCK_vrmpyub: return "MCK_vrmpyub";
    7069             :   case MCK_vrmpyweh: return "MCK_vrmpyweh";
    7070             :   case MCK_vrmpywoh: return "MCK_vrmpywoh";
    7071             :   case MCK_vrndwh: return "MCK_vrndwh";
    7072             :   case MCK_vror: return "MCK_vror";
    7073             :   case MCK_vround: return "MCK_vround";
    7074             :   case MCK_vroundhb: return "MCK_vroundhb";
    7075             :   case MCK_vroundhub: return "MCK_vroundhub";
    7076             :   case MCK_vrounduhub: return "MCK_vrounduhub";
    7077             :   case MCK_vrounduwuh: return "MCK_vrounduwuh";
    7078             :   case MCK_vroundwh: return "MCK_vroundwh";
    7079             :   case MCK_vroundwuh: return "MCK_vroundwuh";
    7080             :   case MCK_vrsad: return "MCK_vrsad";
    7081             :   case MCK_vrsadub: return "MCK_vrsadub";
    7082             :   case MCK_vsat: return "MCK_vsat";
    7083             :   case MCK_vsathb: return "MCK_vsathb";
    7084             :   case MCK_vsathub: return "MCK_vsathub";
    7085             :   case MCK_vsatuwuh: return "MCK_vsatuwuh";
    7086             :   case MCK_vsatwh: return "MCK_vsatwh";
    7087             :   case MCK_vsatwuh: return "MCK_vsatwuh";
    7088             :   case MCK_vscatter: return "MCK_vscatter";
    7089             :   case MCK_vsetq: return "MCK_vsetq";
    7090             :   case MCK_vsetq2: return "MCK_vsetq2";
    7091             :   case MCK_vshuff: return "MCK_vshuff";
    7092             :   case MCK_vshuffb: return "MCK_vshuffb";
    7093             :   case MCK_vshuffe: return "MCK_vshuffe";
    7094             :   case MCK_vshuffeb: return "MCK_vshuffeb";
    7095             :   case MCK_vshuffeh: return "MCK_vshuffeh";
    7096             :   case MCK_vshuffh: return "MCK_vshuffh";
    7097             :   case MCK_vshuffo: return "MCK_vshuffo";
    7098             :   case MCK_vshuffob: return "MCK_vshuffob";
    7099             :   case MCK_vshuffoe: return "MCK_vshuffoe";
    7100             :   case MCK_vshuffoeb: return "MCK_vshuffoeb";
    7101             :   case MCK_vshuffoeh: return "MCK_vshuffoeh";
    7102             :   case MCK_vshuffoh: return "MCK_vshuffoh";
    7103             :   case MCK_vsplat: return "MCK_vsplat";
    7104             :   case MCK_vsplatb: return "MCK_vsplatb";
    7105             :   case MCK_vsplath: return "MCK_vsplath";
    7106             :   case MCK_vspliceb: return "MCK_vspliceb";
    7107             :   case MCK_vsub: return "MCK_vsub";
    7108             :   case MCK_vsubb: return "MCK_vsubb";
    7109             :   case MCK_vsubh: return "MCK_vsubh";
    7110             :   case MCK_vsubub: return "MCK_vsubub";
    7111             :   case MCK_vsubuh: return "MCK_vsubuh";
    7112             :   case MCK_vsubuw: return "MCK_vsubuw";
    7113             :   case MCK_vsubw: return "MCK_vsubw";
    7114             :   case MCK_vswap: return "MCK_vswap";
    7115             :   case MCK_vsxt: return "MCK_vsxt";
    7116             :   case MCK_vsxtb: return "MCK_vsxtb";
    7117             :   case MCK_vsxtbh: return "MCK_vsxtbh";
    7118             :   case MCK_vsxth: return "MCK_vsxth";
    7119             :   case MCK_vsxthw: return "MCK_vsxthw";
    7120             :   case MCK_vtmpy: return "MCK_vtmpy";
    7121             :   case MCK_vtmpyb: return "MCK_vtmpyb";
    7122             :   case MCK_vtmpybus: return "MCK_vtmpybus";
    7123             :   case MCK_vtmpyhb: return "MCK_vtmpyhb";
    7124             :   case MCK_vtrans2x2: return "MCK_vtrans2x2";
    7125             :   case MCK_vtrunehb: return "MCK_vtrunehb";
    7126             :   case MCK_vtrunewh: return "MCK_vtrunewh";
    7127             :   case MCK_vtrunohb: return "MCK_vtrunohb";
    7128             :   case MCK_vtrunowh: return "MCK_vtrunowh";
    7129             :   case MCK_vunpack: return "MCK_vunpack";
    7130             :   case MCK_vunpackb: return "MCK_vunpackb";
    7131             :   case MCK_vunpackh: return "MCK_vunpackh";
    7132             :   case MCK_vunpacko: return "MCK_vunpacko";
    7133             :   case MCK_vunpackob: return "MCK_vunpackob";
    7134             :   case MCK_vunpackoh: return "MCK_vunpackoh";
    7135             :   case MCK_vunpackub: return "MCK_vunpackub";
    7136             :   case MCK_vunpackuh: return "MCK_vunpackuh";
    7137             :   case MCK_vwhist128: return "MCK_vwhist128";
    7138             :   case MCK_vwhist256: return "MCK_vwhist256";
    7139             :   case MCK_vxaddsubh: return "MCK_vxaddsubh";
    7140             :   case MCK_vxaddsubw: return "MCK_vxaddsubw";
    7141             :   case MCK_vxor: return "MCK_vxor";
    7142             :   case MCK_vxsubaddh: return "MCK_vxsubaddh";
    7143             :   case MCK_vxsubaddw: return "MCK_vxsubaddw";
    7144             :   case MCK_vzxt: return "MCK_vzxt";
    7145             :   case MCK_vzxtb: return "MCK_vzxtb";
    7146             :   case MCK_vzxtbh: return "MCK_vzxtbh";
    7147             :   case MCK_vzxth: return "MCK_vzxth";
    7148             :   case MCK_vzxthw: return "MCK_vzxthw";
    7149             :   case MCK_w: return "MCK_w";
    7150             :   case MCK_xor: return "MCK_xor";
    7151             :   case MCK_zxtb: return "MCK_zxtb";
    7152             :   case MCK_zxth: return "MCK_zxth";
    7153             :   case MCK__124_: return "MCK__124_";
    7154             :   case MCK__126_: return "MCK__126_";
    7155             :   case MCK_Reg11: return "MCK_Reg11";
    7156             :   case MCK_Reg19: return "MCK_Reg19";
    7157             :   case MCK_GP: return "MCK_GP";
    7158             :   case MCK_P0: return "MCK_P0";
    7159             :   case MCK_P1: return "MCK_P1";
    7160             :   case MCK_P3: return "MCK_P3";
    7161             :   case MCK_PC: return "MCK_PC";
    7162             :   case MCK_UsrBits: return "MCK_UsrBits";
    7163             :   case MCK_V65Regs: return "MCK_V65Regs";
    7164             :   case MCK_ModRegs: return "MCK_ModRegs";
    7165             :   case MCK_Reg20: return "MCK_Reg20";
    7166             :   case MCK_Reg3: return "MCK_Reg3";
    7167             :   case MCK_HvxQR: return "MCK_HvxQR";
    7168             :   case MCK_PredRegs: return "MCK_PredRegs";
    7169             :   case MCK_Reg16: return "MCK_Reg16";
    7170             :   case MCK_GeneralDoubleLow8Regs: return "MCK_GeneralDoubleLow8Regs";
    7171             :   case MCK_IntRegsLow8: return "MCK_IntRegsLow8";
    7172             :   case MCK_V62Regs: return "MCK_V62Regs";
    7173             :   case MCK_CtrRegs64: return "MCK_CtrRegs64";
    7174             :   case MCK_DoubleRegs: return "MCK_DoubleRegs";
    7175             :   case MCK_GeneralSubRegs: return "MCK_GeneralSubRegs";
    7176             :   case MCK_GuestRegs64: return "MCK_GuestRegs64";
    7177             :   case MCK_HvxWR: return "MCK_HvxWR";
    7178             :   case MCK_CtrRegs: return "MCK_CtrRegs";
    7179             :   case MCK_GuestRegs: return "MCK_GuestRegs";
    7180             :   case MCK_IntRegs: return "MCK_IntRegs";
    7181             :   case MCK_HvxVR: return "MCK_HvxVR";
    7182             :   case MCK_Imm: return "MCK_Imm";
    7183             :   case MCK_a30_2Imm: return "MCK_a30_2Imm";
    7184             :   case MCK_b13_2Imm: return "MCK_b13_2Imm";
    7185             :   case MCK_b15_2Imm: return "MCK_b15_2Imm";
    7186             :   case MCK_b30_2Imm: return "MCK_b30_2Imm";
    7187             :   case MCK_f32Imm: return "MCK_f32Imm";
    7188             :   case MCK_f64Imm: return "MCK_f64Imm";
    7189             :   case MCK_m32_0Imm: return "MCK_m32_0Imm";
    7190             :   case MCK_n1Const: return "MCK_n1Const";
    7191             :   case MCK_s10_0Imm: return "MCK_s10_0Imm";
    7192             :   case MCK_s10_6Imm: return "MCK_s10_6Imm";
    7193             :   case MCK_s27_2Imm: return "MCK_s27_2Imm";
    7194             :   case MCK_s29_3Imm: return "MCK_s29_3Imm";
    7195             :   case MCK_s30_2Imm: return "MCK_s30_2Imm";
    7196             :   case MCK_s31_1Imm: return "MCK_s31_1Imm";
    7197             :   case MCK_s32_0Imm: return "MCK_s32_0Imm";
    7198             :   case MCK_s3_0Imm: return "MCK_s3_0Imm";
    7199             :   case MCK_s4_0Imm: return "MCK_s4_0Imm";
    7200             :   case MCK_s4_1Imm: return "MCK_s4_1Imm";
    7201             :   case MCK_s4_2Imm: return "MCK_s4_2Imm";
    7202             :   case MCK_s4_3Imm: return "MCK_s4_3Imm";
    7203             :   case MCK_s6_0Imm: return "MCK_s6_0Imm";
    7204             :   case MCK_s6_3Imm: return "MCK_s6_3Imm";
    7205             :   case MCK_s8_0Imm: return "MCK_s8_0Imm";
    7206             :   case MCK_s9_0Imm: return "MCK_s9_0Imm";
    7207             :   case MCK_u10_0Imm: return "MCK_u10_0Imm";
    7208             :   case MCK_u11_3Imm: return "MCK_u11_3Imm";
    7209             :   case MCK_u16_0Imm: return "MCK_u16_0Imm";
    7210             :   case MCK_u1_0Imm: return "MCK_u1_0Imm";
    7211             :   case MCK_u26_6Imm: return "MCK_u26_6Imm";
    7212             :   case MCK_u29_3Imm: return "MCK_u29_3Imm";
    7213             :   case MCK_u2_0Imm: return "MCK_u2_0Imm";
    7214             :   case MCK_u30_2Imm: return "MCK_u30_2Imm";
    7215             :   case MCK_u31_1Imm: return "MCK_u31_1Imm";
    7216             :   case MCK_u32_0Imm: return "MCK_u32_0Imm";
    7217             :   case MCK_u3_0Imm: return "MCK_u3_0Imm";
    7218             :   case MCK_u3_1Imm: return "MCK_u3_1Imm";
    7219             :   case MCK_u4_0Imm: return "MCK_u4_0Imm";
    7220             :   case MCK_u4_2Imm: return "MCK_u4_2Imm";
    7221             :   case MCK_u5_0Imm: return "MCK_u5_0Imm";
    7222             :   case MCK_u5_2Imm: return "MCK_u5_2Imm";
    7223             :   case MCK_u5_3Imm: return "MCK_u5_3Imm";
    7224             :   case MCK_u64_0Imm: return "MCK_u64_0Imm";
    7225             :   case MCK_u6_0Imm: return "MCK_u6_0Imm";
    7226             :   case MCK_u6_1Imm: return "MCK_u6_1Imm";
    7227             :   case MCK_u6_2Imm: return "MCK_u6_2Imm";
    7228             :   case MCK_u7_0Imm: return "MCK_u7_0Imm";
    7229             :   case MCK_u8_0Imm: return "MCK_u8_0Imm";
    7230             :   case NumMatchClassKinds: return "NumMatchClassKinds";
    7231             :   }
    7232             :   llvm_unreachable("unhandled MatchClassKind!");
    7233             : }
    7234             : 
    7235             : #endif // NDEBUG
    7236           0 : uint64_t HexagonAsmParser::
    7237             : ComputeAvailableFeatures(const FeatureBitset& FB) const {
    7238             :   uint64_t Features = 0;
    7239           0 :   if ((FB[Hexagon::ArchV65]))
    7240             :     Features |= Feature_HasV65;
    7241           0 :   if ((FB[Hexagon::ArchV62]))
    7242           0 :     Features |= Feature_HasV62;
    7243           0 :   if ((FB[Hexagon::ArchV60]))
    7244           0 :     Features |= Feature_HasV60;
    7245           0 :   if ((FB[Hexagon::ArchV55]))
    7246           0 :     Features |= Feature_HasV55;
    7247           0 :   if ((FB[Hexagon::ArchV4]))
    7248           0 :     Features |= Feature_HasV4;
    7249           0 :   if ((FB[Hexagon::ArchV5]))
    7250           0 :     Features |= Feature_HasV5;
    7251           0 :   if ((FB[Hexagon::ExtensionHVX64B]))
    7252           0 :     Features |= Feature_UseHVX64B;
    7253           0 :   if ((FB[Hexagon::ExtensionHVX128B]))
    7254           0 :     Features |= Feature_UseHVX128B;
    7255           0 :   if ((FB[Hexagon::ExtensionHVXV60]))
    7256           0 :     Features |= Feature_UseHVX;
    7257           0 :   if ((FB[Hexagon::ExtensionHVXV60]))
    7258           0 :     Features |= Feature_UseHVXV60;
    7259           0 :   if ((FB[Hexagon::ExtensionHVXV62]))
    7260           0 :     Features |= Feature_UseHVXV62;
    7261           0 :   if ((FB[Hexagon::ExtensionHVXV65]))
    7262           0 :     Features |= Feature_UseHVXV65;
    7263           0 :   return Features;
    7264             : }
    7265             : 
    7266        3062 : static bool checkAsmTiedOperandConstraints(const HexagonAsmParser&AsmParser,
    7267             :                                unsigned Kind,
    7268             :                                const OperandVector &Operands,
    7269             :                                uint64_t &ErrorInfo) {
    7270             :   assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!");
    7271        3062 :   const uint8_t *Converter = ConversionTable[Kind];
    7272       12417 :   for (const uint8_t *p = Converter; *p; p+= 2) {
    7273        9356 :     switch (*p) {
    7274         606 :     case CVT_Tied: {
    7275         606 :       unsigned OpIdx = *(p+1);
    7276             :       assert(OpIdx < (size_t)(std::end(TiedAsmOperandTable) -
    7277             :                               std::begin(TiedAsmOperandTable)) &&
    7278             :              "Tied operand not found");
    7279         606 :       unsigned OpndNum1 = TiedAsmOperandTable[OpIdx][1];
    7280         606 :       unsigned OpndNum2 = TiedAsmOperandTable[OpIdx][2];
    7281         606 :       if (OpndNum1 != OpndNum2) {
    7282          14 :         auto &SrcOp1 = Operands[OpndNum1];
    7283          14 :         auto &SrcOp2 = Operands[OpndNum2];
    7284          14 :         if (SrcOp1->isReg() && SrcOp2->isReg()) {
    7285          28 :           if (!AsmParser.regsEqual(*SrcOp1, *SrcOp2)) {
    7286           1 :             ErrorInfo = OpndNum2;
    7287           1 :             return false;
    7288             :           }
    7289             :         }
    7290             :       }
    7291             :       break;
    7292             :     }
    7293             :     default:
    7294             :       break;
    7295             :     }
    7296             :   }
    7297             :   return true;
    7298             : }
    7299             : 
    7300             : static const char *const MnemonicTable =
    7301             :     "\000\006DUPLEX\nallocframe\007barrier\005brkpt\004call\005callr\010dccl"
    7302             :     "eana\013dccleaninva\007dcfetch\006dcinva\007dczeroa\016dealloc_return\014"
    7303             :     "deallocframe\010endloop0\tendloop01\010endloop1\006hintjr\006icinva\002"
    7304             :     "if\006immext\005isync\004jump\005jumpr\007l2fetch\005loop0\005loop1\004"
    7305             :     "memb\004memd\013memd_locked\004memh\004memw\013memw_locked\003nop\002p0"
    7306             :     "\002p1\002p3\005pause\006syncht\005trace\005trap0\005trap1\005vdeal\005"
    7307             :     "vhist\004vmem\005vmemu\010vscatter\006vshuff\004vtmp\tvtrans2x2\tvwhist"
    7308             :     "128\tvwhist256";
    7309             : 
    7310             : namespace {
    7311             :   struct MatchEntry {
    7312             :     uint16_t Mnemonic;
    7313             :     uint16_t Opcode;
    7314             :     uint16_t ConvertFn;
    7315             :     uint16_t RequiredFeatures;
    7316             :     uint16_t Classes[24];
    7317           0 :     StringRef getMnemonic() const {
    7318           0 :       return StringRef(MnemonicTable + Mnemonic + 1,
    7319           0 :                        MnemonicTable[Mnemonic]);
    7320             :     }
    7321             :   };
    7322             : 
    7323             :   // Predicate for searching for an opcode.
    7324             :   struct LessOpcode {
    7325           0 :     bool operator()(const MatchEntry &LHS, StringRef RHS) {
    7326           0 :       return LHS.getMnemonic() < RHS;
    7327             :     }
    7328           0 :     bool operator()(StringRef LHS, const MatchEntry &RHS) {
    7329           0 :       return LHS < RHS.getMnemonic();
    7330             :     }
    7331             :     bool operator()(const MatchEntry &LHS, const MatchEntry &RHS) {
    7332             :       return LHS.getMnemonic() < RHS.getMnemonic();
    7333             :     }
    7334             :   };
    7335             : } // end anonymous namespace.
    7336             : 
    7337             : static const MatchEntry MatchTable0[] = {
    7338             :   { 0 /*  */, Hexagon::C2_or, Convert__Reg1_0__Reg1_2__Reg1_2, 0, { MCK_PredRegs, MCK__61_, MCK_PredRegs }, },
    7339             :   { 0 /*  */, Hexagon::C2_tfrrp, Convert__Reg1_0__Reg1_2, 0, { MCK_PredRegs, MCK__61_, MCK_IntRegs }, },
    7340             :   { 0 /*  */, Hexagon::A4_tfrpcp, Convert__Reg1_0__Reg1_2, 0, { MCK_CtrRegs64, MCK__61_, MCK_DoubleRegs }, },
    7341             :   { 0 /*  */, Hexagon::A4_tfrcpp, Convert__Reg1_0__Reg1_2, 0, { MCK_DoubleRegs, MCK__61_, MCK_CtrRegs64 }, },
    7342             :   { 0 /*  */, Hexagon::A2_tfrp, Convert__Reg1_0__Reg1_2, 0, { MCK_DoubleRegs, MCK__61_, MCK_DoubleRegs }, },
    7343             :   { 0 /*  */, Hexagon::G4_tfrgcpp, Convert__Reg1_0__Reg1_2, 0, { MCK_DoubleRegs, MCK__61_, MCK_GuestRegs64 }, },
    7344             :   { 0 /*  */, Hexagon::G4_tfrgpcp, Convert__Reg1_0__Reg1_2, 0, { MCK_GuestRegs64, MCK__61_, MCK_DoubleRegs }, },
    7345             :   { 0 /*  */, Hexagon::V6_vassignp, Convert__Reg1_0__Reg1_2, Feature_UseHVXV60, { MCK_HvxWR, MCK__61_, MCK_HvxWR }, },
    7346             :   { 0 /*  */, Hexagon::A2_tfrrcr, Convert__Reg1_0__Reg1_2, 0, { MCK_CtrRegs, MCK__61_, MCK_IntRegs }, },
    7347             :   { 0 /*  */, Hexagon::G4_tfrgrcr, Convert__Reg1_0__Reg1_2, 0, { MCK_GuestRegs, MCK__61_, MCK_IntRegs }, },
    7348             :   { 0 /*  */, Hexagon::C2_tfrpr, Convert__Reg1_0__Reg1_2, 0, { MCK_IntRegs, MCK__61_, MCK_PredRegs }, },
    7349             :   { 0 /*  */, Hexagon::A2_tfrcrr, Convert__Reg1_0__Reg1_2, 0, { MCK_IntRegs, MCK__61_, MCK_CtrRegs }, },
    7350             :   { 0 /*  */, Hexagon::G4_tfrgcrr, Convert__Reg1_0__Reg1_2, 0, { MCK_IntRegs, MCK__61_, MCK_GuestRegs }, },
    7351             :   { 0 /*  */, Hexagon::A2_tfr, Convert__Reg1_0__Reg1_2, 0, { MCK_IntRegs, MCK__61_, MCK_IntRegs }, },
    7352             :   { 0 /*  */, Hexagon::V6_vassign, Convert__Reg1_0__Reg1_2, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_HvxVR }, },
    7353             :   { 0 /*  */, Hexagon::A2_tfrpi, Convert__Reg1_0__s8_0Imm1_3, 0, { MCK_DoubleRegs, MCK__61_, MCK__35_, MCK_s8_0Imm }, },
    7354             :   { 0 /*  */, Hexagon::TFRI64_V4, Convert__Reg1_0__u64_0Imm1_3, 0, { MCK_DoubleRegs, MCK__61_, MCK__35_, MCK_u64_0Imm }, },
    7355             :   { 0 /*  */, Hexagon::V6_vsubw_dv, Convert__Reg1_0__regW15__regW15, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK__35_, MCK_0 }, },
    7356             :   { 0 /*  */, Hexagon::A2_tfrsi, Convert__Reg1_0__s32_0Imm1_3, 0, { MCK_IntRegs, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    7357             :   { 0 /*  */, Hexagon::V6_vxor, Convert__Reg1_0__Reg1_0__Reg1_0, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK__35_, MCK_0 }, },
    7358             :   { 0 /*  */, Hexagon::V6_pred_not, Convert__Reg1_0__Reg1_4, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_not, MCK__40_, MCK_HvxQR, MCK__41_ }, },
    7359             :   { 0 /*  */, Hexagon::V6_pred_scalar2, Convert__Reg1_0__Reg1_4, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vsetq, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7360             :   { 0 /*  */, Hexagon::V6_pred_scalar2v2, Convert__Reg1_0__Reg1_4, Feature_UseHVXV62, { MCK_HvxQR, MCK__61_, MCK_vsetq2, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7361             :   { 0 /*  */, Hexagon::C2_all8, Convert__Reg1_0__Reg1_4, 0, { MCK_PredRegs, MCK__61_, MCK_all8, MCK__40_, MCK_PredRegs, MCK__41_ }, },
    7362             :   { 0 /*  */, Hexagon::C2_any8, Convert__Reg1_0__Reg1_4, 0, { MCK_PredRegs, MCK__61_, MCK_any8, MCK__40_, MCK_PredRegs, MCK__41_ }, },
    7363             :   { 0 /*  */, Hexagon::C2_not, Convert__Reg1_0__Reg1_4, 0, { MCK_PredRegs, MCK__61_, MCK_not, MCK__40_, MCK_PredRegs, MCK__41_ }, },
    7364             :   { 0 /*  */, Hexagon::A2_absp, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_abs, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7365             :   { 0 /*  */, Hexagon::S2_brevp, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_brev, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7366             :   { 0 /*  */, Hexagon::F2_conv_d2df, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_d2df, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7367             :   { 0 /*  */, Hexagon::F2_conv_df2d, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_df2d, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7368             :   { 0 /*  */, Hexagon::F2_conv_df2ud, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_df2ud, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7369             :   { 0 /*  */, Hexagon::F2_conv_sf2d, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_sf2d, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7370             :   { 0 /*  */, Hexagon::F2_conv_sf2df, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_sf2df, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7371             :   { 0 /*  */, Hexagon::F2_conv_sf2ud, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_sf2ud, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7372             :   { 0 /*  */, Hexagon::F2_conv_ud2df, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_ud2df, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7373             :   { 0 /*  */, Hexagon::F2_conv_uw2df, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_uw2df, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7374             :   { 0 /*  */, Hexagon::F2_conv_w2df, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_w2df, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7375             :   { 0 /*  */, Hexagon::S2_deinterleave, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_deinterleave, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7376             :   { 0 /*  */, Hexagon::S2_interleave, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_interleave, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7377             :   { 0 /*  */, Hexagon::C2_mask, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_mask, MCK__40_, MCK_PredRegs, MCK__41_ }, },
    7378             :   { 0 /*  */, Hexagon::L2_loadalignb_io, Convert__Reg1_0__Tie0_0_0__Reg1_4__imm_95_0, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7379             :   { 0 /*  */, Hexagon::L2_loadbsw4_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7380             :   { 0 /*  */, Hexagon::L2_loadrd_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7381             :   { 0 /*  */, Hexagon::L4_loadd_locked, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd_95_locked, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7382             :   { 0 /*  */, Hexagon::L2_loadalignh_io, Convert__Reg1_0__Tie0_0_0__Reg1_4__imm_95_0, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7383             :   { 0 /*  */, Hexagon::L2_loadbzw4_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7384             :   { 0 /*  */, Hexagon::A2_negp, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_neg, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7385             :   { 0 /*  */, Hexagon::A2_notp, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_not, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7386             :   { 0 /*  */, Hexagon::A2_sxtw, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_sxtw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7387             :   { 0 /*  */, Hexagon::A2_vabsh, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vabsh, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7388             :   { 0 /*  */, Hexagon::A2_vabsw, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vabsw, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7389             :   { 0 /*  */, Hexagon::S2_vsathb_nopack, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsathb, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7390             :   { 0 /*  */, Hexagon::S2_vsathub_nopack, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsathub, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7391             :   { 0 /*  */, Hexagon::S2_vsatwh_nopack, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsatwh, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7392             :   { 0 /*  */, Hexagon::S2_vsatwuh_nopack, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsatwuh, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7393             :   { 0 /*  */, Hexagon::S6_vsplatrbp, Convert__Reg1_0__Reg1_4, Feature_HasV62, { MCK_DoubleRegs, MCK__61_, MCK_vsplatb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7394             :   { 0 /*  */, Hexagon::S2_vsplatrh, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsplath, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7395             :   { 0 /*  */, Hexagon::S2_vsxtbh, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsxtbh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7396             :   { 0 /*  */, Hexagon::S2_vsxthw, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsxthw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7397             :   { 0 /*  */, Hexagon::S2_vzxtbh, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vzxtbh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7398             :   { 0 /*  */, Hexagon::S2_vzxthw, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vzxthw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7399             :   { 0 /*  */, Hexagon::J4_jumpsetr, Convert__Reg1_0__Reg1_2__b30_2Imm1_5, 0, { MCK_GeneralSubRegs, MCK__61_, MCK_GeneralSubRegs, MCK__59_, MCK_jump, MCK_b30_2Imm }, },
    7400             :   { 0 /*  */, Hexagon::V6_vsb, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsxtb, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7401             :   { 0 /*  */, Hexagon::V6_vsh, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsxth, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7402             :   { 0 /*  */, Hexagon::V6_vunpackb, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vunpackb, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7403             :   { 0 /*  */, Hexagon::V6_vunpackh, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vunpackh, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7404             :   { 0 /*  */, Hexagon::V6_vunpackub, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vunpackub, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7405             :   { 0 /*  */, Hexagon::V6_vunpackuh, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vunpackuh, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7406             :   { 0 /*  */, Hexagon::V6_vzb, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vzxtb, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7407             :   { 0 /*  */, Hexagon::V6_vzh, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vzxth, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7408             :   { 0 /*  */, Hexagon::A2_tfrih, Convert__Reg1_0__Tie0_0_0__u16_0Imm1_5, 0, { MCK_IntRegs, MCK__DOT_, MCK_h, MCK__61_, MCK__35_, MCK_u16_0Imm }, },
    7409             :   { 0 /*  */, Hexagon::HI, Convert__Reg1_0__u16_0Imm1_5, 0, { MCK_IntRegs, MCK__DOT_, MCK_h, MCK__61_, MCK__35_, MCK_u16_0Imm }, },
    7410             :   { 0 /*  */, Hexagon::A2_tfril, Convert__Reg1_0__Tie0_0_0__u16_0Imm1_5, 0, { MCK_IntRegs, MCK__DOT_, MCK_l, MCK__61_, MCK__35_, MCK_u16_0Imm }, },
    7411             :   { 0 /*  */, Hexagon::LO, Convert__Reg1_0__u16_0Imm1_5, 0, { MCK_IntRegs, MCK__DOT_, MCK_l, MCK__61_, MCK__35_, MCK_u16_0Imm }, },
    7412             :   { 0 /*  */, Hexagon::A2_abs, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_abs, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7413             :   { 0 /*  */, Hexagon::A2_aslh, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_aslh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7414             :   { 0 /*  */, Hexagon::A2_asrh, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_asrh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7415             :   { 0 /*  */, Hexagon::S2_brev, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_brev, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7416             :   { 0 /*  */, Hexagon::S2_cl0p, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_cl0, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7417             :   { 0 /*  */, Hexagon::S2_cl0, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_cl0, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7418             :   { 0 /*  */, Hexagon::S2_cl1p, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_cl1, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7419             :   { 0 /*  */, Hexagon::S2_cl1, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_cl1, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7420             :   { 0 /*  */, Hexagon::S2_clbp, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_clb, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7421             :   { 0 /*  */, Hexagon::S2_clb, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_clb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7422             :   { 0 /*  */, Hexagon::F2_conv_d2sf, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_d2sf, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7423             :   { 0 /*  */, Hexagon::F2_conv_df2sf, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_df2sf, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7424             :   { 0 /*  */, Hexagon::F2_conv_df2uw, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_df2uw, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7425             :   { 0 /*  */, Hexagon::F2_conv_df2w, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_df2w, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7426             :   { 0 /*  */, Hexagon::F2_conv_sf2uw, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_sf2uw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7427             :   { 0 /*  */, Hexagon::F2_conv_sf2w, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_sf2w, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7428             :   { 0 /*  */, Hexagon::F2_conv_ud2sf, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_ud2sf, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7429             :   { 0 /*  */, Hexagon::F2_conv_uw2sf, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_uw2sf, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7430             :   { 0 /*  */, Hexagon::F2_conv_w2sf, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_w2sf, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7431             :   { 0 /*  */, Hexagon::S2_ct0p, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_ct0, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7432             :   { 0 /*  */, Hexagon::S2_ct0, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_ct0, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7433             :   { 0 /*  */, Hexagon::S2_ct1p, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_ct1, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7434             :   { 0 /*  */, Hexagon::S2_ct1, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_ct1, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7435             :   { 0 /*  */, Hexagon::L2_loadrb_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7436             :   { 0 /*  */, Hexagon::L2_loadbsw2_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7437             :   { 0 /*  */, Hexagon::L2_loadrh_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7438             :   { 0 /*  */, Hexagon::L2_loadrub_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7439             :   { 0 /*  */, Hexagon::L2_loadbzw2_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7440             :   { 0 /*  */, Hexagon::L2_loadruh_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7441             :   { 0 /*  */, Hexagon::L2_loadri_io, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7442             :   { 0 /*  */, Hexagon::L2_loadw_locked, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_memw_95_locked, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7443             :   { 0 /*  */, Hexagon::A2_subri, Convert__Reg1_0__imm_95_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_neg, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7444             :   { 0 /*  */, Hexagon::S4_clbpnorm, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_normamt, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7445             :   { 0 /*  */, Hexagon::S2_clbnorm, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_normamt, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7446             :   { 0 /*  */, Hexagon::A2_subri, Convert__Reg1_0__imm_95__MINUS_1__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_not, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7447             :   { 0 /*  */, Hexagon::S5_popcountp, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_popcount, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7448             :   { 0 /*  */, Hexagon::A2_sat, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_sat, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7449             :   { 0 /*  */, Hexagon::A2_satb, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_satb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7450             :   { 0 /*  */, Hexagon::A2_sath, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_sath, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7451             :   { 0 /*  */, Hexagon::A2_satub, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_satub, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7452             :   { 0 /*  */, Hexagon::A2_satuh, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_satuh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7453             :   { 0 /*  */, Hexagon::F2_sffixupr, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sffixupr, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7454             :   { 0 /*  */, Hexagon::A2_swiz, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_swiz, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7455             :   { 0 /*  */, Hexagon::A2_sxtb, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_sxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7456             :   { 0 /*  */, Hexagon::A2_sxth, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_sxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7457             :   { 0 /*  */, Hexagon::S2_vrndpackwh, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vrndwh, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7458             :   { 0 /*  */, Hexagon::S2_vsathb, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vsathb, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7459             :   { 0 /*  */, Hexagon::S2_svsathb, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vsathb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7460             :   { 0 /*  */, Hexagon::S2_vsathub, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vsathub, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7461             :   { 0 /*  */, Hexagon::S2_svsathub, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vsathub, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7462             :   { 0 /*  */, Hexagon::S2_vsatwh, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vsatwh, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7463             :   { 0 /*  */, Hexagon::S2_vsatwuh, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vsatwuh, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7464             :   { 0 /*  */, Hexagon::S2_vsplatrb, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vsplatb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7465             :   { 0 /*  */, Hexagon::S2_vtrunehb, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vtrunehb, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7466             :   { 0 /*  */, Hexagon::S2_vtrunohb, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vtrunohb, MCK__40_, MCK_DoubleRegs, MCK__41_ }, },
    7467             :   { 0 /*  */, Hexagon::A2_andir, Convert__Reg1_0__Reg1_4__imm_95_255, 0, { MCK_IntRegs, MCK__61_, MCK_zxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7468             :   { 0 /*  */, Hexagon::A2_zxth, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_zxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7469             :   { 0 /*  */, Hexagon::V6_hi, Convert__Reg1_0__Reg1_4, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_hi, MCK__40_, MCK_HvxWR, MCK__41_ }, },
    7470             :   { 0 /*  */, Hexagon::V6_lo, Convert__Reg1_0__Reg1_4, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_lo, MCK__40_, MCK_HvxWR, MCK__41_ }, },
    7471             :   { 0 /*  */, Hexagon::V6_vabsb, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsb, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7472             :   { 0 /*  */, Hexagon::V6_vabsh, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsh, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7473             :   { 0 /*  */, Hexagon::V6_vabsw, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsw, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7474             :   { 0 /*  */, Hexagon::V6_vcl0h, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vcl0h, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7475             :   { 0 /*  */, Hexagon::V6_vcl0w, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vcl0w, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7476             :   { 0 /*  */, Hexagon::V6_vdealb, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdealb, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7477             :   { 0 /*  */, Hexagon::V6_vdealh, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdealh, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7478             :   { 0 /*  */, Hexagon::V6_vL32b_ai, Convert__Reg1_0__Reg1_4__imm_95_0, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7479             :   { 0 /*  */, Hexagon::V6_vL32Ub_ai, Convert__Reg1_0__Reg1_4__imm_95_0, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7480             :   { 0 /*  */, Hexagon::V6_vnormamth, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vnormamth, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7481             :   { 0 /*  */, Hexagon::V6_vnormamtw, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vnormamtw, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7482             :   { 0 /*  */, Hexagon::V6_vnot, Convert__Reg1_0__Reg1_4, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vnot, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7483             :   { 0 /*  */, Hexagon::V6_vpopcounth, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpopcounth, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7484             :   { 0 /*  */, Hexagon::V6_vshuffb, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vshuffb, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7485             :   { 0 /*  */, Hexagon::V6_vshuffh, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vshuffh, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7486             :   { 0 /*  */, Hexagon::V6_lvsplatw, Convert__Reg1_0__Reg1_4, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vsplat, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7487             :   { 0 /*  */, Hexagon::V6_pred_and, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_and, MCK__40_, MCK_HvxQR, MCK_HvxQR, MCK__41_ }, },
    7488             :   { 0 /*  */, Hexagon::V6_pred_or, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_or, MCK__40_, MCK_HvxQR, MCK_HvxQR, MCK__41_ }, },
    7489             :   { 0 /*  */, Hexagon::V6_vandvrt, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7490             :   { 0 /*  */, Hexagon::V6_pred_xor, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_xor, MCK__40_, MCK_HvxQR, MCK_HvxQR, MCK__41_ }, },
    7491             :   { 0 /*  */, Hexagon::C2_and, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_and, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_ }, },
    7492             :   { 0 /*  */, Hexagon::C2_bitsclr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_bitsclr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7493             :   { 0 /*  */, Hexagon::C2_bitsset, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_bitsset, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7494             :   { 0 /*  */, Hexagon::A4_boundscheck, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_boundscheck, MCK__40_, MCK_IntRegs, MCK_DoubleRegs, MCK__41_ }, },
    7495             :   { 0 /*  */, Hexagon::C4_fastcorner9, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_fastcorner9, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_ }, },
    7496             :   { 0 /*  */, Hexagon::C2_or, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_or, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_ }, },
    7497             :   { 0 /*  */, Hexagon::A4_tlbmatch, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_tlbmatch, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7498             :   { 0 /*  */, Hexagon::S2_tstbit_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_tstbit, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7499             :   { 0 /*  */, Hexagon::C2_xor, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_xor, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_ }, },
    7500             :   { 0 /*  */, Hexagon::CONST64, Convert__Reg1_0__Imm1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_CONST64, MCK__40_, MCK__35_, MCK_Imm, MCK__41_ }, },
    7501             :   { 0 /*  */, Hexagon::A2_addp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_add, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7502             :   { 0 /*  */, Hexagon::A2_addsp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_DoubleRegs, MCK__41_ }, },
    7503             :   { 0 /*  */, Hexagon::A2_andp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_and, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7504             :   { 0 /*  */, Hexagon::S2_asl_r_p, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7505             :   { 0 /*  */, Hexagon::S2_asr_r_p, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7506             :   { 0 /*  */, Hexagon::A4_bitsplit, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_bitsplit, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7507             :   { 0 /*  */, Hexagon::M2_cmpyi_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_cmpyi, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7508             :   { 0 /*  */, Hexagon::M2_cmpyr_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_cmpyr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7509             :   { 0 /*  */, Hexagon::A2_combinew, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7510             :   { 0 /*  */, Hexagon::S2_cabacdecbin, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_decbin, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7511             :   { 0 /*  */, Hexagon::S4_extractp_rp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_extract, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7512             :   { 0 /*  */, Hexagon::S2_extractup_rp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_extractu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7513             :   { 0 /*  */, Hexagon::S2_insertp_rp, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_insert, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7514             :   { 0 /*  */, Hexagon::S2_lfsp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_lfs, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7515             :   { 0 /*  */, Hexagon::S2_lsl_r_p, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_lsl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7516             :   { 0 /*  */, Hexagon::S2_lsr_r_p, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7517             :   { 0 /*  */, Hexagon::A2_maxp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_max, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7518             :   { 0 /*  */, Hexagon::A2_maxup, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_maxu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7519             :   { 0 /*  */, Hexagon::PS_loadrdabs, Convert__Reg1_0__u29_3Imm1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK__35_, MCK_u29_3Imm, MCK__41_ }, },
    7520             :   { 0 /*  */, Hexagon::A2_minp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_min, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7521             :   { 0 /*  */, Hexagon::A2_minup, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_minu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7522             :   { 0 /*  */, Hexagon::M2_dpmpyss_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7523             :   { 0 /*  */, Hexagon::M2_dpmpyuu_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7524             :   { 0 /*  */, Hexagon::A2_orp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_or, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7525             :   { 0 /*  */, Hexagon::S2_packhl, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_packhl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7526             :   { 0 /*  */, Hexagon::M4_pmpyw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_pmpyw, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7527             :   { 0 /*  */, Hexagon::S2_shuffeb, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_shuffeb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7528             :   { 0 /*  */, Hexagon::S2_shuffeh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_shuffeh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7529             :   { 0 /*  */, Hexagon::S2_shuffob, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_shuffob, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7530             :   { 0 /*  */, Hexagon::S2_shuffoh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_shuffoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7531             :   { 0 /*  */, Hexagon::A2_subp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_sub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7532             :   { 0 /*  */, Hexagon::M6_vabsdiffb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV62, { MCK_DoubleRegs, MCK__61_, MCK_vabsdiffb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7533             :   { 0 /*  */, Hexagon::M2_vabsdiffh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vabsdiffh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7534             :   { 0 /*  */, Hexagon::M6_vabsdiffub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV62, { MCK_DoubleRegs, MCK__61_, MCK_vabsdiffub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7535             :   { 0 /*  */, Hexagon::M2_vabsdiffw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vabsdiffw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7536             :   { 0 /*  */, Hexagon::A2_vaddub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaddb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7537             :   { 0 /*  */, Hexagon::A2_vaddh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaddh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7538             :   { 0 /*  */, Hexagon::A2_vaddub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaddub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7539             :   { 0 /*  */, Hexagon::A2_vaddw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaddw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7540             :   { 0 /*  */, Hexagon::S2_asl_r_vh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaslh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7541             :   { 0 /*  */, Hexagon::S2_asl_r_vw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaslw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7542             :   { 0 /*  */, Hexagon::S2_asr_r_vh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vasrh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7543             :   { 0 /*  */, Hexagon::S2_asr_r_vw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vasrw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7544             :   { 0 /*  */, Hexagon::A2_vavgh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavgh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7545             :   { 0 /*  */, Hexagon::A2_vavgub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavgub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7546             :   { 0 /*  */, Hexagon::A2_vavguh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavguh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7547             :   { 0 /*  */, Hexagon::A2_vavguw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavguw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7548             :   { 0 /*  */, Hexagon::A2_vavgw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavgw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7549             :   { 0 /*  */, Hexagon::S2_vcnegh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vcnegh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7550             :   { 0 /*  */, Hexagon::S2_vcrotate, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vcrotate, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7551             :   { 0 /*  */, Hexagon::S2_lsl_r_vh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vlslh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7552             :   { 0 /*  */, Hexagon::S2_lsl_r_vw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vlslw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7553             :   { 0 /*  */, Hexagon::S2_lsr_r_vh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vlsrh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7554             :   { 0 /*  */, Hexagon::S2_lsr_r_vw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vlsrw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7555             :   { 0 /*  */, Hexagon::A2_vmaxb, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmaxb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7556             :   { 0 /*  */, Hexagon::A2_vmaxh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmaxh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7557             :   { 0 /*  */, Hexagon::A2_vmaxub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmaxub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7558             :   { 0 /*  */, Hexagon::A2_vmaxuh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmaxuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7559             :   { 0 /*  */, Hexagon::A2_vmaxuw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmaxuw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7560             :   { 0 /*  */, Hexagon::A2_vmaxw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmaxw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7561             :   { 0 /*  */, Hexagon::A2_vminb, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vminb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7562             :   { 0 /*  */, Hexagon::A2_vminh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vminh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7563             :   { 0 /*  */, Hexagon::A2_vminub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vminub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7564             :   { 0 /*  */, Hexagon::A2_vminuh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vminuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7565             :   { 0 /*  */, Hexagon::A2_vminuw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vminuw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7566             :   { 0 /*  */, Hexagon::A2_vminw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vminw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7567             :   { 0 /*  */, Hexagon::M5_vmpybsu, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpybsu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7568             :   { 0 /*  */, Hexagon::M5_vmpybuu, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpybu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7569             :   { 0 /*  */, Hexagon::A2_vnavgh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vnavgh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7570             :   { 0 /*  */, Hexagon::A2_vnavgw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vnavgw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7571             :   { 0 /*  */, Hexagon::M4_vpmpyh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vpmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7572             :   { 0 /*  */, Hexagon::A2_vraddub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vraddub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7573             :   { 0 /*  */, Hexagon::M2_vrcmpyi_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrcmpyi, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7574             :   { 0 /*  */, Hexagon::M2_vrcmpyr_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrcmpyr, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7575             :   { 0 /*  */, Hexagon::A4_vrmaxh, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmaxh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7576             :   { 0 /*  */, Hexagon::A4_vrmaxuh, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmaxuh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7577             :   { 0 /*  */, Hexagon::A4_vrmaxuw, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmaxuw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7578             :   { 0 /*  */, Hexagon::A4_vrmaxw, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmaxw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7579             :   { 0 /*  */, Hexagon::A4_vrminh, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrminh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7580             :   { 0 /*  */, Hexagon::A4_vrminuh, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrminuh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7581             :   { 0 /*  */, Hexagon::A4_vrminuw, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrminuw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7582             :   { 0 /*  */, Hexagon::A4_vrminw, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrminw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7583             :   { 0 /*  */, Hexagon::M5_vrmpybsu, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmpybsu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7584             :   { 0 /*  */, Hexagon::M5_vrmpybuu, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmpybu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7585             :   { 0 /*  */, Hexagon::M2_vrmpy_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmpyh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7586             :   { 0 /*  */, Hexagon::M4_vrmpyeh_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7587             :   { 0 /*  */, Hexagon::M4_vrmpyoh_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7588             :   { 0 /*  */, Hexagon::A2_vrsadub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrsadub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7589             :   { 0 /*  */, Hexagon::A2_vsubub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsubb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7590             :   { 0 /*  */, Hexagon::A2_vsubh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsubh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7591             :   { 0 /*  */, Hexagon::A2_vsubub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsubub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7592             :   { 0 /*  */, Hexagon::A2_vsubw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsubw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7593             :   { 0 /*  */, Hexagon::S6_vtrunehb_ppp, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV62, { MCK_DoubleRegs, MCK__61_, MCK_vtrunehb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7594             :   { 0 /*  */, Hexagon::S2_vtrunewh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vtrunewh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7595             :   { 0 /*  */, Hexagon::S6_vtrunohb_ppp, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV62, { MCK_DoubleRegs, MCK__61_, MCK_vtrunohb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7596             :   { 0 /*  */, Hexagon::S2_vtrunowh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vtrunowh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7597             :   { 0 /*  */, Hexagon::A2_xorp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_xor, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7598             :   { 0 /*  */, Hexagon::J4_jumpseti, Convert__Reg1_0__u6_0Imm1_3__b30_2Imm1_6, 0, { MCK_GeneralSubRegs, MCK__61_, MCK__35_, MCK_u6_0Imm, MCK__59_, MCK_jump, MCK_b30_2Imm }, },
    7599             :   { 0 /*  */, Hexagon::V6_vaddb_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddb, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_ }, },
    7600             :   { 0 /*  */, Hexagon::V6_vaddh_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddh, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_ }, },
    7601             :   { 0 /*  */, Hexagon::V6_vaddhw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7602             :   { 0 /*  */, Hexagon::V6_vaddubh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7603             :   { 0 /*  */, Hexagon::V6_vadduhw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vadduh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7604             :   { 0 /*  */, Hexagon::V6_vaddw_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddw, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_ }, },
    7605             :   { 0 /*  */, Hexagon::V6_vcombine, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxWR, MCK__61_, MCK_vcombine, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7606             :   { 0 /*  */, Hexagon::V6_vdmpybus_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vdmpybus, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7607             :   { 0 /*  */, Hexagon::V6_vdmpyhb_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vdmpyhb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7608             :   { 0 /*  */, Hexagon::V6_vdsaduh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vdsaduh, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7609             :   { 0 /*  */, Hexagon::V6_vmpabusv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpabus, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_ }, },
    7610             :   { 0 /*  */, Hexagon::V6_vmpabus, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpabus, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7611             :   { 0 /*  */, Hexagon::V6_vmpabuuv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpabuu, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_ }, },
    7612             :   { 0 /*  */, Hexagon::V6_vmpabuu, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpabuu, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7613             :   { 0 /*  */, Hexagon::V6_vmpahb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpahb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7614             :   { 0 /*  */, Hexagon::V6_vmpauhb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpauhb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7615             :   { 0 /*  */, Hexagon::V6_vmpybv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpyb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7616             :   { 0 /*  */, Hexagon::V6_vmpybus, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpybus, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7617             :   { 0 /*  */, Hexagon::V6_vmpybusv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpybus, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7618             :   { 0 /*  */, Hexagon::V6_vmpyh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpyh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7619             :   { 0 /*  */, Hexagon::V6_vmpyhv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpyh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7620             :   { 0 /*  */, Hexagon::V6_vmpyhus, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpyhus, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7621             :   { 0 /*  */, Hexagon::V6_vmpyub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpyub, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7622             :   { 0 /*  */, Hexagon::V6_vmpyubv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpyub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7623             :   { 0 /*  */, Hexagon::V6_vmpyuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpyuh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7624             :   { 0 /*  */, Hexagon::V6_vmpyuhv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vmpyuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7625             :   { 0 /*  */, Hexagon::V6_vshufoeb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vshuffoeb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7626             :   { 0 /*  */, Hexagon::V6_vshufoeh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vshuffoeh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7627             :   { 0 /*  */, Hexagon::V6_vsubb_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubb, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_ }, },
    7628             :   { 0 /*  */, Hexagon::V6_vsubh_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubh, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_ }, },
    7629             :   { 0 /*  */, Hexagon::V6_vsubhw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7630             :   { 0 /*  */, Hexagon::V6_vsububh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7631             :   { 0 /*  */, Hexagon::V6_vsubuhw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7632             :   { 0 /*  */, Hexagon::V6_vsubw_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubw, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_ }, },
    7633             :   { 0 /*  */, Hexagon::V6_vtmpyb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vtmpyb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7634             :   { 0 /*  */, Hexagon::V6_vtmpybus, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vtmpybus, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7635             :   { 0 /*  */, Hexagon::V6_vtmpyhb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vtmpyhb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7636             :   { 0 /*  */, Hexagon::V6_vunpackob_alt, Convert__Reg1_0__Tie0_0_0__Reg1_5, Feature_UseHVXV60, { MCK_HvxWR, MCK__124_, MCK__61_, MCK_vunpackob, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7637             :   { 0 /*  */, Hexagon::V6_vunpackoh, Convert__Reg1_0__Tie0_0_0__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__124_, MCK__61_, MCK_vunpackoh, MCK__40_, MCK_HvxVR, MCK__41_ }, },
    7638             :   { 0 /*  */, Hexagon::CONST32, Convert__Reg1_0__Imm1_5, 0, { MCK_IntRegs, MCK__61_, MCK_CONST32, MCK__40_, MCK__35_, MCK_Imm, MCK__41_ }, },
    7639             :   { 0 /*  */, Hexagon::A2_add, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7640             :   { 0 /*  */, Hexagon::A2_and, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7641             :   { 0 /*  */, Hexagon::S2_asl_r_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7642             :   { 0 /*  */, Hexagon::S2_asr_r_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7643             :   { 0 /*  */, Hexagon::S2_clrbit_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_clrbit, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7644             :   { 0 /*  */, Hexagon::A4_cround_rr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_cround, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7645             :   { 0 /*  */, Hexagon::S4_extract_rp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_extract, MCK__40_, MCK_IntRegs, MCK_DoubleRegs, MCK__41_ }, },
    7646             :   { 0 /*  */, Hexagon::S2_extractu_rp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_extractu, MCK__40_, MCK_IntRegs, MCK_DoubleRegs, MCK__41_ }, },
    7647             :   { 0 /*  */, Hexagon::A2_iconst, Convert__Reg1_0__s27_2Imm1_5, 0, { MCK_IntRegs, MCK__61_, MCK_iconst, MCK__40_, MCK__35_, MCK_s27_2Imm, MCK__41_ }, },
    7648             :   { 0 /*  */, Hexagon::S2_insert_rp, Convert__Reg1_0__Tie0_0_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_insert, MCK__40_, MCK_IntRegs, MCK_DoubleRegs, MCK__41_ }, },
    7649             :   { 0 /*  */, Hexagon::S2_lsl_r_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_lsl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7650             :   { 0 /*  */, Hexagon::S2_lsr_r_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7651             :   { 0 /*  */, Hexagon::A2_max, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_max, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7652             :   { 0 /*  */, Hexagon::A2_maxu, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_maxu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7653             :   { 0 /*  */, Hexagon::PS_loadrbabs, Convert__Reg1_0__u32_0Imm1_5, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    7654             :   { 0 /*  */, Hexagon::PS_loadrhabs, Convert__Reg1_0__u31_1Imm1_5, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    7655             :   { 0 /*  */, Hexagon::PS_loadrubabs, Convert__Reg1_0__u32_0Imm1_5, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    7656             :   { 0 /*  */, Hexagon::PS_loadruhabs, Convert__Reg1_0__u31_1Imm1_5, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    7657             :   { 0 /*  */, Hexagon::PS_loadriabs, Convert__Reg1_0__u30_2Imm1_5, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK__35_, MCK_u30_2Imm, MCK__41_ }, },
    7658             :   { 0 /*  */, Hexagon::A2_min, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_min, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7659             :   { 0 /*  */, Hexagon::A2_minu, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_minu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7660             :   { 0 /*  */, Hexagon::A4_modwrapu, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_modwrap, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7661             :   { 0 /*  */, Hexagon::M2_mpy_up, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7662             :   { 0 /*  */, Hexagon::M2_mpyi, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7663             :   { 0 /*  */, Hexagon::M2_mpysu_up, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpysu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7664             :   { 0 /*  */, Hexagon::M2_mpyu_up, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7665             :   { 0 /*  */, Hexagon::M2_mpyi, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpyui, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7666             :   { 0 /*  */, Hexagon::A2_or, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7667             :   { 0 /*  */, Hexagon::S2_parityp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_parity, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7668             :   { 0 /*  */, Hexagon::S4_parity, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_parity, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7669             :   { 0 /*  */, Hexagon::A4_round_rr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_round, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7670             :   { 0 /*  */, Hexagon::S2_setbit_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_setbit, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7671             :   { 0 /*  */, Hexagon::F2_sfadd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sfadd, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7672             :   { 0 /*  */, Hexagon::F2_sffixupd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sffixupd, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7673             :   { 0 /*  */, Hexagon::F2_sffixupn, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sffixupn, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7674             :   { 0 /*  */, Hexagon::F2_sfmax, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sfmax, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7675             :   { 0 /*  */, Hexagon::F2_sfmin, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sfmin, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7676             :   { 0 /*  */, Hexagon::F2_sfmpy, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sfmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7677             :   { 0 /*  */, Hexagon::F2_sfsub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sfsub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7678             :   { 0 /*  */, Hexagon::A2_sub, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7679             :   { 0 /*  */, Hexagon::S2_togglebit_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_togglebit, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7680             :   { 0 /*  */, Hexagon::A2_svaddh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vaddh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7681             :   { 0 /*  */, Hexagon::S2_asr_r_svw_trun, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vasrw, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7682             :   { 0 /*  */, Hexagon::A2_svavgh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vavgh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7683             :   { 0 /*  */, Hexagon::V6_extractw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_IntRegs, MCK__61_, MCK_vextract, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7684             :   { 0 /*  */, Hexagon::C2_vitpack, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vitpack, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_ }, },
    7685             :   { 0 /*  */, Hexagon::A2_svnavgh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vnavgh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7686             :   { 0 /*  */, Hexagon::M2_vraddh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vraddh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7687             :   { 0 /*  */, Hexagon::M2_vradduh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vradduh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7688             :   { 0 /*  */, Hexagon::A2_svsubh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vsubh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7689             :   { 0 /*  */, Hexagon::A2_xor, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_xor, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7690             :   { 0 /*  */, Hexagon::F2_sfinvsqrta, Convert__Reg1_0__Reg1_1__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK_PredRegs, MCK__61_, MCK_sfinvsqrta, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7691             :   { 0 /*  */, Hexagon::V6_vabsdiffh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsdiffh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7692             :   { 0 /*  */, Hexagon::V6_vabsdiffub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsdiffub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7693             :   { 0 /*  */, Hexagon::V6_vabsdiffuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsdiffuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7694             :   { 0 /*  */, Hexagon::V6_vabsdiffw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsdiffw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7695             :   { 0 /*  */, Hexagon::V6_vaddb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaddb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7696             :   { 0 /*  */, Hexagon::V6_vaddh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaddh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7697             :   { 0 /*  */, Hexagon::V6_vaddw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaddw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7698             :   { 0 /*  */, Hexagon::V6_vandqrt, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK_IntRegs, MCK__41_ }, },
    7699             :   { 0 /*  */, Hexagon::V6_vandvqv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV62, { MCK_HvxVR, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK_HvxVR, MCK__41_ }, },
    7700             :   { 0 /*  */, Hexagon::V6_vand, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7701             :   { 0 /*  */, Hexagon::V6_vaslh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaslh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7702             :   { 0 /*  */, Hexagon::V6_vaslhv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaslh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7703             :   { 0 /*  */, Hexagon::V6_vaslw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaslw, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7704             :   { 0 /*  */, Hexagon::V6_vaslwv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaslw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7705             :   { 0 /*  */, Hexagon::V6_vasrh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vasrh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7706             :   { 0 /*  */, Hexagon::V6_vasrhv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vasrh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7707             :   { 0 /*  */, Hexagon::V6_vasrw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vasrw, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7708             :   { 0 /*  */, Hexagon::V6_vasrwv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vasrw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7709             :   { 0 /*  */, Hexagon::V6_vavgb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavgb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7710             :   { 0 /*  */, Hexagon::V6_vavgh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavgh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7711             :   { 0 /*  */, Hexagon::V6_vavgub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavgub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7712             :   { 0 /*  */, Hexagon::V6_vavguh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavguh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7713             :   { 0 /*  */, Hexagon::V6_vavguw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavguw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7714             :   { 0 /*  */, Hexagon::V6_vavgw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavgw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7715             :   { 0 /*  */, Hexagon::V6_vdealb4w, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdealb4w, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7716             :   { 0 /*  */, Hexagon::V6_vdelta, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vdelta, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7717             :   { 0 /*  */, Hexagon::V6_vdmpybus, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdmpybus, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7718             :   { 0 /*  */, Hexagon::V6_vdmpyhb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdmpyhb, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7719             :   { 0 /*  */, Hexagon::V6_vlsrh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vlsrh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7720             :   { 0 /*  */, Hexagon::V6_vlsrhv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vlsrh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7721             :   { 0 /*  */, Hexagon::V6_vlsrw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vlsrw, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7722             :   { 0 /*  */, Hexagon::V6_vlsrwv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vlsrw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7723             :   { 0 /*  */, Hexagon::V6_vmaxb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmaxb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7724             :   { 0 /*  */, Hexagon::V6_vmaxh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmaxh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7725             :   { 0 /*  */, Hexagon::V6_vmaxub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmaxub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7726             :   { 0 /*  */, Hexagon::V6_vmaxuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmaxuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7727             :   { 0 /*  */, Hexagon::V6_vmaxw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmaxw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7728             :   { 0 /*  */, Hexagon::V6_vminb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vminb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7729             :   { 0 /*  */, Hexagon::V6_vminh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vminh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7730             :   { 0 /*  */, Hexagon::V6_vminub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vminub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7731             :   { 0 /*  */, Hexagon::V6_vminuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vminuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7732             :   { 0 /*  */, Hexagon::V6_vminw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vminw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7733             :   { 0 /*  */, Hexagon::V6_vmpyewuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyewuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7734             :   { 0 /*  */, Hexagon::V6_vmpyiewuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyiewuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7735             :   { 0 /*  */, Hexagon::V6_vmpyih, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyih, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7736             :   { 0 /*  */, Hexagon::V6_vmpyihb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyihb, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7737             :   { 0 /*  */, Hexagon::V6_vmpyiowh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyiowh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7738             :   { 0 /*  */, Hexagon::V6_vmpyiwb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyiwb, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7739             :   { 0 /*  */, Hexagon::V6_vmpyiwh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyiwh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7740             :   { 0 /*  */, Hexagon::V6_vmpyiwub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyiwub, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7741             :   { 0 /*  */, Hexagon::V6_vnavgb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vnavgb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7742             :   { 0 /*  */, Hexagon::V6_vnavgh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vnavgh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7743             :   { 0 /*  */, Hexagon::V6_vnavgub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vnavgub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7744             :   { 0 /*  */, Hexagon::V6_vnavgw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vnavgw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7745             :   { 0 /*  */, Hexagon::V6_vor, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vor, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7746             :   { 0 /*  */, Hexagon::V6_vpackeb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpackeb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7747             :   { 0 /*  */, Hexagon::V6_vpackeh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpackeh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7748             :   { 0 /*  */, Hexagon::V6_vpackob, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpackob, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7749             :   { 0 /*  */, Hexagon::V6_vpackoh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpackoh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7750             :   { 0 /*  */, Hexagon::V6_vrdelta, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vrdelta, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7751             :   { 0 /*  */, Hexagon::V6_vrmpybv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vrmpyb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7752             :   { 0 /*  */, Hexagon::V6_vrmpybus, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vrmpybus, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7753             :   { 0 /*  */, Hexagon::V6_vrmpybusv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vrmpybus, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7754             :   { 0 /*  */, Hexagon::V6_vrmpyub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vrmpyub, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7755             :   { 0 /*  */, Hexagon::V6_vrmpyubv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vrmpyub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7756             :   { 0 /*  */, Hexagon::V6_vror, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vror, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7757             :   { 0 /*  */, Hexagon::V6_vsathub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsathub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7758             :   { 0 /*  */, Hexagon::V6_vsatuwuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsatuwuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7759             :   { 0 /*  */, Hexagon::V6_vsatwh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsatwh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7760             :   { 0 /*  */, Hexagon::V6_vshuffeb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vshuffeb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7761             :   { 0 /*  */, Hexagon::V6_vshufeh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vshuffeh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7762             :   { 0 /*  */, Hexagon::V6_vshuffob, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vshuffob, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7763             :   { 0 /*  */, Hexagon::V6_vshufoh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vshuffoh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7764             :   { 0 /*  */, Hexagon::V6_vsubb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7765             :   { 0 /*  */, Hexagon::V6_vsubh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7766             :   { 0 /*  */, Hexagon::V6_vsubw, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7767             :   { 0 /*  */, Hexagon::V6_vxor, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vxor, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7768             :   { 0 /*  */, Hexagon::V6_pred_and_n, Convert__Reg1_0__Reg1_4__Reg1_6, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_and, MCK__40_, MCK_HvxQR, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_ }, },
    7769             :   { 0 /*  */, Hexagon::V6_pred_or_n, Convert__Reg1_0__Reg1_4__Reg1_6, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_or, MCK__40_, MCK_HvxQR, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_ }, },
    7770             :   { 0 /*  */, Hexagon::V6_vandvrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7771             :   { 0 /*  */, Hexagon::C4_nbitsclr, Convert__Reg1_0__Reg1_5__Reg1_6, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_bitsclr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7772             :   { 0 /*  */, Hexagon::C4_nbitsset, Convert__Reg1_0__Reg1_5__Reg1_6, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_bitsset, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7773             :   { 0 /*  */, Hexagon::C4_fastcorner9_not, Convert__Reg1_0__Reg1_5__Reg1_6, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_fastcorner9, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_ }, },
    7774             :   { 0 /*  */, Hexagon::S4_ntstbit_r, Convert__Reg1_0__Reg1_5__Reg1_6, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_tstbit, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7775             :   { 0 /*  */, Hexagon::C2_andn, Convert__Reg1_0__Reg1_4__Reg1_6, 0, { MCK_PredRegs, MCK__61_, MCK_and, MCK__40_, MCK_PredRegs, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_ }, },
    7776             :   { 0 /*  */, Hexagon::C2_bitsclri, Convert__Reg1_0__Reg1_4__u6_0Imm1_6, 0, { MCK_PredRegs, MCK__61_, MCK_bitsclr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    7777             :   { 0 /*  */, Hexagon::F2_dfclass, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_dfclass, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7778             :   { 0 /*  */, Hexagon::C2_orn, Convert__Reg1_0__Reg1_4__Reg1_6, 0, { MCK_PredRegs, MCK__61_, MCK_or, MCK__40_, MCK_PredRegs, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_ }, },
    7779             :   { 0 /*  */, Hexagon::F2_sfclass, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_sfclass, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7780             :   { 0 /*  */, Hexagon::S2_tstbit_i, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_PredRegs, MCK__61_, MCK_tstbit, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7781             :   { 0 /*  */, Hexagon::S2_asl_r_p_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__38_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7782             :   { 0 /*  */, Hexagon::S2_asr_r_p_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__38_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7783             :   { 0 /*  */, Hexagon::S2_lsl_r_p_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__38_, MCK__61_, MCK_lsl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7784             :   { 0 /*  */, Hexagon::S2_lsr_r_p_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__38_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7785             :   { 0 /*  */, Hexagon::S2_asl_r_p_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7786             :   { 0 /*  */, Hexagon::S2_asr_r_p_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7787             :   { 0 /*  */, Hexagon::M2_cmaci_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_cmpyi, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7788             :   { 0 /*  */, Hexagon::M2_cmacr_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_cmpyr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7789             :   { 0 /*  */, Hexagon::S2_lsl_r_p_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_lsl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7790             :   { 0 /*  */, Hexagon::S2_lsr_r_p_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7791             :   { 0 /*  */, Hexagon::M2_dpmpyss_acc_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7792             :   { 0 /*  */, Hexagon::M2_dpmpyuu_acc_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7793             :   { 0 /*  */, Hexagon::M5_vmacbsu, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpybsu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7794             :   { 0 /*  */, Hexagon::M5_vmacbuu, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpybu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7795             :   { 0 /*  */, Hexagon::M2_vmac2es, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyeh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7796             :   { 0 /*  */, Hexagon::M2_vmac2, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7797             :   { 0 /*  */, Hexagon::A2_vraddub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vraddub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7798             :   { 0 /*  */, Hexagon::M2_vrcmaci_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcmpyi, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7799             :   { 0 /*  */, Hexagon::M2_vrcmacr_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcmpyr, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7800             :   { 0 /*  */, Hexagon::S2_vrcnegh, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcnegh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7801             :   { 0 /*  */, Hexagon::M5_vrmacbsu, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrmpybsu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7802             :   { 0 /*  */, Hexagon::M5_vrmacbuu, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrmpybu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7803             :   { 0 /*  */, Hexagon::M2_vrmac_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrmpyh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7804             :   { 0 /*  */, Hexagon::M4_vrmpyeh_acc_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7805             :   { 0 /*  */, Hexagon::M4_vrmpyoh_acc_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7806             :   { 0 /*  */, Hexagon::A2_vrsadub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrsadub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7807             :   { 0 /*  */, Hexagon::S2_asl_r_p_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7808             :   { 0 /*  */, Hexagon::S2_asr_r_p_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7809             :   { 0 /*  */, Hexagon::S2_lsl_r_p_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_lsl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7810             :   { 0 /*  */, Hexagon::S2_lsr_r_p_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7811             :   { 0 /*  */, Hexagon::M2_dpmpyss_nac_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7812             :   { 0 /*  */, Hexagon::M2_dpmpyuu_nac_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7813             :   { 0 /*  */, Hexagon::A4_andnp, Convert__Reg1_0__Reg1_4__Reg1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_and, MCK__40_, MCK_DoubleRegs, MCK__126_, MCK_DoubleRegs, MCK__41_ }, },
    7814             :   { 0 /*  */, Hexagon::S2_asl_i_p, Convert__Reg1_0__Reg1_4__u6_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    7815             :   { 0 /*  */, Hexagon::S2_asr_i_p, Convert__Reg1_0__Reg1_4__u6_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    7816             :   { 0 /*  */, Hexagon::S2_asr_i_p_rnd_goodsyntax, Convert__Reg1_0__Reg1_4__u6_0Imm1_6, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_asrrnd, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    7817             :   { 0 /*  */, Hexagon::A4_bitspliti, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_bitsplit, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7818             :   { 0 /*  */, Hexagon::A4_combineir, Convert__Reg1_0__s32_0Imm1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK__35_, MCK_s32_0Imm, MCK_IntRegs, MCK__41_ }, },
    7819             :   { 0 /*  */, Hexagon::A4_combineri, Convert__Reg1_0__Reg1_4__s32_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    7820             :   { 0 /*  */, Hexagon::F2_conv_df2d_chop, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_df2d, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_chop }, },
    7821             :   { 0 /*  */, Hexagon::F2_conv_df2ud_chop, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_df2ud, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_chop }, },
    7822             :   { 0 /*  */, Hexagon::F2_conv_sf2d_chop, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_sf2d, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_chop }, },
    7823             :   { 0 /*  */, Hexagon::F2_conv_sf2ud_chop, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_convert_95_sf2ud, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_chop }, },
    7824             :   { 0 /*  */, Hexagon::L4_return, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_dealloc_95_return, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_raw }, },
    7825             :   { 0 /*  */, Hexagon::L2_deallocframe, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_deallocframe, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_raw }, },
    7826             :   { 0 /*  */, Hexagon::S2_lsr_i_p, Convert__Reg1_0__Reg1_4__u6_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    7827             :   { 0 /*  */, Hexagon::A4_ornp, Convert__Reg1_0__Reg1_4__Reg1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_or, MCK__40_, MCK_DoubleRegs, MCK__126_, MCK_DoubleRegs, MCK__41_ }, },
    7828             :   { 0 /*  */, Hexagon::S6_rol_i_p, Convert__Reg1_0__Reg1_4__u6_0Imm1_6, Feature_HasV60, { MCK_DoubleRegs, MCK__61_, MCK_rol, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    7829             :   { 0 /*  */, Hexagon::A2_vabshsat, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vabsh, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    7830             :   { 0 /*  */, Hexagon::A2_vabswsat, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vabsw, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    7831             :   { 0 /*  */, Hexagon::S2_valignrb, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_valignb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK_PredRegs, MCK__41_ }, },
    7832             :   { 0 /*  */, Hexagon::S2_asl_i_vh, Convert__Reg1_0__Reg1_4__u4_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaslh, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u4_0Imm, MCK__41_ }, },
    7833             :   { 0 /*  */, Hexagon::S2_asl_i_vw, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaslw, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7834             :   { 0 /*  */, Hexagon::S2_asr_i_vh, Convert__Reg1_0__Reg1_4__u4_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_vasrh, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u4_0Imm, MCK__41_ }, },
    7835             :   { 0 /*  */, Hexagon::S2_asr_i_vw, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_vasrw, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7836             :   { 0 /*  */, Hexagon::A2_vconj, Convert__Reg1_0__Reg1_4, 0, { MCK_DoubleRegs, MCK__61_, MCK_vconj, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    7837             :   { 0 /*  */, Hexagon::S2_lsr_i_vh, Convert__Reg1_0__Reg1_4__u4_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_vlsrh, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u4_0Imm, MCK__41_ }, },
    7838             :   { 0 /*  */, Hexagon::S2_lsr_i_vw, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_vlsrw, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7839             :   { 0 /*  */, Hexagon::C2_vmux, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmux, MCK__40_, MCK_PredRegs, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7840             :   { 0 /*  */, Hexagon::M2_vrcmpyi_s0c, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrcmpyi, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__STAR_, MCK__41_ }, },
    7841             :   { 0 /*  */, Hexagon::M2_vrcmpyr_s0c, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrcmpyr, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__STAR_, MCK__41_ }, },
    7842             :   { 0 /*  */, Hexagon::S2_vsplicerb, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__61_, MCK_vspliceb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK_PredRegs, MCK__41_ }, },
    7843             :   { 0 /*  */, Hexagon::S2_asl_r_p_xor, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7844             :   { 0 /*  */, Hexagon::S2_asr_r_p_xor, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7845             :   { 0 /*  */, Hexagon::S2_lsl_r_p_xor, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_lsl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7846             :   { 0 /*  */, Hexagon::S2_lsr_r_p_xor, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7847             :   { 0 /*  */, Hexagon::M4_pmpyw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_pmpyw, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7848             :   { 0 /*  */, Hexagon::M4_vpmpyh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_vpmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7849             :   { 0 /*  */, Hexagon::M4_xor_xacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_xor, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7850             :   { 0 /*  */, Hexagon::S2_asl_r_p_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__124_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7851             :   { 0 /*  */, Hexagon::S2_asr_r_p_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__124_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7852             :   { 0 /*  */, Hexagon::S2_lsl_r_p_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__124_, MCK__61_, MCK_lsl, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7853             :   { 0 /*  */, Hexagon::S2_lsr_r_p_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__124_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_ }, },
    7854             :   { 0 /*  */, Hexagon::A5_ACS, Convert__Reg1_0__Reg1_1__Tie0_0_0__Reg1_5__Reg1_6, Feature_HasV55, { MCK_DoubleRegs, MCK_PredRegs, MCK__61_, MCK_vacsh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7855             :   { 0 /*  */, Hexagon::A6_vminub_RdP, Convert__Reg1_0__Reg1_1__Reg1_5__Reg1_6, Feature_HasV62, { MCK_DoubleRegs, MCK_PredRegs, MCK__61_, MCK_vminub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7856             :   { 0 /*  */, Hexagon::V6_vaddhw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vaddh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7857             :   { 0 /*  */, Hexagon::V6_vaddubh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vaddub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7858             :   { 0 /*  */, Hexagon::V6_vadduhw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vadduh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7859             :   { 0 /*  */, Hexagon::V6_vdmpybus_dv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vdmpybus, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7860             :   { 0 /*  */, Hexagon::V6_vdmpyhb_dv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vdmpyhb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7861             :   { 0 /*  */, Hexagon::V6_vdsaduh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vdsaduh, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7862             :   { 0 /*  */, Hexagon::V6_vmpabus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpabus, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7863             :   { 0 /*  */, Hexagon::V6_vmpabuu_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpabuu, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7864             :   { 0 /*  */, Hexagon::V6_vmpahb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpahb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7865             :   { 0 /*  */, Hexagon::V6_vmpauhb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpauhb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7866             :   { 0 /*  */, Hexagon::V6_vmpybv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7867             :   { 0 /*  */, Hexagon::V6_vmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpybus, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7868             :   { 0 /*  */, Hexagon::V6_vmpybusv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpybus, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7869             :   { 0 /*  */, Hexagon::V6_vmpyh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7870             :   { 0 /*  */, Hexagon::V6_vmpyhv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7871             :   { 0 /*  */, Hexagon::V6_vmpyhus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyhus, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7872             :   { 0 /*  */, Hexagon::V6_vmpyub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyub, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7873             :   { 0 /*  */, Hexagon::V6_vmpyubv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7874             :   { 0 /*  */, Hexagon::V6_vmpyuh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyuh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7875             :   { 0 /*  */, Hexagon::V6_vmpyuhv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7876             :   { 0 /*  */, Hexagon::V6_vtmpyb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vtmpyb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7877             :   { 0 /*  */, Hexagon::V6_vtmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vtmpybus, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7878             :   { 0 /*  */, Hexagon::V6_vtmpyhb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vtmpyhb, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_ }, },
    7879             :   { 0 /*  */, Hexagon::V6_vdealvdd, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__61_, MCK_vdeal, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_ }, },
    7880             :   { 0 /*  */, Hexagon::V6_vshuffvdd, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__61_, MCK_vshuff, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_ }, },
    7881             :   { 0 /*  */, Hexagon::V6_vswap, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__61_, MCK_vswap, MCK__40_, MCK_HvxQR, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7882             :   { 0 /*  */, Hexagon::M4_and_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7883             :   { 0 /*  */, Hexagon::S2_asl_r_r_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7884             :   { 0 /*  */, Hexagon::S2_asr_r_r_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7885             :   { 0 /*  */, Hexagon::S2_lsl_r_r_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_lsl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7886             :   { 0 /*  */, Hexagon::S2_lsr_r_r_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7887             :   { 0 /*  */, Hexagon::M4_and_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7888             :   { 0 /*  */, Hexagon::M4_and_xor, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_xor, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7889             :   { 0 /*  */, Hexagon::M2_acci, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7890             :   { 0 /*  */, Hexagon::S2_asl_r_r_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7891             :   { 0 /*  */, Hexagon::S2_asr_r_r_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7892             :   { 0 /*  */, Hexagon::S2_lsl_r_r_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_lsl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7893             :   { 0 /*  */, Hexagon::S2_lsr_r_r_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7894             :   { 0 /*  */, Hexagon::M2_maci, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7895             :   { 0 /*  */, Hexagon::F2_sffma, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_HasV5, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_sfmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7896             :   { 0 /*  */, Hexagon::M2_subacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7897             :   { 0 /*  */, Hexagon::M2_nacci, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7898             :   { 0 /*  */, Hexagon::S2_asl_r_r_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7899             :   { 0 /*  */, Hexagon::S2_asr_r_r_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7900             :   { 0 /*  */, Hexagon::S2_lsl_r_r_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_lsl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7901             :   { 0 /*  */, Hexagon::S2_lsr_r_r_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7902             :   { 0 /*  */, Hexagon::F2_sffms, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_HasV5, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_sfmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7903             :   { 0 /*  */, Hexagon::A2_abssat, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_abs, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    7904             :   { 0 /*  */, Hexagon::C4_addipc, Convert__Reg1_0__u32_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_PC, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    7905             :   { 0 /*  */, Hexagon::A2_addi, Convert__Reg1_0__Reg1_4__s32_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    7906             :   { 0 /*  */, Hexagon::A2_andir, Convert__Reg1_0__Reg1_4__s32_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    7907             :   { 0 /*  */, Hexagon::A4_andn, Convert__Reg1_0__Reg1_4__Reg1_6, 0, { MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK__126_, MCK_IntRegs, MCK__41_ }, },
    7908             :   { 0 /*  */, Hexagon::S2_asl_i_r, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7909             :   { 0 /*  */, Hexagon::S2_asr_i_r, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7910             :   { 0 /*  */, Hexagon::S2_asr_i_r_rnd_goodsyntax, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_asrrnd, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7911             :   { 0 /*  */, Hexagon::S2_clrbit_i, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_clrbit, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7912             :   { 0 /*  */, Hexagon::F2_conv_df2uw_chop, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_df2uw, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_chop }, },
    7913             :   { 0 /*  */, Hexagon::F2_conv_df2w_chop, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_df2w, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_chop }, },
    7914             :   { 0 /*  */, Hexagon::F2_conv_sf2uw_chop, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_sf2uw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_chop }, },
    7915             :   { 0 /*  */, Hexagon::F2_conv_sf2w_chop, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_convert_95_sf2w, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_chop }, },
    7916             :   { 0 /*  */, Hexagon::A4_cround_ri, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_cround, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7917             :   { 0 /*  */, Hexagon::S4_lsli, Convert__Reg1_0__s6_0Imm1_5__Reg1_6, 0, { MCK_IntRegs, MCK__61_, MCK_lsl, MCK__40_, MCK__35_, MCK_s6_0Imm, MCK_IntRegs, MCK__41_ }, },
    7918             :   { 0 /*  */, Hexagon::S2_lsr_i_r, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7919             :   { 0 /*  */, Hexagon::M2_mpysmi, Convert__Reg1_0__Reg1_4__m32_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK__35_, MCK_m32_0Imm, MCK__41_ }, },
    7920             :   { 0 /*  */, Hexagon::C2_mux, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__61_, MCK_mux, MCK__40_, MCK_PredRegs, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7921             :   { 0 /*  */, Hexagon::A2_negsat, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_neg, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    7922             :   { 0 /*  */, Hexagon::A2_orir, Convert__Reg1_0__Reg1_4__s32_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    7923             :   { 0 /*  */, Hexagon::A4_orn, Convert__Reg1_0__Reg1_4__Reg1_6, 0, { MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK__126_, MCK_IntRegs, MCK__41_ }, },
    7924             :   { 0 /*  */, Hexagon::S6_rol_i_r, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, Feature_HasV60, { MCK_IntRegs, MCK__61_, MCK_rol, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7925             :   { 0 /*  */, Hexagon::A2_roundsat, Convert__Reg1_0__Reg1_4, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_round, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    7926             :   { 0 /*  */, Hexagon::A4_round_ri, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_round, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7927             :   { 0 /*  */, Hexagon::S2_setbit_i, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_setbit, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7928             :   { 0 /*  */, Hexagon::A2_subri, Convert__Reg1_0__s32_0Imm1_5__Reg1_6, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK__35_, MCK_s32_0Imm, MCK_IntRegs, MCK__41_ }, },
    7929             :   { 0 /*  */, Hexagon::S2_togglebit_i, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_togglebit, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7930             :   { 0 /*  */, Hexagon::S2_asr_i_svw_trun, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_vasrw, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7931             :   { 0 /*  */, Hexagon::S2_vrndpackwhs, Convert__Reg1_0__Reg1_4, 0, { MCK_IntRegs, MCK__61_, MCK_vrndwh, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    7932             :   { 0 /*  */, Hexagon::M4_xor_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__94_, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7933             :   { 0 /*  */, Hexagon::M4_xor_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__94_, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7934             :   { 0 /*  */, Hexagon::M2_xor_xacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__94_, MCK__61_, MCK_xor, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7935             :   { 0 /*  */, Hexagon::M4_or_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7936             :   { 0 /*  */, Hexagon::S2_asl_r_r_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7937             :   { 0 /*  */, Hexagon::S2_asr_r_r_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7938             :   { 0 /*  */, Hexagon::S2_lsl_r_r_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_lsl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7939             :   { 0 /*  */, Hexagon::S2_lsr_r_r_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7940             :   { 0 /*  */, Hexagon::M4_or_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7941             :   { 0 /*  */, Hexagon::M4_or_xor, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_xor, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7942             :   { 0 /*  */, Hexagon::F2_sfrecipa, Convert__Reg1_0__Reg1_1__Reg1_5__Reg1_6, Feature_HasV5, { MCK_IntRegs, MCK_PredRegs, MCK__61_, MCK_sfrecipa, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7943             :   { 0 /*  */, Hexagon::V6_vaslh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vaslh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7944             :   { 0 /*  */, Hexagon::V6_vaslw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vaslw, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7945             :   { 0 /*  */, Hexagon::V6_vasrh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vasrh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7946             :   { 0 /*  */, Hexagon::V6_vasrw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vasrw, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7947             :   { 0 /*  */, Hexagon::V6_vdmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vdmpybus, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7948             :   { 0 /*  */, Hexagon::V6_vdmpyhb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vdmpyhb, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7949             :   { 0 /*  */, Hexagon::V6_vmpyiewh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyiewh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7950             :   { 0 /*  */, Hexagon::V6_vmpyiewuh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyiewuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7951             :   { 0 /*  */, Hexagon::V6_vmpyih_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyih, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7952             :   { 0 /*  */, Hexagon::V6_vmpyihb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyihb, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7953             :   { 0 /*  */, Hexagon::V6_vmpyiwb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyiwb, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7954             :   { 0 /*  */, Hexagon::V6_vmpyiwh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyiwh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7955             :   { 0 /*  */, Hexagon::V6_vmpyiwub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyiwub, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7956             :   { 0 /*  */, Hexagon::V6_vrmpybv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vrmpyb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7957             :   { 0 /*  */, Hexagon::V6_vrmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vrmpybus, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7958             :   { 0 /*  */, Hexagon::V6_vrmpybusv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vrmpybus, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7959             :   { 0 /*  */, Hexagon::V6_vrmpyub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vrmpyub, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    7960             :   { 0 /*  */, Hexagon::V6_vrmpyubv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vrmpyub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7961             :   { 0 /*  */, Hexagon::V6_vprefixqb, Convert__Reg1_0__Reg1_6, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_prefixsum, MCK__40_, MCK_HvxQR, MCK__41_ }, },
    7962             :   { 0 /*  */, Hexagon::V6_lvsplatb, Convert__Reg1_0__Reg1_6, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vsplat, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7963             :   { 0 /*  */, Hexagon::V6_vprefixqh, Convert__Reg1_0__Reg1_6, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_prefixsum, MCK__40_, MCK_HvxQR, MCK__41_ }, },
    7964             :   { 0 /*  */, Hexagon::V6_lvsplath, Convert__Reg1_0__Reg1_6, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsplat, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7965             :   { 0 /*  */, Hexagon::V6_vprefixqw, Convert__Reg1_0__Reg1_6, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_prefixsum, MCK__40_, MCK_HvxQR, MCK__41_ }, },
    7966             :   { 0 /*  */, Hexagon::V6_vinsertwr, Convert__Reg1_0__Tie0_0_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vinsert, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    7967             :   { 0 /*  */, Hexagon::V6_vabsb_sat, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsb, MCK__40_, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    7968             :   { 0 /*  */, Hexagon::V6_vabsh_sat, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsh, MCK__40_, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    7969             :   { 0 /*  */, Hexagon::V6_vabsw_sat, Convert__Reg1_0__Reg1_4, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vabsw, MCK__40_, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    7970             :   { 0 /*  */, Hexagon::V6_valignb, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_valign, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_ }, },
    7971             :   { 0 /*  */, Hexagon::V6_vandnqrt, Convert__Reg1_0__Reg1_5__Reg1_6, Feature_UseHVXV62, { MCK_HvxVR, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK_IntRegs, MCK__41_ }, },
    7972             :   { 0 /*  */, Hexagon::V6_vandvnqv, Convert__Reg1_0__Reg1_5__Reg1_6, Feature_UseHVXV62, { MCK_HvxVR, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK_HvxVR, MCK__41_ }, },
    7973             :   { 0 /*  */, Hexagon::V6_vasrwhsat, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_HvxVR, MCK__61_, MCK_vasrwh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_ }, },
    7974             :   { 0 /*  */, Hexagon::V6_vlalignb, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vlalign, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_ }, },
    7975             :   { 0 /*  */, Hexagon::V6_vL32b_nt_ai, Convert__Reg1_0__Reg1_4__imm_95_0, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    7976             :   { 0 /*  */, Hexagon::V6_vL32b_nt_ai, Convert__Reg1_0__Reg1_4__imm_95_0, 0, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    7977             :   { 0 /*  */, Hexagon::V6_vmux, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmux, MCK__40_, MCK_HvxQR, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    7978             :   { 0 /*  */, Hexagon::V6_vandqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK_IntRegs, MCK__41_ }, },
    7979             :   { 0 /*  */, Hexagon::C4_nbitsclri, Convert__Reg1_0__Reg1_5__u6_0Imm1_7, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_bitsclr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    7980             :   { 0 /*  */, Hexagon::S4_ntstbit_i, Convert__Reg1_0__Reg1_5__u5_0Imm1_7, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_tstbit, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    7981             :   { 0 /*  */, Hexagon::C2_cmpeqp, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7982             :   { 0 /*  */, Hexagon::C2_cmpeq, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7983             :   { 0 /*  */, Hexagon::C2_cmpgtp, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7984             :   { 0 /*  */, Hexagon::C2_cmpgt, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7985             :   { 0 /*  */, Hexagon::C2_cmpgtup, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7986             :   { 0 /*  */, Hexagon::C2_cmpgtu, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7987             :   { 0 /*  */, Hexagon::C2_cmpgt, Convert__Reg1_0__Reg1_7__Reg1_6, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_lt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7988             :   { 0 /*  */, Hexagon::C2_cmpgtu, Convert__Reg1_0__Reg1_7__Reg1_6, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_ltu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7989             :   { 0 /*  */, Hexagon::A4_cmpbeq, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmpb, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7990             :   { 0 /*  */, Hexagon::A4_cmpbgt, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmpb, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7991             :   { 0 /*  */, Hexagon::A4_cmpbgtu, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmpb, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7992             :   { 0 /*  */, Hexagon::A4_cmpheq, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmph, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7993             :   { 0 /*  */, Hexagon::A4_cmphgt, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmph, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7994             :   { 0 /*  */, Hexagon::A4_cmphgtu, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_cmph, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    7995             :   { 0 /*  */, Hexagon::F2_dfcmpeq, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_dfcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7996             :   { 0 /*  */, Hexagon::F2_dfcmpge, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_dfcmp, MCK__DOT_, MCK_ge, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7997             :   { 0 /*  */, Hexagon::F2_dfcmpgt, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_dfcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7998             :   { 0 /*  */, Hexagon::F2_dfcmpuo, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_dfcmp, MCK__DOT_, MCK_uo, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    7999             :   { 0 /*  */, Hexagon::F2_sfcmpeq, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_sfcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8000             :   { 0 /*  */, Hexagon::F2_sfcmpge, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_sfcmp, MCK__DOT_, MCK_ge, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8001             :   { 0 /*  */, Hexagon::F2_sfcmpgt, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_sfcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8002             :   { 0 /*  */, Hexagon::F2_sfcmpuo, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_HasV5, { MCK_PredRegs, MCK__61_, MCK_sfcmp, MCK__DOT_, MCK_uo, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8003             :   { 0 /*  */, Hexagon::A2_vcmpbeq, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpb, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8004             :   { 0 /*  */, Hexagon::A4_vcmpbgt, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpb, MCK__DOT_, MCK_gt, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8005             :   { 0 /*  */, Hexagon::A2_vcmpbgtu, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpb, MCK__DOT_, MCK_gtu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8006             :   { 0 /*  */, Hexagon::A2_vcmpheq, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmph, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8007             :   { 0 /*  */, Hexagon::A2_vcmphgt, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmph, MCK__DOT_, MCK_gt, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8008             :   { 0 /*  */, Hexagon::A2_vcmphgtu, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmph, MCK__DOT_, MCK_gtu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8009             :   { 0 /*  */, Hexagon::A2_vcmpweq, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpw, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8010             :   { 0 /*  */, Hexagon::A2_vcmpwgt, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpw, MCK__DOT_, MCK_gt, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8011             :   { 0 /*  */, Hexagon::A2_vcmpwgtu, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpw, MCK__DOT_, MCK_gtu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_ }, },
    8012             :   { 0 /*  */, Hexagon::S2_asl_i_p_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__38_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8013             :   { 0 /*  */, Hexagon::S2_asr_i_p_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__38_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8014             :   { 0 /*  */, Hexagon::S2_lsr_i_p_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__38_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8015             :   { 0 /*  */, Hexagon::S6_rol_i_p_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, Feature_HasV60, { MCK_DoubleRegs, MCK__38_, MCK__61_, MCK_rol, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8016             :   { 0 /*  */, Hexagon::S2_asl_i_p_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8017             :   { 0 /*  */, Hexagon::S2_asr_i_p_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8018             :   { 0 /*  */, Hexagon::S2_lsr_i_p_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8019             :   { 0 /*  */, Hexagon::S6_rol_i_p_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, Feature_HasV60, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_rol, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8020             :   { 0 /*  */, Hexagon::M2_vrcmaci_s0c, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcmpyi, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__STAR_, MCK__41_ }, },
    8021             :   { 0 /*  */, Hexagon::M2_vrcmacr_s0c, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcmpyr, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__STAR_, MCK__41_ }, },
    8022             :   { 0 /*  */, Hexagon::S2_asl_i_p_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8023             :   { 0 /*  */, Hexagon::S2_asr_i_p_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8024             :   { 0 /*  */, Hexagon::S2_lsr_i_p_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8025             :   { 0 /*  */, Hexagon::S6_rol_i_p_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, Feature_HasV60, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_rol, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8026             :   { 0 /*  */, Hexagon::A2_addpsat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_add, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8027             :   { 0 /*  */, Hexagon::M2_cmpys_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8028             :   { 0 /*  */, Hexagon::A2_combineii, Convert__Reg1_0__s32_0Imm1_5__s8_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK__35_, MCK_s32_0Imm, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8029             :   { 0 /*  */, Hexagon::TFRI64_V2_ext, Convert__Reg1_0__s32_0Imm1_5__s8_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK__35_, MCK_s32_0Imm, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8030             :   { 0 /*  */, Hexagon::A4_combineii, Convert__Reg1_0__s8_0Imm1_5__u32_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK__35_, MCK_s8_0Imm, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8031             :   { 0 /*  */, Hexagon::F2_dfimm_n, Convert__Reg1_0__u10_0Imm1_5, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_dfmake, MCK__40_, MCK__35_, MCK_u10_0Imm, MCK__41_, MCK__COLON_, MCK_neg }, },
    8032             :   { 0 /*  */, Hexagon::F2_dfimm_p, Convert__Reg1_0__u10_0Imm1_5, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_dfmake, MCK__40_, MCK__35_, MCK_u10_0Imm, MCK__41_, MCK__COLON_, MCK_pos }, },
    8033             :   { 0 /*  */, Hexagon::L2_loadalignb_io, Convert__Reg1_0__Tie0_0_0__Reg1_4__s32_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8034             :   { 0 /*  */, Hexagon::L2_loadalignb_pr, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8035             :   { 0 /*  */, Hexagon::L4_loadalignb_ap, Convert__Reg1_0__Reg1_4__Tie0_0_0__u32_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8036             :   { 0 /*  */, Hexagon::L2_loadbsw4_io, Convert__Reg1_0__Reg1_4__s30_2Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s30_2Imm, MCK__41_ }, },
    8037             :   { 0 /*  */, Hexagon::L2_loadbsw4_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8038             :   { 0 /*  */, Hexagon::L4_loadbsw4_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8039             :   { 0 /*  */, Hexagon::L2_loadrdgp, Convert__Reg1_0__u29_3Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_ }, },
    8040             :   { 0 /*  */, Hexagon::L2_loadrd_io, Convert__Reg1_0__Reg1_4__s29_3Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s29_3Imm, MCK__41_ }, },
    8041             :   { 0 /*  */, Hexagon::L2_loadrd_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8042             :   { 0 /*  */, Hexagon::L4_loadrd_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8043             :   { 0 /*  */, Hexagon::L2_loadalignh_io, Convert__Reg1_0__Tie0_0_0__Reg1_4__s31_1Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s31_1Imm, MCK__41_ }, },
    8044             :   { 0 /*  */, Hexagon::L2_loadalignh_pr, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8045             :   { 0 /*  */, Hexagon::L4_loadalignh_ap, Convert__Reg1_0__Reg1_4__Tie0_0_0__u32_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8046             :   { 0 /*  */, Hexagon::L2_loadbzw4_io, Convert__Reg1_0__Reg1_4__s30_2Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s30_2Imm, MCK__41_ }, },
    8047             :   { 0 /*  */, Hexagon::L2_loadbzw4_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8048             :   { 0 /*  */, Hexagon::L4_loadbzw4_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8049             :   { 0 /*  */, Hexagon::dep_S2_packhl, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_packhl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_deprecated }, },
    8050             :   { 0 /*  */, Hexagon::A2_vaddhs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaddh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8051             :   { 0 /*  */, Hexagon::A2_vaddubs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaddub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8052             :   { 0 /*  */, Hexagon::A2_vadduhs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vadduh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8053             :   { 0 /*  */, Hexagon::A2_vaddws, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vaddw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8054             :   { 0 /*  */, Hexagon::S2_valignib, Convert__Reg1_0__Reg1_4__Reg1_5__u3_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_valignb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8055             :   { 0 /*  */, Hexagon::A2_vavghcr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavgh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_crnd }, },
    8056             :   { 0 /*  */, Hexagon::A2_vavghr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavgh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8057             :   { 0 /*  */, Hexagon::A2_vavgubr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavgub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8058             :   { 0 /*  */, Hexagon::A2_vavguhr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavguh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8059             :   { 0 /*  */, Hexagon::A2_vavguwr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavguw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8060             :   { 0 /*  */, Hexagon::A2_vavgwcr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavgw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_crnd }, },
    8061             :   { 0 /*  */, Hexagon::A2_vavgwr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vavgw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8062             :   { 0 /*  */, Hexagon::M2_vcmpy_s0_sat_i, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vcmpyi, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8063             :   { 0 /*  */, Hexagon::M2_vcmpy_s0_sat_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vcmpyr, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8064             :   { 0 /*  */, Hexagon::M2_vdmpys_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vdmpy, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8065             :   { 0 /*  */, Hexagon::M5_vdmpybsu, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_vdmpybsu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8066             :   { 0 /*  */, Hexagon::M2_vmpy2es_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyeh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8067             :   { 0 /*  */, Hexagon::M2_vmpy2s_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8068             :   { 0 /*  */, Hexagon::M2_vmpy2su_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyhsu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8069             :   { 0 /*  */, Hexagon::M2_mmpyl_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8070             :   { 0 /*  */, Hexagon::M2_mmpyul_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyweuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8071             :   { 0 /*  */, Hexagon::M2_mmpyh_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8072             :   { 0 /*  */, Hexagon::M2_mmpyuh_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpywouh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8073             :   { 0 /*  */, Hexagon::S4_vrcrotate, Convert__Reg1_0__Reg1_4__Reg1_5__u2_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrcrotate, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    8074             :   { 0 /*  */, Hexagon::S2_vspliceib, Convert__Reg1_0__Reg1_4__Reg1_5__u3_0Imm1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_vspliceb, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8075             :   { 0 /*  */, Hexagon::A2_vsubhs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsubh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8076             :   { 0 /*  */, Hexagon::A2_vsububs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsubub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8077             :   { 0 /*  */, Hexagon::A2_vsubuhs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsubuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8078             :   { 0 /*  */, Hexagon::A2_vsubws, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vsubw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8079             :   { 0 /*  */, Hexagon::S4_vxaddsubh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vxaddsubh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8080             :   { 0 /*  */, Hexagon::S4_vxaddsubw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vxaddsubw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8081             :   { 0 /*  */, Hexagon::S4_vxsubaddh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vxsubaddh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8082             :   { 0 /*  */, Hexagon::S4_vxsubaddw, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vxsubaddw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8083             :   { 0 /*  */, Hexagon::S2_asl_i_p_xacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8084             :   { 0 /*  */, Hexagon::S2_lsr_i_p_xacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8085             :   { 0 /*  */, Hexagon::S6_rol_i_p_xacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, Feature_HasV60, { MCK_DoubleRegs, MCK__94_, MCK__61_, MCK_rol, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8086             :   { 0 /*  */, Hexagon::S2_asl_i_p_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__124_, MCK__61_, MCK_asl, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8087             :   { 0 /*  */, Hexagon::S2_asr_i_p_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__124_, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8088             :   { 0 /*  */, Hexagon::S2_lsr_i_p_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, 0, { MCK_DoubleRegs, MCK__124_, MCK__61_, MCK_lsr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8089             :   { 0 /*  */, Hexagon::S6_rol_i_p_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__u6_0Imm1_7, Feature_HasV60, { MCK_DoubleRegs, MCK__124_, MCK__61_, MCK_rol, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8090             :   { 0 /*  */, Hexagon::V6_vaddbsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddb, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8091             :   { 0 /*  */, Hexagon::V6_vaddhsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddh, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8092             :   { 0 /*  */, Hexagon::V6_vaddubsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddub, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8093             :   { 0 /*  */, Hexagon::V6_vadduhsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vadduh, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8094             :   { 0 /*  */, Hexagon::V6_vadduwsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vadduw, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8095             :   { 0 /*  */, Hexagon::V6_vaddwsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vaddw, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8096             :   { 0 /*  */, Hexagon::V6_vrmpybusi, Convert__Reg1_0__Reg1_4__Reg1_5__u1_0Imm1_7, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vrmpybus, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8097             :   { 0 /*  */, Hexagon::V6_vrmpyubi, Convert__Reg1_0__Reg1_4__Reg1_5__u1_0Imm1_7, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vrmpyub, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8098             :   { 0 /*  */, Hexagon::V6_vrsadubi, Convert__Reg1_0__Reg1_4__Reg1_5__u1_0Imm1_7, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vrsadub, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8099             :   { 0 /*  */, Hexagon::V6_vsubbsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubb, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8100             :   { 0 /*  */, Hexagon::V6_vsubhsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubh, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8101             :   { 0 /*  */, Hexagon::V6_vsububsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubub, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8102             :   { 0 /*  */, Hexagon::V6_vsubuhsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubuh, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8103             :   { 0 /*  */, Hexagon::V6_vsubuwsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubuw, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8104             :   { 0 /*  */, Hexagon::V6_vsubwsat_dv, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxWR, MCK__61_, MCK_vsubw, MCK__40_, MCK_HvxWR, MCK_HvxWR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8105             :   { 0 /*  */, Hexagon::M4_and_andn, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_7, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK__126_, MCK_IntRegs, MCK__41_ }, },
    8106             :   { 0 /*  */, Hexagon::S2_asl_i_r_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8107             :   { 0 /*  */, Hexagon::S2_asr_i_r_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8108             :   { 0 /*  */, Hexagon::S2_lsr_i_r_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8109             :   { 0 /*  */, Hexagon::S6_rol_i_r_and, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, Feature_HasV60, { MCK_IntRegs, MCK__38_, MCK__61_, MCK_rol, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8110             :   { 0 /*  */, Hexagon::M2_accii, Convert__Reg1_0__Tie0_0_0__Reg1_5__s32_0Imm1_7, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8111             :   { 0 /*  */, Hexagon::S2_asl_i_r_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8112             :   { 0 /*  */, Hexagon::S2_asr_i_r_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8113             :   { 0 /*  */, Hexagon::S2_lsr_i_r_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8114             :   { 0 /*  */, Hexagon::M2_macsip, Convert__Reg1_0__Tie0_0_0__Reg1_5__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8115             :   { 0 /*  */, Hexagon::S6_rol_i_r_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, Feature_HasV60, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_rol, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8116             :   { 0 /*  */, Hexagon::M2_naccii, Convert__Reg1_0__Tie0_0_0__Reg1_5__s32_0Imm1_7, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8117             :   { 0 /*  */, Hexagon::S2_asl_i_r_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8118             :   { 0 /*  */, Hexagon::S2_asr_i_r_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8119             :   { 0 /*  */, Hexagon::S2_lsr_i_r_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8120             :   { 0 /*  */, Hexagon::M2_macsin, Convert__Reg1_0__Tie0_0_0__Reg1_5__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8121             :   { 0 /*  */, Hexagon::S6_rol_i_r_nac, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, Feature_HasV60, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_rol, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8122             :   { 0 /*  */, Hexagon::V6_extractw, Convert__Reg1_0__Reg1_6__Reg1_7, Feature_UseHVX, { MCK_IntRegs, MCK__DOT_, MCK_w, MCK__61_, MCK_vextract, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    8123             :   { 0 /*  */, Hexagon::M2_mpysip, Convert__Reg1_0__Reg1_5__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK__43_, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8124             :   { 0 /*  */, Hexagon::M2_mpysin, Convert__Reg1_0__Reg1_5__u8_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK__MINUS_, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u8_0Imm, MCK__41_ }, },
    8125             :   { 0 /*  */, Hexagon::A2_addsat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8126             :   { 0 /*  */, Hexagon::S2_addasl_rrri, Convert__Reg1_0__Reg1_4__Reg1_5__u3_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_addasl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8127             :   { 0 /*  */, Hexagon::S2_asl_r_r_sat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8128             :   { 0 /*  */, Hexagon::S2_asr_r_r_sat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8129             :   { 0 /*  */, Hexagon::A4_rcmpeq, Convert__Reg1_0__Reg1_6__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8130             :   { 0 /*  */, Hexagon::L2_loadrbgp, Convert__Reg1_0__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8131             :   { 0 /*  */, Hexagon::L2_loadrb_io, Convert__Reg1_0__Reg1_4__s32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8132             :   { 0 /*  */, Hexagon::L2_loadrb_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8133             :   { 0 /*  */, Hexagon::L4_loadrb_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8134             :   { 0 /*  */, Hexagon::L2_loadbsw2_io, Convert__Reg1_0__Reg1_4__s31_1Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s31_1Imm, MCK__41_ }, },
    8135             :   { 0 /*  */, Hexagon::L2_loadbsw2_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8136             :   { 0 /*  */, Hexagon::L4_loadbsw2_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8137             :   { 0 /*  */, Hexagon::L2_loadrhgp, Convert__Reg1_0__u31_1Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    8138             :   { 0 /*  */, Hexagon::L2_loadrh_io, Convert__Reg1_0__Reg1_4__s31_1Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s31_1Imm, MCK__41_ }, },
    8139             :   { 0 /*  */, Hexagon::L2_loadrh_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8140             :   { 0 /*  */, Hexagon::L4_loadrh_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8141             :   { 0 /*  */, Hexagon::L2_loadrubgp, Convert__Reg1_0__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8142             :   { 0 /*  */, Hexagon::L2_loadrub_io, Convert__Reg1_0__Reg1_4__s32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8143             :   { 0 /*  */, Hexagon::L2_loadrub_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8144             :   { 0 /*  */, Hexagon::L4_loadrub_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8145             :   { 0 /*  */, Hexagon::L2_loadbzw2_io, Convert__Reg1_0__Reg1_4__s31_1Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s31_1Imm, MCK__41_ }, },
    8146             :   { 0 /*  */, Hexagon::L2_loadbzw2_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8147             :   { 0 /*  */, Hexagon::L4_loadbzw2_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8148             :   { 0 /*  */, Hexagon::L2_loadruhgp, Convert__Reg1_0__u31_1Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    8149             :   { 0 /*  */, Hexagon::L2_loadruh_io, Convert__Reg1_0__Reg1_4__s31_1Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s31_1Imm, MCK__41_ }, },
    8150             :   { 0 /*  */, Hexagon::L2_loadruh_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8151             :   { 0 /*  */, Hexagon::L4_loadruh_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8152             :   { 0 /*  */, Hexagon::L2_loadrigp, Convert__Reg1_0__u30_2Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_ }, },
    8153             :   { 0 /*  */, Hexagon::L2_loadri_io, Convert__Reg1_0__Reg1_4__s30_2Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s30_2Imm, MCK__41_ }, },
    8154             :   { 0 /*  */, Hexagon::L2_loadri_pr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8155             :   { 0 /*  */, Hexagon::L4_loadri_ap, Convert__Reg1_0__Reg1_4__u32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8156             :   { 0 /*  */, Hexagon::M2_dpmpyss_rnd_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8157             :   { 0 /*  */, Hexagon::C2_muxri, Convert__Reg1_0__Reg1_4__s32_0Imm1_6__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mux, MCK__40_, MCK_PredRegs, MCK__35_, MCK_s32_0Imm, MCK_IntRegs, MCK__41_ }, },
    8158             :   { 0 /*  */, Hexagon::C2_muxir, Convert__Reg1_0__Reg1_4__Reg1_5__s32_0Imm1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mux, MCK__40_, MCK_PredRegs, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8159             :   { 0 /*  */, Hexagon::A4_round_rr_sat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_round, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8160             :   { 0 /*  */, Hexagon::F2_sfimm_n, Convert__Reg1_0__u10_0Imm1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sfmake, MCK__40_, MCK__35_, MCK_u10_0Imm, MCK__41_, MCK__COLON_, MCK_neg }, },
    8161             :   { 0 /*  */, Hexagon::F2_sfimm_p, Convert__Reg1_0__u10_0Imm1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_sfmake, MCK__40_, MCK__35_, MCK_u10_0Imm, MCK__41_, MCK__COLON_, MCK_pos }, },
    8162             :   { 0 /*  */, Hexagon::A2_subsat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8163             :   { 0 /*  */, Hexagon::A2_svaddhs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vaddh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8164             :   { 0 /*  */, Hexagon::A5_vaddhubs, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_vaddhub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8165             :   { 0 /*  */, Hexagon::A2_svadduhs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vadduh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8166             :   { 0 /*  */, Hexagon::A2_svavghs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vavgh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8167             :   { 0 /*  */, Hexagon::A2_svsubhs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vsubh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8168             :   { 0 /*  */, Hexagon::A2_svsubuhs, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vsubuh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8169             :   { 0 /*  */, Hexagon::M4_xor_andn, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_7, 0, { MCK_IntRegs, MCK__94_, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK__126_, MCK_IntRegs, MCK__41_ }, },
    8170             :   { 0 /*  */, Hexagon::S2_asl_i_r_xacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__94_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8171             :   { 0 /*  */, Hexagon::S2_lsr_i_r_xacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__94_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8172             :   { 0 /*  */, Hexagon::S6_rol_i_r_xacc, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, Feature_HasV60, { MCK_IntRegs, MCK__94_, MCK__61_, MCK_rol, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8173             :   { 0 /*  */, Hexagon::S4_or_andi, Convert__Reg1_0__Tie0_0_0__Reg1_5__s32_0Imm1_7, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8174             :   { 0 /*  */, Hexagon::M4_or_andn, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_7, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK__126_, MCK_IntRegs, MCK__41_ }, },
    8175             :   { 0 /*  */, Hexagon::S2_asl_i_r_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8176             :   { 0 /*  */, Hexagon::S2_asr_i_r_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8177             :   { 0 /*  */, Hexagon::S2_lsr_i_r_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8178             :   { 0 /*  */, Hexagon::S4_or_ori, Convert__Reg1_0__Tie0_0_0__Reg1_5__s32_0Imm1_7, 0, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8179             :   { 0 /*  */, Hexagon::S6_rol_i_r_or, Convert__Reg1_0__Tie0_0_0__Reg1_5__u5_0Imm1_7, Feature_HasV60, { MCK_IntRegs, MCK__124_, MCK__61_, MCK_rol, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8180             :   { 0 /*  */, Hexagon::V6_vaddbsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaddb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8181             :   { 0 /*  */, Hexagon::V6_vaddhsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaddh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8182             :   { 0 /*  */, Hexagon::V6_vaddubsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaddub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8183             :   { 0 /*  */, Hexagon::V6_vadduhsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vadduh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8184             :   { 0 /*  */, Hexagon::V6_vadduwsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vadduw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8185             :   { 0 /*  */, Hexagon::V6_vaddwsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vaddw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8186             :   { 0 /*  */, Hexagon::V6_valignbi, Convert__Reg1_0__Reg1_4__Reg1_5__u3_0Imm1_7, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_valign, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8187             :   { 0 /*  */, Hexagon::V6_vavgbrnd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavgb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8188             :   { 0 /*  */, Hexagon::V6_vavghrnd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavgh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8189             :   { 0 /*  */, Hexagon::V6_vavgubrnd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavgub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8190             :   { 0 /*  */, Hexagon::V6_vavguhrnd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavguh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8191             :   { 0 /*  */, Hexagon::V6_vavguwrnd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavguw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8192             :   { 0 /*  */, Hexagon::V6_vavgwrnd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vavgw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8193             :   { 0 /*  */, Hexagon::V6_vdmpyhisat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdmpyh, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8194             :   { 0 /*  */, Hexagon::V6_vdmpyhsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdmpyh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8195             :   { 0 /*  */, Hexagon::V6_vdmpyhvsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdmpyh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8196             :   { 0 /*  */, Hexagon::V6_vdmpyhsusat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdmpyhsu, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8197             :   { 0 /*  */, Hexagon::V6_vlalignbi, Convert__Reg1_0__Reg1_4__Reg1_5__u3_0Imm1_7, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vlalign, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8198             :   { 0 /*  */, Hexagon::V6_vL32b_ai, Convert__Reg1_0__Reg1_4__s4_0Imm1_7, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    8199             :   { 0 /*  */, Hexagon::V6_vL32b_ppu, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8200             :   { 0 /*  */, Hexagon::V6_vL32Ub_ai, Convert__Reg1_0__Reg1_4__s4_0Imm1_7, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    8201             :   { 0 /*  */, Hexagon::V6_vL32Ub_ppu, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8202             :   { 0 /*  */, Hexagon::V6_vpackhb_sat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpackhb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8203             :   { 0 /*  */, Hexagon::V6_vpackhub_sat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpackhub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8204             :   { 0 /*  */, Hexagon::V6_vpackwh_sat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpackwh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8205             :   { 0 /*  */, Hexagon::V6_vpackwuh_sat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vpackwuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8206             :   { 0 /*  */, Hexagon::V6_vroundhb, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vroundhb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8207             :   { 0 /*  */, Hexagon::V6_vroundhub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vroundhub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8208             :   { 0 /*  */, Hexagon::V6_vrounduhub, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vrounduhub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8209             :   { 0 /*  */, Hexagon::V6_vrounduwuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vrounduwuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8210             :   { 0 /*  */, Hexagon::V6_vroundwh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vroundwh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8211             :   { 0 /*  */, Hexagon::V6_vroundwuh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vroundwuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8212             :   { 0 /*  */, Hexagon::V6_vsubbsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8213             :   { 0 /*  */, Hexagon::V6_vsubhsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8214             :   { 0 /*  */, Hexagon::V6_vsububsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8215             :   { 0 /*  */, Hexagon::V6_vsubuhsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8216             :   { 0 /*  */, Hexagon::V6_vsubuwsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubuw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8217             :   { 0 /*  */, Hexagon::V6_vsubwsat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vsubw, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8218             :   { 0 /*  */, Hexagon::V6_vandnqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_6__Reg1_7, Feature_UseHVXV62, { MCK_HvxVR, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK_IntRegs, MCK__41_ }, },
    8219             :   { 0 /*  */, Hexagon::C4_cmpneq, Convert__Reg1_0__Reg1_7__Reg1_8, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8220             :   { 0 /*  */, Hexagon::C4_cmplte, Convert__Reg1_0__Reg1_7__Reg1_8, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8221             :   { 0 /*  */, Hexagon::C4_cmplteu, Convert__Reg1_0__Reg1_7__Reg1_8, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8222             :   { 0 /*  */, Hexagon::C2_cmpeqi, Convert__Reg1_0__Reg1_6__s32_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8223             :   { 0 /*  */, Hexagon::C2_cmpgei, Convert__Reg1_0__Reg1_6__s8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_ge, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8224             :   { 0 /*  */, Hexagon::C2_cmpgeui, Convert__Reg1_0__Reg1_6__u8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_geu, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u8_0Imm, MCK__41_ }, },
    8225             :   { 0 /*  */, Hexagon::C2_cmpgti, Convert__Reg1_0__Reg1_6__s32_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8226             :   { 0 /*  */, Hexagon::C2_cmpgtui, Convert__Reg1_0__Reg1_6__u32_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8227             :   { 0 /*  */, Hexagon::A4_cmpbeqi, Convert__Reg1_0__Reg1_6__u8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmpb, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u8_0Imm, MCK__41_ }, },
    8228             :   { 0 /*  */, Hexagon::A4_cmpbgti, Convert__Reg1_0__Reg1_6__s8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmpb, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8229             :   { 0 /*  */, Hexagon::A4_cmpbgtui, Convert__Reg1_0__Reg1_6__u32_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmpb, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8230             :   { 0 /*  */, Hexagon::A4_cmpheqi, Convert__Reg1_0__Reg1_6__s32_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmph, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8231             :   { 0 /*  */, Hexagon::A4_cmphgti, Convert__Reg1_0__Reg1_6__s32_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmph, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8232             :   { 0 /*  */, Hexagon::A4_cmphgtui, Convert__Reg1_0__Reg1_6__u32_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_cmph, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8233             :   { 0 /*  */, Hexagon::A4_vcmpbeqi, Convert__Reg1_0__Reg1_6__u8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpb, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u8_0Imm, MCK__41_ }, },
    8234             :   { 0 /*  */, Hexagon::A4_vcmpbgti, Convert__Reg1_0__Reg1_6__s8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpb, MCK__DOT_, MCK_gt, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8235             :   { 0 /*  */, Hexagon::A4_vcmpbgtui, Convert__Reg1_0__Reg1_6__u7_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpb, MCK__DOT_, MCK_gtu, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u7_0Imm, MCK__41_ }, },
    8236             :   { 0 /*  */, Hexagon::A4_vcmpheqi, Convert__Reg1_0__Reg1_6__s8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmph, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8237             :   { 0 /*  */, Hexagon::A4_vcmphgti, Convert__Reg1_0__Reg1_6__s8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmph, MCK__DOT_, MCK_gt, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8238             :   { 0 /*  */, Hexagon::A4_vcmphgtui, Convert__Reg1_0__Reg1_6__u7_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmph, MCK__DOT_, MCK_gtu, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u7_0Imm, MCK__41_ }, },
    8239             :   { 0 /*  */, Hexagon::A4_vcmpweqi, Convert__Reg1_0__Reg1_6__s8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpw, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8240             :   { 0 /*  */, Hexagon::A4_vcmpwgti, Convert__Reg1_0__Reg1_6__s8_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpw, MCK__DOT_, MCK_gt, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8241             :   { 0 /*  */, Hexagon::A4_vcmpwgtui, Convert__Reg1_0__Reg1_6__u7_0Imm1_8, 0, { MCK_PredRegs, MCK__61_, MCK_vcmpw, MCK__DOT_, MCK_gtu, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u7_0Imm, MCK__41_ }, },
    8242             :   { 0 /*  */, Hexagon::M2_cmacs_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8243             :   { 0 /*  */, Hexagon::M2_vcmac_s0_sat_i, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vcmpyi, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8244             :   { 0 /*  */, Hexagon::M2_vcmac_s0_sat_r, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vcmpyr, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8245             :   { 0 /*  */, Hexagon::M2_vdmacs_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8246             :   { 0 /*  */, Hexagon::M5_vdmacbsu, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_HasV5, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vdmpybsu, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8247             :   { 0 /*  */, Hexagon::M2_vmac2es_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyeh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8248             :   { 0 /*  */, Hexagon::M2_vmac2s_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8249             :   { 0 /*  */, Hexagon::M2_vmac2su_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyhsu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8250             :   { 0 /*  */, Hexagon::M2_mmacls_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8251             :   { 0 /*  */, Hexagon::M2_mmaculs_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyweuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8252             :   { 0 /*  */, Hexagon::M2_mmachs_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8253             :   { 0 /*  */, Hexagon::M2_mmacuhs_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpywouh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8254             :   { 0 /*  */, Hexagon::S4_vrcrotate_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__u2_0Imm1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcrotate, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    8255             :   { 0 /*  */, Hexagon::M2_cnacs_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8256             :   { 0 /*  */, Hexagon::A4_addp_c, Convert__Reg1_0__Reg1_6__Reg1_4__Reg1_5__Tie1_0_0, 0, { MCK_DoubleRegs, MCK__61_, MCK_add, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK_PredRegs, MCK__41_, MCK__COLON_, MCK_carry }, },
    8257             :   { 0 /*  */, Hexagon::S2_asr_i_p_rnd, Convert__Reg1_0__Reg1_4__u6_0Imm1_6, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_asr, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8258             :   { 0 /*  */, Hexagon::M2_cmpysc_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK_sat }, },
    8259             :   { 0 /*  */, Hexagon::S4_extractp, Convert__Reg1_0__Reg1_4__u6_0Imm1_6__u6_0Imm1_8, 0, { MCK_DoubleRegs, MCK__61_, MCK_extract, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8260             :   { 0 /*  */, Hexagon::S2_extractup, Convert__Reg1_0__Reg1_4__u6_0Imm1_6__u6_0Imm1_8, 0, { MCK_DoubleRegs, MCK__61_, MCK_extractu, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8261             :   { 0 /*  */, Hexagon::S2_insertp, Convert__Reg1_0__Tie0_0_0__Reg1_4__u6_0Imm1_6__u6_0Imm1_8, 0, { MCK_DoubleRegs, MCK__61_, MCK_insert, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u6_0Imm, MCK__35_, MCK_u6_0Imm, MCK__41_ }, },
    8262             :   { 0 /*  */, Hexagon::L2_loadalignb_pi, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_0Imm1_8, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    8263             :   { 0 /*  */, Hexagon::L2_loadbsw4_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_ }, },
    8264             :   { 0 /*  */, Hexagon::L2_loadrd_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_3Imm1_8, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_ }, },
    8265             :   { 0 /*  */, Hexagon::L2_loadalignh_pi, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_1Imm1_8, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    8266             :   { 0 /*  */, Hexagon::L2_loadbzw4_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_ }, },
    8267             :   { 0 /*  */, Hexagon::A4_subp_c, Convert__Reg1_0__Reg1_6__Reg1_4__Reg1_5__Tie1_0_0, 0, { MCK_DoubleRegs, MCK__61_, MCK_sub, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK_PredRegs, MCK__41_, MCK__COLON_, MCK_carry }, },
    8268             :   { 0 /*  */, Hexagon::S5_vasrhrnd, Convert__Reg1_0__Reg1_4__u4_0Imm1_6, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_vasrh, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u4_0Imm, MCK__41_, MCK__COLON_, MCK_raw }, },
    8269             :   { 0 /*  */, Hexagon::S5_vasrhrnd_goodsyntax, Convert__Reg1_0__Reg1_4__u4_0Imm1_6, Feature_HasV5, { MCK_DoubleRegs, MCK__61_, MCK_vasrh, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u4_0Imm, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8270             :   { 0 /*  */, Hexagon::V6_vmpyhsat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8271             :   { 0 /*  */, Hexagon::V6_vrmpybusi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__u1_0Imm1_8, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vrmpybus, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8272             :   { 0 /*  */, Hexagon::V6_vrmpyubi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__u1_0Imm1_8, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vrmpyub, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8273             :   { 0 /*  */, Hexagon::V6_vrsadubi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__u1_0Imm1_8, Feature_UseHVX, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vrsadub, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8274             :   { 0 /*  */, Hexagon::V6_vsb, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsxt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8275             :   { 0 /*  */, Hexagon::V6_vunpackb, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vunpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8276             :   { 0 /*  */, Hexagon::V6_vunpackub, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vunpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8277             :   { 0 /*  */, Hexagon::V6_vzb, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vzxt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8278             :   { 0 /*  */, Hexagon::V6_vunpackuh, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vunpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8279             :   { 0 /*  */, Hexagon::V6_vzh, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vzxt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8280             :   { 0 /*  */, Hexagon::V6_vsh, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vsxt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8281             :   { 0 /*  */, Hexagon::V6_vunpackh, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vunpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8282             :   { 0 /*  */, Hexagon::F2_sffma_lib, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_HasV5, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_sfmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_lib }, },
    8283             :   { 0 /*  */, Hexagon::F2_sffms_lib, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_HasV5, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_sfmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_lib }, },
    8284             :   { 0 /*  */, Hexagon::A4_rcmpneq, Convert__Reg1_0__Reg1_7__Reg1_8, 0, { MCK_IntRegs, MCK__61_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    8285             :   { 0 /*  */, Hexagon::S2_asl_i_r_sat, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__COLON_, MCK_sat }, },
    8286             :   { 0 /*  */, Hexagon::S2_asr_i_r_rnd, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_asr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8287             :   { 0 /*  */, Hexagon::A4_rcmpeqi, Convert__Reg1_0__Reg1_6__s32_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8288             :   { 0 /*  */, Hexagon::S4_extract, Convert__Reg1_0__Reg1_4__u5_0Imm1_6__u5_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_extract, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8289             :   { 0 /*  */, Hexagon::S2_extractu, Convert__Reg1_0__Reg1_4__u5_0Imm1_6__u5_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_extractu, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8290             :   { 0 /*  */, Hexagon::S2_insert, Convert__Reg1_0__Tie0_0_0__Reg1_4__u5_0Imm1_6__u5_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_insert, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8291             :   { 0 /*  */, Hexagon::L2_loadrb_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    8292             :   { 0 /*  */, Hexagon::L2_loadbsw2_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    8293             :   { 0 /*  */, Hexagon::L2_loadrh_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    8294             :   { 0 /*  */, Hexagon::L2_loadrub_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    8295             :   { 0 /*  */, Hexagon::L2_loadbzw2_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    8296             :   { 0 /*  */, Hexagon::L2_loadruh_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    8297             :   { 0 /*  */, Hexagon::L2_loadri_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_ }, },
    8298             :   { 0 /*  */, Hexagon::C2_muxii, Convert__Reg1_0__Reg1_4__s32_0Imm1_6__s8_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_mux, MCK__40_, MCK_PredRegs, MCK__35_, MCK_s32_0Imm, MCK__35_, MCK_s8_0Imm, MCK__41_ }, },
    8299             :   { 0 /*  */, Hexagon::A4_round_ri_sat, Convert__Reg1_0__Reg1_4__u5_0Imm1_6, 0, { MCK_IntRegs, MCK__61_, MCK_round, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__COLON_, MCK_sat }, },
    8300             :   { 0 /*  */, Hexagon::S2_tableidxb, Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__u5_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_tableidxb, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u4_0Imm, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8301             :   { 0 /*  */, Hexagon::S2_tableidxd_goodsyntax, Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__u5_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_tableidxd, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u4_0Imm, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8302             :   { 0 /*  */, Hexagon::S2_tableidxh_goodsyntax, Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__u5_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_tableidxh, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u4_0Imm, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8303             :   { 0 /*  */, Hexagon::S2_tableidxw_goodsyntax, Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__u5_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_tableidxw, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u4_0Imm, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    8304             :   { 0 /*  */, Hexagon::S5_asrhub_rnd_sat, Convert__Reg1_0__Reg1_4__u4_0Imm1_6, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_vasrhub, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u4_0Imm, MCK__41_, MCK__COLON_, MCK_raw }, },
    8305             :   { 0 /*  */, Hexagon::S5_asrhub_sat, Convert__Reg1_0__Reg1_4__u4_0Imm1_6, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_vasrhub, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u4_0Imm, MCK__41_, MCK__COLON_, MCK_sat }, },
    8306             :   { 0 /*  */, Hexagon::V6_vdmpyhisat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vdmpyh, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8307             :   { 0 /*  */, Hexagon::V6_vdmpyhsat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vdmpyh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8308             :   { 0 /*  */, Hexagon::V6_vdmpyhvsat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vdmpyh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK_sat }, },
    8309             :   { 0 /*  */, Hexagon::V6_vdmpyhsusat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vdmpyhsu, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat }, },
    8310             :   { 0 /*  */, Hexagon::V6_vabsb, Convert__Reg1_0__Reg1_6, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8311             :   { 0 /*  */, Hexagon::V6_vdealb, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vdeal, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8312             :   { 0 /*  */, Hexagon::V6_vshuffb, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vshuff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8313             :   { 0 /*  */, Hexagon::V6_vabsh, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8314             :   { 0 /*  */, Hexagon::V6_vdealh, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vdeal, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8315             :   { 0 /*  */, Hexagon::V6_vnormamth, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vnormamt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8316             :   { 0 /*  */, Hexagon::V6_vpopcounth, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vpopcount, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8317             :   { 0 /*  */, Hexagon::V6_vshuffh, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vshuff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8318             :   { 0 /*  */, Hexagon::V6_vabsb, Convert__Reg1_0__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8319             :   { 0 /*  */, Hexagon::V6_vabsh, Convert__Reg1_0__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8320             :   { 0 /*  */, Hexagon::V6_vcl0h, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vcl0, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8321             :   { 0 /*  */, Hexagon::V6_vabsw, Convert__Reg1_0__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8322             :   { 0 /*  */, Hexagon::V6_vcl0w, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vcl0, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8323             :   { 0 /*  */, Hexagon::V6_vabsw, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8324             :   { 0 /*  */, Hexagon::V6_vnormamtw, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vnormamt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8325             :   { 0 /*  */, Hexagon::V6_vasrhubsat, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_HvxVR, MCK__61_, MCK_vasrhub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8326             :   { 0 /*  */, Hexagon::V6_vasrwhsat, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_HvxVR, MCK__61_, MCK_vasrwh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8327             :   { 0 /*  */, Hexagon::V6_vasrwuhsat, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_HvxVR, MCK__61_, MCK_vasrwuh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8328             :   { 0 /*  */, Hexagon::V6_vL32b_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s3_0Imm1_8, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    8329             :   { 0 /*  */, Hexagon::V6_vL32Ub_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s3_0Imm1_8, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    8330             :   { 0 /*  */, Hexagon::C4_cmpneqi, Convert__Reg1_0__Reg1_7__s32_0Imm1_9, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8331             :   { 0 /*  */, Hexagon::C4_cmpltei, Convert__Reg1_0__Reg1_7__s32_0Imm1_9, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8332             :   { 0 /*  */, Hexagon::C4_cmplteui, Convert__Reg1_0__Reg1_7__u32_0Imm1_9, 0, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8333             :   { 0 /*  */, Hexagon::C4_and_and, Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_8, 0, { MCK_PredRegs, MCK__61_, MCK_and, MCK__40_, MCK_PredRegs, MCK_and, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_, MCK__41_ }, },
    8334             :   { 0 /*  */, Hexagon::C4_and_or, Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_8, 0, { MCK_PredRegs, MCK__61_, MCK_and, MCK__40_, MCK_PredRegs, MCK_or, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_, MCK__41_ }, },
    8335             :   { 0 /*  */, Hexagon::A4_boundscheck_hi, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_boundscheck, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_hi }, },
    8336             :   { 0 /*  */, Hexagon::A4_boundscheck_lo, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_PredRegs, MCK__61_, MCK_boundscheck, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_lo }, },
    8337             :   { 0 /*  */, Hexagon::C4_or_and, Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_8, 0, { MCK_PredRegs, MCK__61_, MCK_or, MCK__40_, MCK_PredRegs, MCK_and, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_, MCK__41_ }, },
    8338             :   { 0 /*  */, Hexagon::C4_or_or, Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_8, 0, { MCK_PredRegs, MCK__61_, MCK_or, MCK__40_, MCK_PredRegs, MCK_or, MCK__40_, MCK_PredRegs, MCK_PredRegs, MCK__41_, MCK__41_ }, },
    8339             :   { 0 /*  */, Hexagon::M2_cmacsc_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK_sat }, },
    8340             :   { 0 /*  */, Hexagon::M2_cnacsc_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK_sat }, },
    8341             :   { 0 /*  */, Hexagon::A2_addsph, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_add, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_hi }, },
    8342             :   { 0 /*  */, Hexagon::A2_addspl, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_add, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_lo }, },
    8343             :   { 0 /*  */, Hexagon::L2_loadalignb_pbr, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8344             :   { 0 /*  */, Hexagon::L2_loadbsw4_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8345             :   { 0 /*  */, Hexagon::L2_loadrd_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8346             :   { 0 /*  */, Hexagon::L2_loadalignh_pbr, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8347             :   { 0 /*  */, Hexagon::L2_loadbzw4_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8348             :   { 0 /*  */, Hexagon::M2_mpyd_hh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8349             :   { 0 /*  */, Hexagon::M2_mpyd_hl_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8350             :   { 0 /*  */, Hexagon::M2_mpyd_lh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8351             :   { 0 /*  */, Hexagon::M2_mpyd_ll_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8352             :   { 0 /*  */, Hexagon::M2_mpyud_hh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8353             :   { 0 /*  */, Hexagon::M2_mpyud_hl_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8354             :   { 0 /*  */, Hexagon::M2_mpyud_lh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8355             :   { 0 /*  */, Hexagon::M2_mpyud_ll_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8356             :   { 0 /*  */, Hexagon::M2_mmpyl_rs0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8357             :   { 0 /*  */, Hexagon::M2_mmpyul_rs0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyweuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8358             :   { 0 /*  */, Hexagon::M2_mmpyh_rs0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8359             :   { 0 /*  */, Hexagon::M2_mmpyuh_rs0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpywouh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8360             :   { 0 /*  */, Hexagon::A2_vnavghcr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vnavgh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_crnd, MCK__COLON_, MCK_sat }, },
    8361             :   { 0 /*  */, Hexagon::A2_vnavghr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vnavgh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8362             :   { 0 /*  */, Hexagon::A2_vnavgwcr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vnavgw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_crnd, MCK__COLON_, MCK_sat }, },
    8363             :   { 0 /*  */, Hexagon::A2_vnavgwr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vnavgw, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8364             :   { 0 /*  */, Hexagon::M4_vrmpyeh_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8365             :   { 0 /*  */, Hexagon::M4_vrmpyoh_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8366             :   { 0 /*  */, Hexagon::V6_vunpackob, Convert__Reg1_0__Tie0_0_0__Reg1_7, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__124_, MCK__61_, MCK_vunpacko, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8367             :   { 0 /*  */, Hexagon::V6_vunpackoh, Convert__Reg1_0__Tie0_0_0__Reg1_7, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__124_, MCK__61_, MCK_vunpacko, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8368             :   { 0 /*  */, Hexagon::V6_vmpyewuh_64, Convert__Reg1_0__Reg1_4__Reg1_7, Feature_UseHVXV62, { MCK_HvxWR, MCK__61_, MCK_vmpye, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8369             :   { 0 /*  */, Hexagon::F2_sffma_sc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6__Reg1_7, Feature_HasV5, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_sfmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK_PredRegs, MCK__41_, MCK__COLON_, MCK_scale }, },
    8370             :   { 0 /*  */, Hexagon::A4_rcmpneqi, Convert__Reg1_0__Reg1_7__s32_0Imm1_9, 0, { MCK_IntRegs, MCK__61_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    8371             :   { 0 /*  */, Hexagon::S4_clbpaddi, Convert__Reg1_0__Reg1_6__s6_0Imm1_9, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_clb, MCK__40_, MCK_DoubleRegs, MCK__41_, MCK__35_, MCK_s6_0Imm, MCK__41_ }, },
    8372             :   { 0 /*  */, Hexagon::S4_clbaddi, Convert__Reg1_0__Reg1_6__s6_0Imm1_9, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_clb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__35_, MCK_s6_0Imm, MCK__41_ }, },
    8373             :   { 0 /*  */, Hexagon::A2_addh_l16_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8374             :   { 0 /*  */, Hexagon::A2_addh_l16_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8375             :   { 0 /*  */, Hexagon::M4_mpyrr_addr, Convert__Reg1_0__Reg1_4__Tie0_0_7__Reg1_8, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__41_ }, },
    8376             :   { 0 /*  */, Hexagon::dep_A2_addsat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_deprecated }, },
    8377             :   { 0 /*  */, Hexagon::M2_cmpyrs_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8378             :   { 0 /*  */, Hexagon::A2_combine_hh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8379             :   { 0 /*  */, Hexagon::A2_combine_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8380             :   { 0 /*  */, Hexagon::A2_combine_lh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8381             :   { 0 /*  */, Hexagon::A2_combine_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8382             :   { 0 /*  */, Hexagon::L2_loadrb_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8383             :   { 0 /*  */, Hexagon::L2_loadbsw2_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8384             :   { 0 /*  */, Hexagon::L2_loadrh_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8385             :   { 0 /*  */, Hexagon::L2_loadrub_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8386             :   { 0 /*  */, Hexagon::L2_loadbzw2_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8387             :   { 0 /*  */, Hexagon::L2_loadruh_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8388             :   { 0 /*  */, Hexagon::L2_loadri_pbr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_ }, },
    8389             :   { 0 /*  */, Hexagon::M2_mpy_hh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8390             :   { 0 /*  */, Hexagon::M2_mpy_hl_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8391             :   { 0 /*  */, Hexagon::M2_mpy_lh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8392             :   { 0 /*  */, Hexagon::M2_mpy_ll_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8393             :   { 0 /*  */, Hexagon::M2_mpy_up_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8394             :   { 0 /*  */, Hexagon::M2_mpyu_hh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8395             :   { 0 /*  */, Hexagon::M2_mpyu_hl_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8396             :   { 0 /*  */, Hexagon::M2_mpyu_lh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8397             :   { 0 /*  */, Hexagon::M2_mpyu_ll_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8398             :   { 0 /*  */, Hexagon::A2_subh_l16_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8399             :   { 0 /*  */, Hexagon::A2_subh_l16_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8400             :   { 0 /*  */, Hexagon::dep_A2_subsat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_deprecated }, },
    8401             :   { 0 /*  */, Hexagon::M2_vdmpyrs_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vdmpy, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8402             :   { 0 /*  */, Hexagon::M2_vmpy2s_s0pack, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8403             :   { 0 /*  */, Hexagon::V6_vL32b_cur_ai, Convert__Reg1_0__Reg1_6__s4_0Imm1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    8404             :   { 0 /*  */, Hexagon::V6_vL32b_cur_ppu, Convert__Reg1_0__Reg1_6__Tie1_0_0__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8405             :   { 0 /*  */, Hexagon::V6_vaslh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vasl, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__41_ }, },
    8406             :   { 0 /*  */, Hexagon::V6_vasrh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__41_ }, },
    8407             :   { 0 /*  */, Hexagon::V6_vL32b_tmp_ai, Convert__Reg1_0__Reg1_6__s4_0Imm1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    8408             :   { 0 /*  */, Hexagon::V6_vL32b_tmp_ppu, Convert__Reg1_0__Reg1_6__Tie1_0_0__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    8409             :   { 0 /*  */, Hexagon::V6_vlsrb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vlsr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__41_ }, },
    8410             :   { 0 /*  */, Hexagon::V6_vlsrh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vlsr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__41_ }, },
    8411             :   { 0 /*  */, Hexagon::V6_vlsrw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vlsr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_IntRegs, MCK__41_ }, },
    8412             :   { 0 /*  */, Hexagon::V6_vaslw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vasl, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__41_ }, },
    8413             :   { 0 /*  */, Hexagon::V6_vasrw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__41_ }, },
    8414             :   { 0 /*  */, Hexagon::V6_vdmpyhsuisat, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vdmpyhsu, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__35_, MCK_1, MCK__41_, MCK__COLON_, MCK_sat }, },
    8415             :   { 0 /*  */, Hexagon::V6_vL32b_nt_ai, Convert__Reg1_0__Reg1_4__s4_0Imm1_7, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    8416             :   { 0 /*  */, Hexagon::V6_vL32b_nt_ppu, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_7, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    8417             :   { 0 /*  */, Hexagon::C4_and_andn, Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_9, 0, { MCK_PredRegs, MCK__61_, MCK_and, MCK__40_, MCK_PredRegs, MCK_and, MCK__40_, MCK_PredRegs, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK__41_ }, },
    8418             :   { 0 /*  */, Hexagon::C4_and_orn, Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_9, 0, { MCK_PredRegs, MCK__61_, MCK_and, MCK__40_, MCK_PredRegs, MCK_or, MCK__40_, MCK_PredRegs, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK__41_ }, },
    8419             :   { 0 /*  */, Hexagon::A4_vcmpbeq_any, Convert__Reg1_0__Reg1_8__Reg1_9, 0, { MCK_PredRegs, MCK__61_, MCK_any8, MCK__40_, MCK_vcmpb, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__41_ }, },
    8420             :   { 0 /*  */, Hexagon::C4_or_andn, Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_9, 0, { MCK_PredRegs, MCK__61_, MCK_or, MCK__40_, MCK_PredRegs, MCK_and, MCK__40_, MCK_PredRegs, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK__41_ }, },
    8421             :   { 0 /*  */, Hexagon::C4_or_orn, Convert__Reg1_0__Reg1_4__Reg1_7__Reg1_9, 0, { MCK_PredRegs, MCK__61_, MCK_or, MCK__40_, MCK_PredRegs, MCK_or, MCK__40_, MCK_PredRegs, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK__41_ }, },
    8422             :   { 0 /*  */, Hexagon::M2_mpyd_acc_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8423             :   { 0 /*  */, Hexagon::M2_mpyd_acc_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8424             :   { 0 /*  */, Hexagon::M2_mpyd_acc_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8425             :   { 0 /*  */, Hexagon::M2_mpyd_acc_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8426             :   { 0 /*  */, Hexagon::M2_mpyud_acc_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8427             :   { 0 /*  */, Hexagon::M2_mpyud_acc_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8428             :   { 0 /*  */, Hexagon::M2_mpyud_acc_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8429             :   { 0 /*  */, Hexagon::M2_mpyud_acc_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8430             :   { 0 /*  */, Hexagon::M2_mmacls_rs0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8431             :   { 0 /*  */, Hexagon::M2_mmaculs_rs0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyweuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8432             :   { 0 /*  */, Hexagon::M2_mmachs_rs0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8433             :   { 0 /*  */, Hexagon::M2_mmacuhs_rs0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpywouh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8434             :   { 0 /*  */, Hexagon::M4_vrmpyeh_acc_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8435             :   { 0 /*  */, Hexagon::M4_vrmpyoh_acc_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8436             :   { 0 /*  */, Hexagon::M2_mpyd_nac_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8437             :   { 0 /*  */, Hexagon::M2_mpyd_nac_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8438             :   { 0 /*  */, Hexagon::M2_mpyd_nac_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8439             :   { 0 /*  */, Hexagon::M2_mpyd_nac_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8440             :   { 0 /*  */, Hexagon::M2_mpyud_nac_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8441             :   { 0 /*  */, Hexagon::M2_mpyud_nac_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8442             :   { 0 /*  */, Hexagon::M2_mpyud_nac_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8443             :   { 0 /*  */, Hexagon::M2_mpyud_nac_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8444             :   { 0 /*  */, Hexagon::L4_loadrd_rr, Convert__Reg1_0__Reg1_4__Reg1_6__u2_0Imm1_10, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    8445             :   { 0 /*  */, Hexagon::V6_vmpyowh_64_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, Feature_UseHVXV62, { MCK_HvxWR, MCK__43_, MCK__61_, MCK_vmpyo, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8446             :   { 0 /*  */, Hexagon::M2_mpy_acc_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8447             :   { 0 /*  */, Hexagon::M2_mpy_acc_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8448             :   { 0 /*  */, Hexagon::M2_mpy_acc_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8449             :   { 0 /*  */, Hexagon::M2_mpy_acc_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8450             :   { 0 /*  */, Hexagon::M2_mpyu_acc_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8451             :   { 0 /*  */, Hexagon::M2_mpyu_acc_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8452             :   { 0 /*  */, Hexagon::M2_mpyu_acc_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8453             :   { 0 /*  */, Hexagon::M2_mpyu_acc_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8454             :   { 0 /*  */, Hexagon::M2_mpy_nac_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8455             :   { 0 /*  */, Hexagon::M2_mpy_nac_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8456             :   { 0 /*  */, Hexagon::M2_mpy_nac_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8457             :   { 0 /*  */, Hexagon::M2_mpy_nac_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8458             :   { 0 /*  */, Hexagon::M2_mpyu_nac_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8459             :   { 0 /*  */, Hexagon::M2_mpyu_nac_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8460             :   { 0 /*  */, Hexagon::M2_mpyu_nac_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8461             :   { 0 /*  */, Hexagon::M2_mpyu_nac_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_ }, },
    8462             :   { 0 /*  */, Hexagon::M4_mpyrr_addi, Convert__Reg1_0__u32_0Imm1_5__Reg1_8__Reg1_9, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__41_ }, },
    8463             :   { 0 /*  */, Hexagon::S4_addaddi, Convert__Reg1_0__Reg1_4__Reg1_7__s32_0Imm1_9, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_add, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_, MCK__41_ }, },
    8464             :   { 0 /*  */, Hexagon::M4_mpyri_addr_u2, Convert__Reg1_0__Reg1_4__u6_2Imm1_8__Reg1_9, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_mpyi, MCK__40_, MCK__35_, MCK_u6_2Imm, MCK_IntRegs, MCK__41_, MCK__41_ }, },
    8465             :   { 0 /*  */, Hexagon::M4_mpyri_addr, Convert__Reg1_0__Reg1_4__Reg1_7__u32_0Imm1_9, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__41_ }, },
    8466             :   { 0 /*  */, Hexagon::S4_subaddi, Convert__Reg1_0__Reg1_4__s32_0Imm1_8__Reg1_9, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_sub, MCK__40_, MCK__35_, MCK_s32_0Imm, MCK_IntRegs, MCK__41_, MCK__41_ }, },
    8467             :   { 0 /*  */, Hexagon::M2_cmpyrsc_s0, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8468             :   { 0 /*  */, Hexagon::L4_loadrb_rr, Convert__Reg1_0__Reg1_4__Reg1_6__u2_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    8469             :   { 0 /*  */, Hexagon::L4_loadrh_rr, Convert__Reg1_0__Reg1_4__Reg1_6__u2_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    8470             :   { 0 /*  */, Hexagon::L4_loadrub_rr, Convert__Reg1_0__Reg1_4__Reg1_6__u2_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    8471             :   { 0 /*  */, Hexagon::L4_loadruh_rr, Convert__Reg1_0__Reg1_4__Reg1_6__u2_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    8472             :   { 0 /*  */, Hexagon::L4_loadri_rr, Convert__Reg1_0__Reg1_4__Reg1_6__u2_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    8473             :   { 0 /*  */, Hexagon::S4_or_andix, Convert__Reg1_0__Reg1_4__Tie0_0_7__s32_0Imm1_9, 0, { MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_and, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_, MCK__41_ }, },
    8474             :   { 0 /*  */, Hexagon::S2_tableidxb, Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__s6_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_tableidxb, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u4_0Imm, MCK__35_, MCK_s6_0Imm, MCK__41_, MCK__COLON_, MCK_raw }, },
    8475             :   { 0 /*  */, Hexagon::S2_tableidxd, Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__s6_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_tableidxd, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u4_0Imm, MCK__35_, MCK_s6_0Imm, MCK__41_, MCK__COLON_, MCK_raw }, },
    8476             :   { 0 /*  */, Hexagon::S2_tableidxh, Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__s6_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_tableidxh, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u4_0Imm, MCK__35_, MCK_s6_0Imm, MCK__41_, MCK__COLON_, MCK_raw }, },
    8477             :   { 0 /*  */, Hexagon::S2_tableidxw, Convert__Reg1_0__Tie0_0_0__Reg1_4__u4_0Imm1_6__s6_0Imm1_8, 0, { MCK_IntRegs, MCK__61_, MCK_tableidxw, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u4_0Imm, MCK__35_, MCK_s6_0Imm, MCK__41_, MCK__COLON_, MCK_raw }, },
    8478             :   { 0 /*  */, Hexagon::S5_asrhub_rnd_sat_goodsyntax, Convert__Reg1_0__Reg1_4__u4_0Imm1_6, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_vasrhub, MCK__40_, MCK_DoubleRegs, MCK__35_, MCK_u4_0Imm, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8479             :   { 0 /*  */, Hexagon::V6_vdmpyhsuisat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVX, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vdmpyhsu, MCK__40_, MCK_HvxWR, MCK_IntRegs, MCK__35_, MCK_1, MCK__41_, MCK__COLON_, MCK_sat }, },
    8480             :   { 0 /*  */, Hexagon::V6_vabsb_sat, Convert__Reg1_0__Reg1_6, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
    8481             :   { 0 /*  */, Hexagon::V6_vL32b_cur_pi, Convert__Reg1_0__Reg1_6__Tie1_0_0__s3_0Imm1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    8482             :   { 0 /*  */, Hexagon::V6_vaslh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vasl, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__41_ }, },
    8483             :   { 0 /*  */, Hexagon::V6_vasrh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__41_ }, },
    8484             :   { 0 /*  */, Hexagon::V6_vabsh_sat, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8485             :   { 0 /*  */, Hexagon::V6_vL32b_tmp_pi, Convert__Reg1_0__Reg1_6__Tie1_0_0__s3_0Imm1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    8486             :   { 0 /*  */, Hexagon::V6_vaslw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vasl, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__41_ }, },
    8487             :   { 0 /*  */, Hexagon::V6_vasrw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__41_ }, },
    8488             :   { 0 /*  */, Hexagon::V6_vabsw_sat, Convert__Reg1_0__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vabs, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8489             :   { 0 /*  */, Hexagon::V6_vasrhbrndsat, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_HvxVR, MCK__61_, MCK_vasrhb, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8490             :   { 0 /*  */, Hexagon::V6_vasrhubrndsat, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_HvxVR, MCK__61_, MCK_vasrhub, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8491             :   { 0 /*  */, Hexagon::V6_vasrwhrndsat, Convert__Reg1_0__Reg1_4__Reg1_5__Reg1_6, 0, { MCK_HvxVR, MCK__61_, MCK_vasrwh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8492             :   { 0 /*  */, Hexagon::V6_vL32b_nt_pi, Convert__Reg1_0__Reg1_4__Tie1_0_0__s3_0Imm1_8, Feature_UseHVXV60, { MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    8493             :   { 0 /*  */, Hexagon::V6_shuffeqh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxQR, MCK__DOT_, MCK_b, MCK__61_, MCK_vshuffe, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_h, MCK_HvxQR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8494             :   { 0 /*  */, Hexagon::V6_shuffeqw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxQR, MCK__DOT_, MCK_h, MCK__61_, MCK_vshuffe, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_w, MCK_HvxQR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8495             :   { 0 /*  */, Hexagon::V6_vandvrt, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVX, { MCK_HvxQR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8496             :   { 0 /*  */, Hexagon::V6_veqb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8497             :   { 0 /*  */, Hexagon::V6_veqh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8498             :   { 0 /*  */, Hexagon::V6_veqb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVX, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8499             :   { 0 /*  */, Hexagon::V6_veqh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVX, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8500             :   { 0 /*  */, Hexagon::V6_veqw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVX, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8501             :   { 0 /*  */, Hexagon::V6_veqw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8502             :   { 0 /*  */, Hexagon::V6_vgtb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8503             :   { 0 /*  */, Hexagon::V6_vgth, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8504             :   { 0 /*  */, Hexagon::V6_vgtub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8505             :   { 0 /*  */, Hexagon::V6_vgtuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8506             :   { 0 /*  */, Hexagon::V6_vgtuw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8507             :   { 0 /*  */, Hexagon::V6_vgtw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxQR, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8508             :   { 0 /*  */, Hexagon::A6_vcmpbeq_notany, Convert__Reg1_0__Reg1_9__Reg1_10, Feature_HasV65, { MCK_PredRegs, MCK__61_, MCK__EXCLAIM_, MCK_any8, MCK__40_, MCK_vcmpb, MCK__DOT_, MCK_eq, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__41_ }, },
    8509             :   { 0 /*  */, Hexagon::M2_cmpys_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8510             :   { 0 /*  */, Hexagon::L4_loadalignb_ur, Convert__Reg1_0__Tie0_0_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8511             :   { 0 /*  */, Hexagon::L4_loadbsw4_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8512             :   { 0 /*  */, Hexagon::L4_loadrd_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8513             :   { 0 /*  */, Hexagon::L4_loadalignh_ur, Convert__Reg1_0__Tie0_0_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8514             :   { 0 /*  */, Hexagon::L4_loadbzw4_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8515             :   { 0 /*  */, Hexagon::M2_mpyd_rnd_hh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8516             :   { 0 /*  */, Hexagon::M2_mpyd_rnd_hl_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8517             :   { 0 /*  */, Hexagon::M2_mpyd_rnd_lh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8518             :   { 0 /*  */, Hexagon::M2_mpyd_rnd_ll_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8519             :   { 0 /*  */, Hexagon::M2_vcmpy_s1_sat_i, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vcmpyi, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8520             :   { 0 /*  */, Hexagon::M2_vcmpy_s1_sat_r, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vcmpyr, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8521             :   { 0 /*  */, Hexagon::M2_vdmpys_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vdmpy, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8522             :   { 0 /*  */, Hexagon::M2_vmpy2es_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyeh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8523             :   { 0 /*  */, Hexagon::M2_vmpy2s_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8524             :   { 0 /*  */, Hexagon::M2_vmpy2su_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyhsu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8525             :   { 0 /*  */, Hexagon::M2_mmpyl_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8526             :   { 0 /*  */, Hexagon::M2_mmpyul_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyweuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8527             :   { 0 /*  */, Hexagon::M2_mmpyh_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8528             :   { 0 /*  */, Hexagon::M2_mmpyuh_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpywouh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8529             :   { 0 /*  */, Hexagon::M2_vrcmpys_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8530             :   { 0 /*  */, Hexagon::V6_vaddb_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_b, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_b, MCK_HvxWR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8531             :   { 0 /*  */, Hexagon::V6_vshufoeb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_b, MCK__61_, MCK_vshuffoe, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8532             :   { 0 /*  */, Hexagon::V6_vsubb_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_b, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_b, MCK_HvxWR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8533             :   { 0 /*  */, Hexagon::V6_vaddh_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_HvxWR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8534             :   { 0 /*  */, Hexagon::V6_vaddubh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8535             :   { 0 /*  */, Hexagon::V6_vdmpybus_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8536             :   { 0 /*  */, Hexagon::V6_vmpabusv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8537             :   { 0 /*  */, Hexagon::V6_vmpabuuv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8538             :   { 0 /*  */, Hexagon::V6_vmpabus, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8539             :   { 0 /*  */, Hexagon::V6_vmpabuu, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8540             :   { 0 /*  */, Hexagon::V6_vmpybv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8541             :   { 0 /*  */, Hexagon::V6_vmpybus, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8542             :   { 0 /*  */, Hexagon::V6_vmpybusv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8543             :   { 0 /*  */, Hexagon::V6_vshufoeh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vshuffoe, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8544             :   { 0 /*  */, Hexagon::V6_vsubh_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_HvxWR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8545             :   { 0 /*  */, Hexagon::V6_vsububh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8546             :   { 0 /*  */, Hexagon::V6_vtmpyb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vtmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_b, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8547             :   { 0 /*  */, Hexagon::V6_vtmpybus, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vtmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8548             :   { 0 /*  */, Hexagon::V6_vmpyub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8549             :   { 0 /*  */, Hexagon::V6_vmpyubv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8550             :   { 0 /*  */, Hexagon::V6_vdsaduh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vdsad, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8551             :   { 0 /*  */, Hexagon::V6_vmpyuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8552             :   { 0 /*  */, Hexagon::V6_vmpyuhv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8553             :   { 0 /*  */, Hexagon::V6_vrmpyub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8554             :   { 0 /*  */, Hexagon::V6_vrmpyub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8555             :   { 0 /*  */, Hexagon::V6_vaddw_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_w, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8556             :   { 0 /*  */, Hexagon::V6_vaddhw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8557             :   { 0 /*  */, Hexagon::V6_vadduhw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8558             :   { 0 /*  */, Hexagon::V6_vdmpyhb_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8559             :   { 0 /*  */, Hexagon::V6_vmpahb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8560             :   { 0 /*  */, Hexagon::V6_vmpauhb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8561             :   { 0 /*  */, Hexagon::V6_vmpyh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8562             :   { 0 /*  */, Hexagon::V6_vmpyhv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8563             :   { 0 /*  */, Hexagon::V6_vmpyhus, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8564             :   { 0 /*  */, Hexagon::V6_vrmpybub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8565             :   { 0 /*  */, Hexagon::V6_vrmpybub_rtt, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8566             :   { 0 /*  */, Hexagon::V6_vsubw_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_w, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8567             :   { 0 /*  */, Hexagon::V6_vsubhw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8568             :   { 0 /*  */, Hexagon::V6_vsubuhw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8569             :   { 0 /*  */, Hexagon::V6_vtmpyhb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vtmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8570             :   { 0 /*  */, Hexagon::S4_addi_asl_ri, Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_ }, },
    8571             :   { 0 /*  */, Hexagon::S4_addi_lsr_ri, Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_ }, },
    8572             :   { 0 /*  */, Hexagon::M4_mpyri_addi, Convert__Reg1_0__u32_0Imm1_5__Reg1_8__u6_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_mpyi, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u6_0Imm, MCK__41_, MCK__41_ }, },
    8573             :   { 0 /*  */, Hexagon::A2_addh_l16_sat_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8574             :   { 0 /*  */, Hexagon::A2_addh_l16_sat_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat }, },
    8575             :   { 0 /*  */, Hexagon::S4_andi_asl_ri, Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_ }, },
    8576             :   { 0 /*  */, Hexagon::S4_andi_lsr_ri, Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_ }, },
    8577             :   { 0 /*  */, Hexagon::L4_loadrb_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8578             :   { 0 /*  */, Hexagon::L4_loadbsw2_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8579             :   { 0 /*  */, Hexagon::L4_loadrh_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8580             :   { 0 /*  */, Hexagon::L4_loadrub_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8581             :   { 0 /*  */, Hexagon::L4_loadbzw2_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8582             :   { 0 /*  */, Hexagon::L4_loadruh_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8583             :   { 0 /*  */, Hexagon::L4_loadri_ur, Convert__Reg1_0__Reg1_4__u2_0Imm1_8__u32_0Imm1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    8584             :   { 0 /*  */, Hexagon::M2_mpy_rnd_hh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8585             :   { 0 /*  */, Hexagon::M2_mpy_sat_hh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8586             :   { 0 /*  */, Hexagon::M2_mpy_rnd_hl_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8587             :   { 0 /*  */, Hexagon::M2_mpy_sat_hl_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat }, },
    8588             :   { 0 /*  */, Hexagon::M2_mpy_rnd_lh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8589             :   { 0 /*  */, Hexagon::M2_mpy_sat_lh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8590             :   { 0 /*  */, Hexagon::M2_mpy_rnd_ll_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8591             :   { 0 /*  */, Hexagon::M2_mpy_sat_ll_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat }, },
    8592             :   { 0 /*  */, Hexagon::M2_mpy_up_s1_sat, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8593             :   { 0 /*  */, Hexagon::S4_ori_asl_ri, Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_ }, },
    8594             :   { 0 /*  */, Hexagon::S4_ori_lsr_ri, Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_ }, },
    8595             :   { 0 /*  */, Hexagon::S4_subi_asl_ri, Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_asl, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_ }, },
    8596             :   { 0 /*  */, Hexagon::S4_subi_lsr_ri, Convert__Reg1_0__u32_0Imm1_5__Tie0_0_8__u5_0Imm1_10, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK_lsr, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_ }, },
    8597             :   { 0 /*  */, Hexagon::A2_subh_l16_sat_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8598             :   { 0 /*  */, Hexagon::A2_subh_l16_sat_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat }, },
    8599             :   { 0 /*  */, Hexagon::V6_vaddb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8600             :   { 0 /*  */, Hexagon::V6_vavgb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8601             :   { 0 /*  */, Hexagon::V6_vdealb4w, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vdeale, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8602             :   { 0 /*  */, Hexagon::V6_vmaxb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vmax, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8603             :   { 0 /*  */, Hexagon::V6_vminb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vmin, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8604             :   { 0 /*  */, Hexagon::V6_vnavgb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vnavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8605             :   { 0 /*  */, Hexagon::V6_vnavgub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vnavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8606             :   { 0 /*  */, Hexagon::V6_vpackeb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vpacke, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8607             :   { 0 /*  */, Hexagon::V6_vpackob, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vpacko, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8608             :   { 0 /*  */, Hexagon::V6_vshuffeb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vshuffe, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8609             :   { 0 /*  */, Hexagon::V6_vshuffob, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vshuffo, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8610             :   { 0 /*  */, Hexagon::V6_vsubb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8611             :   { 0 /*  */, Hexagon::V6_vL32b_nt_cur_ai, Convert__Reg1_0__Reg1_6__s4_0Imm1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    8612             :   { 0 /*  */, Hexagon::V6_vL32b_nt_cur_ppu, Convert__Reg1_0__Reg1_6__Tie1_0_0__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    8613             :   { 0 /*  */, Hexagon::V6_vaddh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8614             :   { 0 /*  */, Hexagon::V6_vaslhv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vasl, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8615             :   { 0 /*  */, Hexagon::V6_vasrhv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8616             :   { 0 /*  */, Hexagon::V6_vavgh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8617             :   { 0 /*  */, Hexagon::V6_vdmpybus, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8618             :   { 0 /*  */, Hexagon::V6_vlsrhv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vlsr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8619             :   { 0 /*  */, Hexagon::V6_vlut4, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vlut4, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_DoubleRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8620             :   { 0 /*  */, Hexagon::V6_vmaxh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmax, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8621             :   { 0 /*  */, Hexagon::V6_vminh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmin, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8622             :   { 0 /*  */, Hexagon::V6_vmpyihb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8623             :   { 0 /*  */, Hexagon::V6_vmpyih, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8624             :   { 0 /*  */, Hexagon::V6_vnavgh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vnavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8625             :   { 0 /*  */, Hexagon::V6_vpackeh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vpacke, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8626             :   { 0 /*  */, Hexagon::V6_vpackoh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vpacko, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8627             :   { 0 /*  */, Hexagon::V6_vsatwh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsat, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8628             :   { 0 /*  */, Hexagon::V6_vshufeh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vshuffe, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8629             :   { 0 /*  */, Hexagon::V6_vshufoh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vshuffo, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8630             :   { 0 /*  */, Hexagon::V6_vsubh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8631             :   { 0 /*  */, Hexagon::V6_vL32b_nt_tmp_ai, Convert__Reg1_0__Reg1_6__s4_0Imm1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    8632             :   { 0 /*  */, Hexagon::V6_vL32b_nt_tmp_ppu, Convert__Reg1_0__Reg1_6__Tie1_0_0__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    8633             :   { 0 /*  */, Hexagon::V6_vabsdiffub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vabsdiff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8634             :   { 0 /*  */, Hexagon::V6_vandqrt, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8635             :   { 0 /*  */, Hexagon::V6_vavgub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8636             :   { 0 /*  */, Hexagon::V6_vmaxub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vmax, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8637             :   { 0 /*  */, Hexagon::V6_vminub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vmin, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8638             :   { 0 /*  */, Hexagon::V6_vsathub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsat, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8639             :   { 0 /*  */, Hexagon::V6_vabsdiffh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vabsdiff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8640             :   { 0 /*  */, Hexagon::V6_vabsdiffuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vabsdiff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8641             :   { 0 /*  */, Hexagon::V6_vavguh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8642             :   { 0 /*  */, Hexagon::V6_vmaxuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vmax, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8643             :   { 0 /*  */, Hexagon::V6_vminuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vmin, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8644             :   { 0 /*  */, Hexagon::V6_vsatuwuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vsat, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8645             :   { 0 /*  */, Hexagon::V6_vabsdiffw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vabsdiff, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8646             :   { 0 /*  */, Hexagon::V6_vavguw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8647             :   { 0 /*  */, Hexagon::V6_vmpyuhe, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vmpye, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8648             :   { 0 /*  */, Hexagon::V6_vrmpyub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8649             :   { 0 /*  */, Hexagon::V6_vrmpyubv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8650             :   { 0 /*  */, Hexagon::V6_vaddw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8651             :   { 0 /*  */, Hexagon::V6_vaslwv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vasl, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8652             :   { 0 /*  */, Hexagon::V6_vasrwv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8653             :   { 0 /*  */, Hexagon::V6_vavgw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8654             :   { 0 /*  */, Hexagon::V6_vdmpyhb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8655             :   { 0 /*  */, Hexagon::V6_vlsrwv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vlsr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8656             :   { 0 /*  */, Hexagon::V6_vmaxw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmax, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8657             :   { 0 /*  */, Hexagon::V6_vminw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmin, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8658             :   { 0 /*  */, Hexagon::V6_vmpyewuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpye, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8659             :   { 0 /*  */, Hexagon::V6_vmpyiwb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8660             :   { 0 /*  */, Hexagon::V6_vmpyiwh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8661             :   { 0 /*  */, Hexagon::V6_vmpyiwub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8662             :   { 0 /*  */, Hexagon::V6_vmpyiewuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyie, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8663             :   { 0 /*  */, Hexagon::V6_vmpyieoh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyieo, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8664             :   { 0 /*  */, Hexagon::V6_vmpyiowh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyio, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8665             :   { 0 /*  */, Hexagon::V6_vnavgw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vnavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8666             :   { 0 /*  */, Hexagon::V6_vrmpybv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8667             :   { 0 /*  */, Hexagon::V6_vrmpybus, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8668             :   { 0 /*  */, Hexagon::V6_vrmpybusv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8669             :   { 0 /*  */, Hexagon::V6_vsubw, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8670             :   { 0 /*  */, Hexagon::V6_vmpyhss, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8671             :   { 0 /*  */, Hexagon::V6_vmpyowh, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyowh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8672             :   { 0 /*  */, Hexagon::V6_veqb_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8673             :   { 0 /*  */, Hexagon::V6_veqh_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8674             :   { 0 /*  */, Hexagon::V6_veqb_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8675             :   { 0 /*  */, Hexagon::V6_veqh_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8676             :   { 0 /*  */, Hexagon::V6_veqw_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8677             :   { 0 /*  */, Hexagon::V6_veqw_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8678             :   { 0 /*  */, Hexagon::V6_vgtb_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8679             :   { 0 /*  */, Hexagon::V6_vgth_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8680             :   { 0 /*  */, Hexagon::V6_vgtub_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8681             :   { 0 /*  */, Hexagon::V6_vgtuh_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8682             :   { 0 /*  */, Hexagon::V6_vgtuw_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8683             :   { 0 /*  */, Hexagon::V6_vgtw_and, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__38_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8684             :   { 0 /*  */, Hexagon::V6_vandvrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8685             :   { 0 /*  */, Hexagon::V6_veqb_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8686             :   { 0 /*  */, Hexagon::V6_veqh_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8687             :   { 0 /*  */, Hexagon::V6_veqb_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8688             :   { 0 /*  */, Hexagon::V6_veqh_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8689             :   { 0 /*  */, Hexagon::V6_veqw_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8690             :   { 0 /*  */, Hexagon::V6_veqw_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8691             :   { 0 /*  */, Hexagon::V6_vgtb_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8692             :   { 0 /*  */, Hexagon::V6_vgth_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8693             :   { 0 /*  */, Hexagon::V6_vgtub_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8694             :   { 0 /*  */, Hexagon::V6_vgtuh_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8695             :   { 0 /*  */, Hexagon::V6_vgtuw_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8696             :   { 0 /*  */, Hexagon::V6_vgtw_xor, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__94_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8697             :   { 0 /*  */, Hexagon::V6_veqb_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8698             :   { 0 /*  */, Hexagon::V6_veqh_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8699             :   { 0 /*  */, Hexagon::V6_veqb_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8700             :   { 0 /*  */, Hexagon::V6_veqh_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8701             :   { 0 /*  */, Hexagon::V6_veqw_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8702             :   { 0 /*  */, Hexagon::V6_veqw_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8703             :   { 0 /*  */, Hexagon::V6_vgtb_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8704             :   { 0 /*  */, Hexagon::V6_vgth_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8705             :   { 0 /*  */, Hexagon::V6_vgtub_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8706             :   { 0 /*  */, Hexagon::V6_vgtuh_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8707             :   { 0 /*  */, Hexagon::V6_vgtuw_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_ }, },
    8708             :   { 0 /*  */, Hexagon::V6_vgtw_or, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxQR, MCK__124_, MCK__61_, MCK_vcmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8709             :   { 0 /*  */, Hexagon::M2_cmacs_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8710             :   { 0 /*  */, Hexagon::M2_vdmacs_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8711             :   { 0 /*  */, Hexagon::M2_vmac2es_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyeh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8712             :   { 0 /*  */, Hexagon::M2_vmac2s_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8713             :   { 0 /*  */, Hexagon::M2_vmac2su_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyhsu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8714             :   { 0 /*  */, Hexagon::M2_mmacls_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8715             :   { 0 /*  */, Hexagon::M2_mmaculs_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyweuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8716             :   { 0 /*  */, Hexagon::M2_mmachs_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8717             :   { 0 /*  */, Hexagon::M2_mmacuhs_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpywouh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8718             :   { 0 /*  */, Hexagon::M2_vrcmpys_acc_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8719             :   { 0 /*  */, Hexagon::M2_cnacs_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8720             :   { 0 /*  */, Hexagon::M2_cmpysc_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8721             :   { 0 /*  */, Hexagon::L2_loadalignb_pcr, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8722             :   { 0 /*  */, Hexagon::L2_loadbsw4_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8723             :   { 0 /*  */, Hexagon::L2_loadrd_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8724             :   { 0 /*  */, Hexagon::L2_loadalignh_pcr, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__Reg1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8725             :   { 0 /*  */, Hexagon::L2_loadbzw4_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8726             :   { 0 /*  */, Hexagon::V6_vaddubh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8727             :   { 0 /*  */, Hexagon::V6_vdmpybus_dv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8728             :   { 0 /*  */, Hexagon::V6_vmpabus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8729             :   { 0 /*  */, Hexagon::V6_vmpabuu_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8730             :   { 0 /*  */, Hexagon::V6_vmpybv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8731             :   { 0 /*  */, Hexagon::V6_vmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8732             :   { 0 /*  */, Hexagon::V6_vmpybusv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8733             :   { 0 /*  */, Hexagon::V6_vtmpyb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vtmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_b, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8734             :   { 0 /*  */, Hexagon::V6_vtmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vtmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8735             :   { 0 /*  */, Hexagon::V6_vlutvwh, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vlut16, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_ }, },
    8736             :   { 0 /*  */, Hexagon::V6_vmpyub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8737             :   { 0 /*  */, Hexagon::V6_vmpyubv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8738             :   { 0 /*  */, Hexagon::V6_vdsaduh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vdsad, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8739             :   { 0 /*  */, Hexagon::V6_vmpyuh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8740             :   { 0 /*  */, Hexagon::V6_vmpyuhv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8741             :   { 0 /*  */, Hexagon::V6_vrmpyub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8742             :   { 0 /*  */, Hexagon::V6_vrmpyub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8743             :   { 0 /*  */, Hexagon::V6_vaddhw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8744             :   { 0 /*  */, Hexagon::V6_vadduhw_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8745             :   { 0 /*  */, Hexagon::V6_vdmpyhb_dv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8746             :   { 0 /*  */, Hexagon::V6_vmpahb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8747             :   { 0 /*  */, Hexagon::V6_vmpauhb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8748             :   { 0 /*  */, Hexagon::V6_vmpyh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8749             :   { 0 /*  */, Hexagon::V6_vmpyhv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8750             :   { 0 /*  */, Hexagon::V6_vmpyhus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8751             :   { 0 /*  */, Hexagon::V6_vrmpybub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV65, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8752             :   { 0 /*  */, Hexagon::V6_vrmpybub_rtt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_DoubleRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8753             :   { 0 /*  */, Hexagon::V6_vtmpyhb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vtmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8754             :   { 0 /*  */, Hexagon::M2_mpy_acc_sat_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8755             :   { 0 /*  */, Hexagon::M2_mpy_acc_sat_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat }, },
    8756             :   { 0 /*  */, Hexagon::M2_mpy_acc_sat_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8757             :   { 0 /*  */, Hexagon::M2_mpy_acc_sat_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat }, },
    8758             :   { 0 /*  */, Hexagon::M4_mac_up_s1_sat, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8759             :   { 0 /*  */, Hexagon::M2_mpy_nac_sat_hh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8760             :   { 0 /*  */, Hexagon::M2_mpy_nac_sat_hl_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat }, },
    8761             :   { 0 /*  */, Hexagon::M2_mpy_nac_sat_lh_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8762             :   { 0 /*  */, Hexagon::M2_mpy_nac_sat_ll_s0, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat }, },
    8763             :   { 0 /*  */, Hexagon::M4_nac_up_s1_sat, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8764             :   { 0 /*  */, Hexagon::L2_loadrb_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8765             :   { 0 /*  */, Hexagon::L2_loadbsw2_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8766             :   { 0 /*  */, Hexagon::L2_loadrh_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8767             :   { 0 /*  */, Hexagon::L2_loadrub_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8768             :   { 0 /*  */, Hexagon::L2_loadbzw2_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8769             :   { 0 /*  */, Hexagon::L2_loadruh_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8770             :   { 0 /*  */, Hexagon::L2_loadri_pcr, Convert__Reg1_0__Reg1_4__Tie1_0_0__Reg1_11, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8771             :   { 0 /*  */, Hexagon::V6_vlutvvb, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vlut32, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_IntRegsLow8, MCK__41_ }, },
    8772             :   { 0 /*  */, Hexagon::V6_vL32b_nt_cur_pi, Convert__Reg1_0__Reg1_6__Tie1_0_0__s3_0Imm1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    8773             :   { 0 /*  */, Hexagon::V6_vdmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8774             :   { 0 /*  */, Hexagon::V6_vmpyihb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8775             :   { 0 /*  */, Hexagon::V6_vmpyih_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8776             :   { 0 /*  */, Hexagon::V6_vasrwh, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegsLow8, MCK__41_ }, },
    8777             :   { 0 /*  */, Hexagon::V6_vL32b_nt_tmp_pi, Convert__Reg1_0__Reg1_6__Tie1_0_0__s3_0Imm1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    8778             :   { 0 /*  */, Hexagon::V6_vandnqrt, Convert__Reg1_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8779             :   { 0 /*  */, Hexagon::V6_vandqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8780             :   { 0 /*  */, Hexagon::V6_vmpyuhe_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vmpye, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8781             :   { 0 /*  */, Hexagon::V6_vrmpyub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8782             :   { 0 /*  */, Hexagon::V6_vrmpyubv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8783             :   { 0 /*  */, Hexagon::V6_vdmpyhb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8784             :   { 0 /*  */, Hexagon::V6_vmpyiwb_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8785             :   { 0 /*  */, Hexagon::V6_vmpyiwh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_ }, },
    8786             :   { 0 /*  */, Hexagon::V6_vmpyiwub_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpyi, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8787             :   { 0 /*  */, Hexagon::V6_vmpyiewh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpyie, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8788             :   { 0 /*  */, Hexagon::V6_vmpyiewuh_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpyie, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_ }, },
    8789             :   { 0 /*  */, Hexagon::V6_vrmpybv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8790             :   { 0 /*  */, Hexagon::V6_vrmpybus_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__41_ }, },
    8791             :   { 0 /*  */, Hexagon::V6_vrmpybusv_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_ }, },
    8792             :   { 0 /*  */, Hexagon::M2_cmacsc_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8793             :   { 0 /*  */, Hexagon::M2_cnacsc_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8794             :   { 0 /*  */, Hexagon::L2_loadalignb_pci, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_0Imm1_8__Reg1_12, 0, { MCK_DoubleRegs, MCK__61_, MCK_memb_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8795             :   { 0 /*  */, Hexagon::L2_loadbsw4_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8__Reg1_12, 0, { MCK_DoubleRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8796             :   { 0 /*  */, Hexagon::L2_loadrd_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_3Imm1_8__Reg1_12, 0, { MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8797             :   { 0 /*  */, Hexagon::L2_loadalignh_pci, Convert__Reg1_0__Reg1_4__Tie0_0_0__Tie1_0_0__s4_1Imm1_8__Reg1_12, 0, { MCK_DoubleRegs, MCK__61_, MCK_memh_95_fifo, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8798             :   { 0 /*  */, Hexagon::L2_loadbzw4_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8__Reg1_12, 0, { MCK_DoubleRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8799             :   { 0 /*  */, Hexagon::M2_mpyd_hh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8800             :   { 0 /*  */, Hexagon::M2_mpyd_hl_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8801             :   { 0 /*  */, Hexagon::M2_mpyd_lh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8802             :   { 0 /*  */, Hexagon::M2_mpyd_ll_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8803             :   { 0 /*  */, Hexagon::M2_mpyud_hh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8804             :   { 0 /*  */, Hexagon::M2_mpyud_hl_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8805             :   { 0 /*  */, Hexagon::M2_mpyud_lh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8806             :   { 0 /*  */, Hexagon::M2_mpyud_ll_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8807             :   { 0 /*  */, Hexagon::M2_mmpyl_rs1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8808             :   { 0 /*  */, Hexagon::M2_mmpyul_rs1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpyweuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8809             :   { 0 /*  */, Hexagon::M2_mmpyh_rs1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8810             :   { 0 /*  */, Hexagon::M2_mmpyuh_rs1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vmpywouh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8811             :   { 0 /*  */, Hexagon::S4_vxaddsubhr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vxaddsubh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK__GT_, MCK__GT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8812             :   { 0 /*  */, Hexagon::S4_vxsubaddhr, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vxsubaddh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK__GT_, MCK__GT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8813             :   { 0 /*  */, Hexagon::V6_vaddbsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_b, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_b, MCK_HvxWR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
    8814             :   { 0 /*  */, Hexagon::V6_vsubbsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_b, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_b, MCK_HvxWR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
    8815             :   { 0 /*  */, Hexagon::V6_vaddhsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_HvxWR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8816             :   { 0 /*  */, Hexagon::V6_vlutvwhi, Convert__Reg1_0__Reg1_6__Reg1_9__u3_0Imm1_13, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vlut16, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8817             :   { 0 /*  */, Hexagon::V6_vsubhsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_HvxWR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8818             :   { 0 /*  */, Hexagon::V6_vlutvwh_oracc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__Reg1_13, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__124_, MCK__61_, MCK_vlut16, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_ }, },
    8819             :   { 0 /*  */, Hexagon::V6_vaddubsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
    8820             :   { 0 /*  */, Hexagon::V6_vsububsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
    8821             :   { 0 /*  */, Hexagon::V6_vadduhsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_uh, MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    8822             :   { 0 /*  */, Hexagon::V6_vsubuhsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_uh, MCK_HvxWR, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    8823             :   { 0 /*  */, Hexagon::V6_vadduwsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_uw, MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__41_, MCK__COLON_, MCK_sat }, },
    8824             :   { 0 /*  */, Hexagon::V6_vrmpyubi, Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8825             :   { 0 /*  */, Hexagon::V6_vrsadubi, Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vrsad, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8826             :   { 0 /*  */, Hexagon::V6_vsubuwsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_uw, MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__41_, MCK__COLON_, MCK_sat }, },
    8827             :   { 0 /*  */, Hexagon::V6_vaddwsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_w, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8828             :   { 0 /*  */, Hexagon::V6_vrmpybusi, Convert__Reg1_0__Reg1_6__Reg1_9__u1_0Imm1_13, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8829             :   { 0 /*  */, Hexagon::V6_vsubwsat_dv, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_w, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8830             :   { 0 /*  */, Hexagon::A2_addh_h16_hh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8831             :   { 0 /*  */, Hexagon::A2_addh_h16_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8832             :   { 0 /*  */, Hexagon::A2_addh_h16_lh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8833             :   { 0 /*  */, Hexagon::A2_addh_h16_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8834             :   { 0 /*  */, Hexagon::M2_cmpyrs_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8835             :   { 0 /*  */, Hexagon::M4_cmpyi_wh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_cmpyiwh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8836             :   { 0 /*  */, Hexagon::M4_cmpyr_wh, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_cmpyrwh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8837             :   { 0 /*  */, Hexagon::L2_loadrb_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_0Imm1_8__Reg1_12, 0, { MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8838             :   { 0 /*  */, Hexagon::L2_loadbsw2_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8__Reg1_12, 0, { MCK_IntRegs, MCK__61_, MCK_membh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8839             :   { 0 /*  */, Hexagon::L2_loadrh_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8__Reg1_12, 0, { MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8840             :   { 0 /*  */, Hexagon::L2_loadrub_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_0Imm1_8__Reg1_12, 0, { MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8841             :   { 0 /*  */, Hexagon::L2_loadbzw2_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8__Reg1_12, 0, { MCK_IntRegs, MCK__61_, MCK_memubh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8842             :   { 0 /*  */, Hexagon::L2_loadruh_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_1Imm1_8__Reg1_12, 0, { MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8843             :   { 0 /*  */, Hexagon::L2_loadri_pci, Convert__Reg1_0__Reg1_4__Tie1_0_0__s4_2Imm1_8__Reg1_12, 0, { MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_ }, },
    8844             :   { 0 /*  */, Hexagon::M2_mpy_hh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8845             :   { 0 /*  */, Hexagon::M2_mpy_sat_rnd_hh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8846             :   { 0 /*  */, Hexagon::M2_mpy_hl_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8847             :   { 0 /*  */, Hexagon::M2_mpy_sat_rnd_hl_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8848             :   { 0 /*  */, Hexagon::M2_mpy_lh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8849             :   { 0 /*  */, Hexagon::M2_mpy_sat_rnd_lh_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8850             :   { 0 /*  */, Hexagon::M2_mpy_ll_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8851             :   { 0 /*  */, Hexagon::M2_mpy_sat_rnd_ll_s0, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8852             :   { 0 /*  */, Hexagon::M2_hmmpyh_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8853             :   { 0 /*  */, Hexagon::M2_hmmpyl_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8854             :   { 0 /*  */, Hexagon::M2_mpyu_hh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8855             :   { 0 /*  */, Hexagon::M2_mpyu_hl_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8856             :   { 0 /*  */, Hexagon::M2_mpyu_lh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8857             :   { 0 /*  */, Hexagon::M2_mpyu_ll_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8858             :   { 0 /*  */, Hexagon::A2_subh_h16_hh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8859             :   { 0 /*  */, Hexagon::A2_subh_h16_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8860             :   { 0 /*  */, Hexagon::A2_subh_h16_lh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8861             :   { 0 /*  */, Hexagon::A2_subh_h16_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8862             :   { 0 /*  */, Hexagon::M2_vdmpyrs_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vdmpy, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8863             :   { 0 /*  */, Hexagon::M2_vmpy2s_s1pack, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vmpyh, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8864             :   { 0 /*  */, Hexagon::M2_vrcmpys_s1rp, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8865             :   { 0 /*  */, Hexagon::V6_vaddbsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
    8866             :   { 0 /*  */, Hexagon::V6_vavgbrnd, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8867             :   { 0 /*  */, Hexagon::V6_vlutvvbi, Convert__Reg1_0__Reg1_6__Reg1_9__u3_0Imm1_13, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vlut32, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8868             :   { 0 /*  */, Hexagon::V6_vpackhb_sat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8869             :   { 0 /*  */, Hexagon::V6_vroundhb, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vround, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8870             :   { 0 /*  */, Hexagon::V6_vsubbsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
    8871             :   { 0 /*  */, Hexagon::V6_vlutvvb_oracc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__Reg1_13, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__124_, MCK__61_, MCK_vlut32, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_IntRegsLow8, MCK__41_ }, },
    8872             :   { 0 /*  */, Hexagon::V6_vaddhsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8873             :   { 0 /*  */, Hexagon::V6_vavghrnd, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8874             :   { 0 /*  */, Hexagon::V6_vpackwh_sat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8875             :   { 0 /*  */, Hexagon::V6_vroundwh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vround, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8876             :   { 0 /*  */, Hexagon::V6_vsubhsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8877             :   { 0 /*  */, Hexagon::V6_vaddububb_sat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
    8878             :   { 0 /*  */, Hexagon::V6_vaddubsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
    8879             :   { 0 /*  */, Hexagon::V6_vavgubrnd, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8880             :   { 0 /*  */, Hexagon::V6_vpackhub_sat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8881             :   { 0 /*  */, Hexagon::V6_vroundhub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vround, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8882             :   { 0 /*  */, Hexagon::V6_vrounduhub, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vround, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    8883             :   { 0 /*  */, Hexagon::V6_vsubububb_sat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__41_, MCK__COLON_, MCK_sat }, },
    8884             :   { 0 /*  */, Hexagon::V6_vsububsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__41_, MCK__COLON_, MCK_sat }, },
    8885             :   { 0 /*  */, Hexagon::V6_vandnqrt_acc, Convert__Reg1_0__Tie0_0_0__Reg1_8__Reg1_11, Feature_UseHVX, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__124_, MCK__61_, MCK_vand, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__41_ }, },
    8886             :   { 0 /*  */, Hexagon::V6_vadduhsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    8887             :   { 0 /*  */, Hexagon::V6_vavguhrnd, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8888             :   { 0 /*  */, Hexagon::V6_vpackwuh_sat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vpack, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8889             :   { 0 /*  */, Hexagon::V6_vrounduwuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vround, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_, MCK__COLON_, MCK_sat }, },
    8890             :   { 0 /*  */, Hexagon::V6_vroundwuh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vround, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8891             :   { 0 /*  */, Hexagon::V6_vsubuhsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    8892             :   { 0 /*  */, Hexagon::V6_vadduwsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_, MCK__COLON_, MCK_sat }, },
    8893             :   { 0 /*  */, Hexagon::V6_vavguwrnd, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8894             :   { 0 /*  */, Hexagon::V6_vsubuwsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK__41_, MCK__COLON_, MCK_sat }, },
    8895             :   { 0 /*  */, Hexagon::V6_vaddwsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8896             :   { 0 /*  */, Hexagon::V6_vavgwrnd, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vavg, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_rnd }, },
    8897             :   { 0 /*  */, Hexagon::V6_vdmpyhisat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8898             :   { 0 /*  */, Hexagon::V6_vdmpyhsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8899             :   { 0 /*  */, Hexagon::V6_vdmpyhsusat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    8900             :   { 0 /*  */, Hexagon::V6_vdmpyhvsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8901             :   { 0 /*  */, Hexagon::V6_vsubwsat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__COLON_, MCK_sat }, },
    8902             :   { 0 /*  */, Hexagon::V6_vmpyhsrs, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyh, MCK__40_, MCK_HvxVR, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8903             :   { 0 /*  */, Hexagon::V6_vmpyhvsrs, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8904             :   { 0 /*  */, Hexagon::V6_vmpyowh_rnd, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_UseHVX, { MCK_HvxVR, MCK__61_, MCK_vmpyowh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8905             :   { 0 /*  */, Hexagon::M2_mpyd_acc_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8906             :   { 0 /*  */, Hexagon::M2_mpyd_acc_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8907             :   { 0 /*  */, Hexagon::M2_mpyd_acc_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8908             :   { 0 /*  */, Hexagon::M2_mpyd_acc_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8909             :   { 0 /*  */, Hexagon::M2_mpyud_acc_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8910             :   { 0 /*  */, Hexagon::M2_mpyud_acc_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8911             :   { 0 /*  */, Hexagon::M2_mpyud_acc_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8912             :   { 0 /*  */, Hexagon::M2_mpyud_acc_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8913             :   { 0 /*  */, Hexagon::M2_mmacls_rs1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyweh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8914             :   { 0 /*  */, Hexagon::M2_mmaculs_rs1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpyweuh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8915             :   { 0 /*  */, Hexagon::M2_mmachs_rs1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpywoh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8916             :   { 0 /*  */, Hexagon::M2_mmacuhs_rs1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vmpywouh, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8917             :   { 0 /*  */, Hexagon::M2_mpyd_nac_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8918             :   { 0 /*  */, Hexagon::M2_mpyd_nac_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8919             :   { 0 /*  */, Hexagon::M2_mpyd_nac_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8920             :   { 0 /*  */, Hexagon::M2_mpyd_nac_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8921             :   { 0 /*  */, Hexagon::M2_mpyud_nac_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8922             :   { 0 /*  */, Hexagon::M2_mpyud_nac_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8923             :   { 0 /*  */, Hexagon::M2_mpyud_nac_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8924             :   { 0 /*  */, Hexagon::M2_mpyud_nac_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_DoubleRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8925             :   { 0 /*  */, Hexagon::V6_vlutvwh_nm, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__61_, MCK_vlut16, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_nomatch }, },
    8926             :   { 0 /*  */, Hexagon::V6_vlutvwh_oracci, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u3_0Imm1_14, Feature_UseHVXV62, { MCK_HvxWR, MCK__DOT_, MCK_h, MCK__124_, MCK__61_, MCK_vlut16, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8927             :   { 0 /*  */, Hexagon::V6_vrmpyubi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8928             :   { 0 /*  */, Hexagon::V6_vrsadubi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_uw, MCK__43_, MCK__61_, MCK_vrsad, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_ub, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8929             :   { 0 /*  */, Hexagon::V6_vmpyhsat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8930             :   { 0 /*  */, Hexagon::V6_vrmpybusi_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u1_0Imm1_14, Feature_UseHVXV60, { MCK_HvxWR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vrmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_ub, MCK_IntRegs, MCK__DOT_, MCK_b, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    8931             :   { 0 /*  */, Hexagon::M2_mpy_acc_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8932             :   { 0 /*  */, Hexagon::M2_mpy_acc_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8933             :   { 0 /*  */, Hexagon::M2_mpy_acc_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8934             :   { 0 /*  */, Hexagon::M2_mpy_acc_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8935             :   { 0 /*  */, Hexagon::M2_mpyu_acc_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8936             :   { 0 /*  */, Hexagon::M2_mpyu_acc_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8937             :   { 0 /*  */, Hexagon::M2_mpyu_acc_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8938             :   { 0 /*  */, Hexagon::M2_mpyu_acc_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8939             :   { 0 /*  */, Hexagon::M2_mpy_nac_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8940             :   { 0 /*  */, Hexagon::M2_mpy_nac_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8941             :   { 0 /*  */, Hexagon::M2_mpy_nac_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8942             :   { 0 /*  */, Hexagon::M2_mpy_nac_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8943             :   { 0 /*  */, Hexagon::M2_mpyu_nac_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8944             :   { 0 /*  */, Hexagon::M2_mpyu_nac_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8945             :   { 0 /*  */, Hexagon::M2_mpyu_nac_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8946             :   { 0 /*  */, Hexagon::M2_mpyu_nac_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpyu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1 }, },
    8947             :   { 0 /*  */, Hexagon::M2_cmpyrsc_s1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_cmpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8948             :   { 0 /*  */, Hexagon::M4_cmpyi_whc, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_cmpyiwh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8949             :   { 0 /*  */, Hexagon::M4_cmpyr_whc, Convert__Reg1_0__Reg1_4__Reg1_5, Feature_HasV5, { MCK_IntRegs, MCK__61_, MCK_cmpyrwh, MCK__40_, MCK_DoubleRegs, MCK_IntRegs, MCK__STAR_, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8950             :   { 0 /*  */, Hexagon::V6_vmpyowh_sacc_alt, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyowh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_shift }, },
    8951             :   { 0 /*  */, Hexagon::V6_vasrhbsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8952             :   { 0 /*  */, Hexagon::V6_vlutvvb_nm, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vlut32, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_nomatch }, },
    8953             :   { 0 /*  */, Hexagon::V6_vlutvvb_oracci, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10__u3_0Imm1_14, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__124_, MCK__61_, MCK_vlut32, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__35_, MCK_u3_0Imm, MCK__41_ }, },
    8954             :   { 0 /*  */, Hexagon::V6_vaddclbh, Convert__Reg1_0__Reg1_8__Reg1_12, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vadd, MCK__40_, MCK_vclb, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_ }, },
    8955             :   { 0 /*  */, Hexagon::V6_vasrwhsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8956             :   { 0 /*  */, Hexagon::V6_vasrhubsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8957             :   { 0 /*  */, Hexagon::V6_vasruhubsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8958             :   { 0 /*  */, Hexagon::V6_vasruwuhsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8959             :   { 0 /*  */, Hexagon::V6_vasrwuhsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_sat }, },
    8960             :   { 0 /*  */, Hexagon::V6_vdmpyhisat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8961             :   { 0 /*  */, Hexagon::V6_vdmpyhsat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8962             :   { 0 /*  */, Hexagon::V6_vdmpyhsusat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    8963             :   { 0 /*  */, Hexagon::V6_vdmpyhvsat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    8964             :   { 0 /*  */, Hexagon::V6_vaddclbw, Convert__Reg1_0__Reg1_8__Reg1_12, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vadd, MCK__40_, MCK_vclb, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_ }, },
    8965             :   { 0 /*  */, Hexagon::V6_vaddcarry, Convert__Reg1_0__Reg1_12__Reg1_6__Reg1_9__Tie1_0_0, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vadd, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxQR, MCK__41_, MCK__COLON_, MCK_carry }, },
    8966             :   { 0 /*  */, Hexagon::V6_vsubcarry, Convert__Reg1_0__Reg1_12__Reg1_6__Reg1_9__Tie1_0_0, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vsub, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxQR, MCK__41_, MCK__COLON_, MCK_carry }, },
    8967             :   { 0 /*  */, Hexagon::M2_mpyd_rnd_hh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd }, },
    8968             :   { 0 /*  */, Hexagon::M2_mpyd_rnd_hl_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd }, },
    8969             :   { 0 /*  */, Hexagon::M2_mpyd_rnd_lh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd }, },
    8970             :   { 0 /*  */, Hexagon::M2_mpyd_rnd_ll_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_DoubleRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd }, },
    8971             :   { 0 /*  */, Hexagon::M2_vrcmpys_s1_h, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_hi }, },
    8972             :   { 0 /*  */, Hexagon::M2_vrcmpys_s1_l, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_DoubleRegs, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_lo }, },
    8973             :   { 0 /*  */, Hexagon::A2_addh_h16_sat_hh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8974             :   { 0 /*  */, Hexagon::A2_addh_h16_sat_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8975             :   { 0 /*  */, Hexagon::A2_addh_h16_sat_lh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8976             :   { 0 /*  */, Hexagon::A2_addh_h16_sat_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8977             :   { 0 /*  */, Hexagon::M2_mpy_rnd_hh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd }, },
    8978             :   { 0 /*  */, Hexagon::M2_mpy_sat_hh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8979             :   { 0 /*  */, Hexagon::M2_mpy_rnd_hl_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd }, },
    8980             :   { 0 /*  */, Hexagon::M2_mpy_sat_hl_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8981             :   { 0 /*  */, Hexagon::M2_mpy_rnd_lh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd }, },
    8982             :   { 0 /*  */, Hexagon::M2_mpy_sat_lh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8983             :   { 0 /*  */, Hexagon::M2_mpy_rnd_ll_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd }, },
    8984             :   { 0 /*  */, Hexagon::M2_mpy_sat_ll_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8985             :   { 0 /*  */, Hexagon::M2_hmmpyh_rs1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8986             :   { 0 /*  */, Hexagon::M2_hmmpyl_rs1, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    8987             :   { 0 /*  */, Hexagon::A2_subh_h16_sat_hh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8988             :   { 0 /*  */, Hexagon::A2_subh_h16_sat_hl, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8989             :   { 0 /*  */, Hexagon::A2_subh_h16_sat_lh, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8990             :   { 0 /*  */, Hexagon::A2_subh_h16_sat_ll, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK_sat, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_16 }, },
    8991             :   { 0 /*  */, Hexagon::V6_vdmpyhsuisat, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__35_, MCK_1, MCK__41_, MCK__COLON_, MCK_sat }, },
    8992             :   { 0 /*  */, Hexagon::M2_vrcmpys_acc_s1_h, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_hi }, },
    8993             :   { 0 /*  */, Hexagon::M2_vrcmpys_acc_s1_l, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, 0, { MCK_DoubleRegs, MCK__43_, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_lo }, },
    8994             :   { 0 /*  */, Hexagon::M2_mpy_acc_sat_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8995             :   { 0 /*  */, Hexagon::M2_mpy_acc_sat_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8996             :   { 0 /*  */, Hexagon::M2_mpy_acc_sat_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8997             :   { 0 /*  */, Hexagon::M2_mpy_acc_sat_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__43_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8998             :   { 0 /*  */, Hexagon::M2_mpy_nac_sat_hh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    8999             :   { 0 /*  */, Hexagon::M2_mpy_nac_sat_hl_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    9000             :   { 0 /*  */, Hexagon::M2_mpy_nac_sat_lh_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    9001             :   { 0 /*  */, Hexagon::M2_mpy_nac_sat_ll_s1, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_IntRegs, MCK__MINUS_, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    9002             :   { 0 /*  */, Hexagon::V6_vmpyowh_rnd_sacc_alt, Convert__Reg1_0__Tie0_0_0__Reg1_5__Reg1_6, Feature_UseHVXV60, { MCK_HvxVR, MCK__43_, MCK__61_, MCK_vmpyowh, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_shift }, },
    9003             :   { 0 /*  */, Hexagon::V6_vasrhbrndsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_b, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9004             :   { 0 /*  */, Hexagon::V6_vasrwhrndsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9005             :   { 0 /*  */, Hexagon::V6_vmpahhsat, Convert__Reg1_0__Tie0_0_6__Reg1_9__Reg1_12, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_DoubleRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK_sat }, },
    9006             :   { 0 /*  */, Hexagon::V6_vmpauhuhsat, Convert__Reg1_0__Tie0_0_6__Reg1_9__Reg1_12, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpa, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_DoubleRegs, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    9007             :   { 0 /*  */, Hexagon::V6_vmpsuhuhsat, Convert__Reg1_0__Tie0_0_6__Reg1_9__Reg1_12, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmps, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_DoubleRegs, MCK__DOT_, MCK_uh, MCK__41_, MCK__COLON_, MCK_sat }, },
    9008             :   { 0 /*  */, Hexagon::V6_vasrhubrndsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9009             :   { 0 /*  */, Hexagon::V6_vasruhubrndsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV65, { MCK_HvxVR, MCK__DOT_, MCK_ub, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_HvxVR, MCK__DOT_, MCK_uh, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9010             :   { 0 /*  */, Hexagon::V6_vasruwuhrndsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_HvxVR, MCK__DOT_, MCK_uw, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9011             :   { 0 /*  */, Hexagon::V6_vasrwuhrndsat, Convert__Reg1_0__Reg1_6__Reg1_9__Reg1_12, Feature_UseHVXV62, { MCK_HvxVR, MCK__DOT_, MCK_uh, MCK__61_, MCK_vasr, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_IntRegsLow8, MCK__41_, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9012             :   { 0 /*  */, Hexagon::V6_vdmpyhsuisat_acc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vdmpy, MCK__40_, MCK_HvxWR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_uh, MCK__35_, MCK_1, MCK__41_, MCK__COLON_, MCK_sat }, },
    9013             :   { 0 /*  */, Hexagon::M2_mpy_sat_rnd_hh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9014             :   { 0 /*  */, Hexagon::M2_mpy_sat_rnd_hl_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9015             :   { 0 /*  */, Hexagon::M2_mpy_sat_rnd_lh_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9016             :   { 0 /*  */, Hexagon::M2_mpy_sat_rnd_ll_s1, Convert__Reg1_0__Reg1_4__Reg1_7, 0, { MCK_IntRegs, MCK__61_, MCK_mpy, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_l, MCK_IntRegs, MCK__DOT_, MCK_l, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9017             :   { 0 /*  */, Hexagon::M2_vrcmpys_s1rp_h, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_hi }, },
    9018             :   { 0 /*  */, Hexagon::M2_vrcmpys_s1rp_l, Convert__Reg1_0__Reg1_4__Reg1_5, 0, { MCK_IntRegs, MCK__61_, MCK_vrcmpys, MCK__40_, MCK_DoubleRegs, MCK_DoubleRegs, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_raw, MCK__COLON_, MCK_lo }, },
    9019             :   { 0 /*  */, Hexagon::V6_vmpyhss, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    9020             :   { 0 /*  */, Hexagon::V6_vmpyowh, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyo, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat }, },
    9021             :   { 0 /*  */, Hexagon::V6_vmpyhsrs, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_IntRegs, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9022             :   { 0 /*  */, Hexagon::V6_vmpyhvsrs, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_h, MCK__61_, MCK_vmpy, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9023             :   { 0 /*  */, Hexagon::V6_vmpyowh_rnd, Convert__Reg1_0__Reg1_6__Reg1_9, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__61_, MCK_vmpyo, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat }, },
    9024             :   { 0 /*  */, Hexagon::V6_vmpyowh_sacc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpyo, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_shift }, },
    9025             :   { 0 /*  */, Hexagon::V6_vmpyowh_rnd_sacc, Convert__Reg1_0__Tie0_0_0__Reg1_7__Reg1_10, Feature_UseHVXV60, { MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_vmpyo, MCK__40_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__COLON_, MCK__LT_, MCK__LT_, MCK_1, MCK__COLON_, MCK_rnd, MCK__COLON_, MCK_sat, MCK__COLON_, MCK_shift }, },
    9026             :   { 1 /* DUPLEX */, Hexagon::DUPLEX_Pseudo, Convert__imm_95_0, 0, { MCK_DUPLEX }, },
    9027             :   { 8 /* allocframe */, Hexagon::S2_allocframe, Convert__regR29__Tie0_0_0__u11_3Imm1_3, 0, { MCK_allocframe, MCK__40_, MCK__35_, MCK_u11_3Imm, MCK__41_ }, },
    9028             :   { 8 /* allocframe */, Hexagon::S2_allocframe, Convert__Reg1_2__Tie0_0_0__u11_3Imm1_4, 0, { MCK_allocframe, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u11_3Imm, MCK__41_, MCK__COLON_, MCK_raw }, },
    9029             :   { 19 /* barrier */, Hexagon::Y2_barrier, Convert_NoOperands, 0, { MCK_barrier }, },
    9030             :   { 27 /* brkpt */, Hexagon::Y2_break, Convert_NoOperands, 0, { MCK_brkpt }, },
    9031             :   { 33 /* call */, Hexagon::J2_call, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9032             :   { 33 /* call */, Hexagon::RESTORE_DEALLOC_BEFORE_TAILCALL_V4, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9033             :   { 33 /* call */, Hexagon::RESTORE_DEALLOC_BEFORE_TAILCALL_V4_EXT, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9034             :   { 33 /* call */, Hexagon::RESTORE_DEALLOC_BEFORE_TAILCALL_V4_EXT_PIC, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9035             :   { 33 /* call */, Hexagon::RESTORE_DEALLOC_BEFORE_TAILCALL_V4_PIC, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9036             :   { 33 /* call */, Hexagon::SAVE_REGISTERS_CALL_V4, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9037             :   { 33 /* call */, Hexagon::SAVE_REGISTERS_CALL_V4STK, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9038             :   { 33 /* call */, Hexagon::SAVE_REGISTERS_CALL_V4STK_EXT, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9039             :   { 33 /* call */, Hexagon::SAVE_REGISTERS_CALL_V4STK_EXT_PIC, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9040             :   { 33 /* call */, Hexagon::SAVE_REGISTERS_CALL_V4STK_PIC, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9041             :   { 33 /* call */, Hexagon::SAVE_REGISTERS_CALL_V4_EXT, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9042             :   { 33 /* call */, Hexagon::SAVE_REGISTERS_CALL_V4_EXT_PIC, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9043             :   { 33 /* call */, Hexagon::SAVE_REGISTERS_CALL_V4_PIC, Convert__a30_2Imm1_1, 0, { MCK_call, MCK_a30_2Imm }, },
    9044             :   { 38 /* callr */, Hexagon::J2_callr, Convert__Reg1_1, 0, { MCK_callr, MCK_IntRegs }, },
    9045             :   { 44 /* dccleana */, Hexagon::Y2_dccleana, Convert__Reg1_2, 0, { MCK_dccleana, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9046             :   { 53 /* dccleaninva */, Hexagon::Y2_dccleaninva, Convert__Reg1_2, 0, { MCK_dccleaninva, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9047             :   { 65 /* dcfetch */, Hexagon::Y2_dcfetchbo, Convert__Reg1_2__imm_95_0, 0, { MCK_dcfetch, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9048             :   { 65 /* dcfetch */, Hexagon::Y2_dcfetchbo, Convert__Reg1_2__u11_3Imm1_5, 0, { MCK_dcfetch, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u11_3Imm, MCK__41_ }, },
    9049             :   { 73 /* dcinva */, Hexagon::Y2_dcinva, Convert__Reg1_2, 0, { MCK_dcinva, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9050             :   { 80 /* dczeroa */, Hexagon::Y2_dczeroa, Convert__Reg1_2, 0, { MCK_dczeroa, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9051             :   { 88 /* dealloc_return */, Hexagon::L4_return, Convert__regD15__regR30, 0, { MCK_dealloc_95_return }, },
    9052             :   { 103 /* deallocframe */, Hexagon::L2_deallocframe, Convert__regD15__regR30, 0, { MCK_deallocframe }, },
    9053             :   { 116 /* endloop0 */, Hexagon::J2_endloop0, Convert_NoOperands, 0, { MCK_endloop0 }, },
    9054             :   { 125 /* endloop01 */, Hexagon::J2_endloop01, Convert_NoOperands, 0, { MCK_endloop01 }, },
    9055             :   { 135 /* endloop1 */, Hexagon::J2_endloop1, Convert_NoOperands, 0, { MCK_endloop1 }, },
    9056             :   { 144 /* hintjr */, Hexagon::J4_hintjumpr, Convert__Reg1_2, 0, { MCK_hintjr, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9057             :   { 151 /* icinva */, Hexagon::Y2_icinva, Convert__Reg1_2, 0, { MCK_icinva, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9058             :   { 158 /* if */, Hexagon::L4_return_t, Convert__regD15__Reg1_2__regR30, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_dealloc_95_return }, },
    9059             :   { 158 /* if */, Hexagon::L4_return_f, Convert__regD15__Reg1_3__regR30, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_dealloc_95_return }, },
    9060             :   { 158 /* if */, Hexagon::J2_callt, Convert__Reg1_2__a30_2Imm1_5, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_call, MCK_a30_2Imm }, },
    9061             :   { 158 /* if */, Hexagon::J2_callrt, Convert__Reg1_2__Reg1_5, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_callr, MCK_IntRegs }, },
    9062             :   { 158 /* if */, Hexagon::J2_jumpt, Convert__Reg1_2__b30_2Imm1_5, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_jump, MCK_b30_2Imm }, },
    9063             :   { 158 /* if */, Hexagon::J2_jumprt, Convert__Reg1_2__Reg1_5, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_jumpr, MCK_IntRegs }, },
    9064             :   { 158 /* if */, Hexagon::J2_callf, Convert__Reg1_3__a30_2Imm1_6, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_call, MCK_a30_2Imm }, },
    9065             :   { 158 /* if */, Hexagon::J2_callrf, Convert__Reg1_3__Reg1_6, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_callr, MCK_IntRegs }, },
    9066             :   { 158 /* if */, Hexagon::J2_jumpf, Convert__Reg1_3__b30_2Imm1_6, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_jump, MCK_b30_2Imm }, },
    9067             :   { 158 /* if */, Hexagon::J2_jumprf, Convert__Reg1_3__Reg1_6, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_jumpr, MCK_IntRegs }, },
    9068             :   { 158 /* if */, Hexagon::A2_tfrpt, Convert__Reg1_4__Reg1_2__Reg1_6, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_DoubleRegs }, },
    9069             :   { 158 /* if */, Hexagon::A2_paddit, Convert__Reg1_4__Reg1_2__Reg1_6__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_IntRegs }, },
    9070             :   { 158 /* if */, Hexagon::V6_vcmov, Convert__Reg1_4__Reg1_2__Reg1_6, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_HvxVR }, },
    9071             :   { 158 /* if */, Hexagon::A2_tfrpf, Convert__Reg1_5__Reg1_3__Reg1_7, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_DoubleRegs }, },
    9072             :   { 158 /* if */, Hexagon::A2_paddif, Convert__Reg1_5__Reg1_3__Reg1_7__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_IntRegs }, },
    9073             :   { 158 /* if */, Hexagon::V6_vncmov, Convert__Reg1_5__Reg1_3__Reg1_7, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_HvxVR }, },
    9074             :   { 158 /* if */, Hexagon::J2_jumpt, Convert__Reg1_2__b30_2Imm1_7, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9075             :   { 158 /* if */, Hexagon::J2_jumptpt, Convert__Reg1_2__b30_2Imm1_7, Feature_HasV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9076             :   { 158 /* if */, Hexagon::J2_jumprt, Convert__Reg1_2__Reg1_7, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_jumpr, MCK__COLON_, MCK_nt, MCK_IntRegs }, },
    9077             :   { 158 /* if */, Hexagon::J2_jumprtpt, Convert__Reg1_2__Reg1_7, Feature_HasV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_jumpr, MCK__COLON_, MCK_t, MCK_IntRegs }, },
    9078             :   { 158 /* if */, Hexagon::C2_cmoveit, Convert__Reg1_4__Reg1_2__s32_0Imm1_7, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9079             :   { 158 /* if */, Hexagon::J2_jumpf, Convert__Reg1_3__b30_2Imm1_8, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9080             :   { 158 /* if */, Hexagon::J2_jumpfpt, Convert__Reg1_3__b30_2Imm1_8, Feature_HasV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9081             :   { 158 /* if */, Hexagon::J2_jumprf, Convert__Reg1_3__Reg1_8, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_jumpr, MCK__COLON_, MCK_nt, MCK_IntRegs }, },
    9082             :   { 158 /* if */, Hexagon::J2_jumprfpt, Convert__Reg1_3__Reg1_8, Feature_HasV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_jumpr, MCK__COLON_, MCK_t, MCK_IntRegs }, },
    9083             :   { 158 /* if */, Hexagon::C2_cmoveif, Convert__Reg1_5__Reg1_3__s32_0Imm1_8, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9084             :   { 158 /* if */, Hexagon::L4_return_tnew_pnt, Convert__regD15__Reg1_2__regR30, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_dealloc_95_return, MCK__COLON_, MCK_nt }, },
    9085             :   { 158 /* if */, Hexagon::L4_return_tnew_pt, Convert__regD15__Reg1_2__regR30, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_dealloc_95_return, MCK__COLON_, MCK_t }, },
    9086             :   { 158 /* if */, Hexagon::A2_tfrptnew, Convert__Reg1_6__Reg1_2__Reg1_8, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_DoubleRegs }, },
    9087             :   { 158 /* if */, Hexagon::A2_padditnew, Convert__Reg1_6__Reg1_2__Reg1_8__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_IntRegs }, },
    9088             :   { 158 /* if */, Hexagon::L4_return_fnew_pnt, Convert__regD15__Reg1_3__regR30, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_dealloc_95_return, MCK__COLON_, MCK_nt }, },
    9089             :   { 158 /* if */, Hexagon::L4_return_fnew_pt, Convert__regD15__Reg1_3__regR30, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_dealloc_95_return, MCK__COLON_, MCK_t }, },
    9090             :   { 158 /* if */, Hexagon::A2_tfrpfnew, Convert__Reg1_7__Reg1_3__Reg1_9, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_DoubleRegs }, },
    9091             :   { 158 /* if */, Hexagon::A2_paddifnew, Convert__Reg1_7__Reg1_3__Reg1_9__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_IntRegs }, },
    9092             :   { 158 /* if */, Hexagon::V6_vS32b_qpred_ai, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9093             :   { 158 /* if */, Hexagon::S2_pstorerbt_io, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9094             :   { 158 /* if */, Hexagon::S2_pstorerdt_io, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9095             :   { 158 /* if */, Hexagon::S2_pstorerht_io, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9096             :   { 158 /* if */, Hexagon::S2_pstorerit_io, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9097             :   { 158 /* if */, Hexagon::V6_vS32b_pred_ai, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9098             :   { 158 /* if */, Hexagon::V6_vS32Ub_pred_ai, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9099             :   { 158 /* if */, Hexagon::L2_ploadrdt_io, Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9100             :   { 158 /* if */, Hexagon::A4_paslht, Convert__Reg1_4__Reg1_2__Reg1_8, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_aslh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9101             :   { 158 /* if */, Hexagon::A4_pasrht, Convert__Reg1_4__Reg1_2__Reg1_8, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_asrh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9102             :   { 158 /* if */, Hexagon::L2_ploadrbt_io, Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9103             :   { 158 /* if */, Hexagon::L2_ploadrht_io, Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9104             :   { 158 /* if */, Hexagon::L2_ploadrubt_io, Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9105             :   { 158 /* if */, Hexagon::L2_ploadruht_io, Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9106             :   { 158 /* if */, Hexagon::L2_ploadrit_io, Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9107             :   { 158 /* if */, Hexagon::A4_psxtbt, Convert__Reg1_4__Reg1_2__Reg1_8, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9108             :   { 158 /* if */, Hexagon::A4_psxtht, Convert__Reg1_4__Reg1_2__Reg1_8, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9109             :   { 158 /* if */, Hexagon::A4_pzxtbt, Convert__Reg1_4__Reg1_2__Reg1_8, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_zxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9110             :   { 158 /* if */, Hexagon::A4_pzxtht, Convert__Reg1_4__Reg1_2__Reg1_8, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_zxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9111             :   { 158 /* if */, Hexagon::V6_vL32b_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9112             :   { 158 /* if */, Hexagon::J2_jumptnew, Convert__Reg1_2__b30_2Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9113             :   { 158 /* if */, Hexagon::J2_jumptnewpt, Convert__Reg1_2__b30_2Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9114             :   { 158 /* if */, Hexagon::J2_jumprtnew, Convert__Reg1_2__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_jumpr, MCK__COLON_, MCK_nt, MCK_IntRegs }, },
    9115             :   { 158 /* if */, Hexagon::J2_jumprtnewpt, Convert__Reg1_2__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_jumpr, MCK__COLON_, MCK_t, MCK_IntRegs }, },
    9116             :   { 158 /* if */, Hexagon::C2_cmovenewit, Convert__Reg1_6__Reg1_2__s32_0Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9117             :   { 158 /* if */, Hexagon::V6_vS32b_nqpred_ai, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9118             :   { 158 /* if */, Hexagon::S2_pstorerbf_io, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9119             :   { 158 /* if */, Hexagon::S2_pstorerdf_io, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9120             :   { 158 /* if */, Hexagon::S2_pstorerhf_io, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9121             :   { 158 /* if */, Hexagon::S2_pstorerif_io, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9122             :   { 158 /* if */, Hexagon::V6_vS32b_npred_ai, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9123             :   { 158 /* if */, Hexagon::V6_vS32Ub_npred_ai, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9124             :   { 158 /* if */, Hexagon::L2_ploadrdf_io, Convert__Reg1_5__Reg1_3__Reg1_9__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9125             :   { 158 /* if */, Hexagon::A4_paslhf, Convert__Reg1_5__Reg1_3__Reg1_9, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_aslh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9126             :   { 158 /* if */, Hexagon::A4_pasrhf, Convert__Reg1_5__Reg1_3__Reg1_9, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_asrh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9127             :   { 158 /* if */, Hexagon::L2_ploadrbf_io, Convert__Reg1_5__Reg1_3__Reg1_9__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9128             :   { 158 /* if */, Hexagon::L2_ploadrhf_io, Convert__Reg1_5__Reg1_3__Reg1_9__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9129             :   { 158 /* if */, Hexagon::L2_ploadrubf_io, Convert__Reg1_5__Reg1_3__Reg1_9__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9130             :   { 158 /* if */, Hexagon::L2_ploadruhf_io, Convert__Reg1_5__Reg1_3__Reg1_9__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9131             :   { 158 /* if */, Hexagon::L2_ploadrif_io, Convert__Reg1_5__Reg1_3__Reg1_9__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9132             :   { 158 /* if */, Hexagon::A4_psxtbf, Convert__Reg1_5__Reg1_3__Reg1_9, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9133             :   { 158 /* if */, Hexagon::A4_psxthf, Convert__Reg1_5__Reg1_3__Reg1_9, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9134             :   { 158 /* if */, Hexagon::A4_pzxtbf, Convert__Reg1_5__Reg1_3__Reg1_9, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_zxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9135             :   { 158 /* if */, Hexagon::A4_pzxthf, Convert__Reg1_5__Reg1_3__Reg1_9, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_zxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9136             :   { 158 /* if */, Hexagon::V6_vL32b_npred_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_9_9__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9137             :   { 158 /* if */, Hexagon::J2_jumpfnew, Convert__Reg1_3__b30_2Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9138             :   { 158 /* if */, Hexagon::J2_jumpfnewpt, Convert__Reg1_3__b30_2Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9139             :   { 158 /* if */, Hexagon::J2_jumprfnew, Convert__Reg1_3__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_jumpr, MCK__COLON_, MCK_nt, MCK_IntRegs }, },
    9140             :   { 158 /* if */, Hexagon::J2_jumprfnewpt, Convert__Reg1_3__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_jumpr, MCK__COLON_, MCK_t, MCK_IntRegs }, },
    9141             :   { 158 /* if */, Hexagon::C2_cmovenewif, Convert__Reg1_7__Reg1_3__s32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9142             :   { 158 /* if */, Hexagon::S4_pstorerbt_abs, Convert__Reg1_2__u32_0Imm1_7__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9143             :   { 158 /* if */, Hexagon::S4_storeirbt_io, Convert__Reg1_2__Reg1_6__imm_95_0__s32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9144             :   { 158 /* if */, Hexagon::S4_pstorerdt_abs, Convert__Reg1_2__u32_0Imm1_7__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9145             :   { 158 /* if */, Hexagon::S4_pstorerht_abs, Convert__Reg1_2__u32_0Imm1_7__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9146             :   { 158 /* if */, Hexagon::S4_storeirht_io, Convert__Reg1_2__Reg1_6__imm_95_0__s32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9147             :   { 158 /* if */, Hexagon::S4_pstorerit_abs, Convert__Reg1_2__u32_0Imm1_7__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9148             :   { 158 /* if */, Hexagon::S4_storeirit_io, Convert__Reg1_2__Reg1_6__imm_95_0__s32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9149             :   { 158 /* if */, Hexagon::C2_ccombinewt, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9150             :   { 158 /* if */, Hexagon::L4_ploadrdt_abs, Convert__Reg1_4__Reg1_2__u32_0Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9151             :   { 158 /* if */, Hexagon::V6_vccombine, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxWR, MCK__61_, MCK_vcombine, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    9152             :   { 158 /* if */, Hexagon::A2_paddt, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9153             :   { 158 /* if */, Hexagon::A2_pandt, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9154             :   { 158 /* if */, Hexagon::L4_ploadrbt_abs, Convert__Reg1_4__Reg1_2__u32_0Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9155             :   { 158 /* if */, Hexagon::L4_ploadrht_abs, Convert__Reg1_4__Reg1_2__u32_0Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9156             :   { 158 /* if */, Hexagon::L4_ploadrubt_abs, Convert__Reg1_4__Reg1_2__u32_0Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9157             :   { 158 /* if */, Hexagon::L4_ploadruht_abs, Convert__Reg1_4__Reg1_2__u32_0Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9158             :   { 158 /* if */, Hexagon::L4_ploadrit_abs, Convert__Reg1_4__Reg1_2__u32_0Imm1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9159             :   { 158 /* if */, Hexagon::A2_port, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9160             :   { 158 /* if */, Hexagon::A2_psubt, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9161             :   { 158 /* if */, Hexagon::A2_pxort, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_xor, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9162             :   { 158 /* if */, Hexagon::S4_pstorerbf_abs, Convert__Reg1_3__u32_0Imm1_8__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9163             :   { 158 /* if */, Hexagon::S4_storeirbf_io, Convert__Reg1_3__Reg1_7__imm_95_0__s32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9164             :   { 158 /* if */, Hexagon::S4_pstorerdf_abs, Convert__Reg1_3__u32_0Imm1_8__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9165             :   { 158 /* if */, Hexagon::S4_pstorerhf_abs, Convert__Reg1_3__u32_0Imm1_8__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9166             :   { 158 /* if */, Hexagon::S4_storeirhf_io, Convert__Reg1_3__Reg1_7__imm_95_0__s32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9167             :   { 158 /* if */, Hexagon::S4_pstorerif_abs, Convert__Reg1_3__u32_0Imm1_8__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9168             :   { 158 /* if */, Hexagon::S4_storeirif_io, Convert__Reg1_3__Reg1_7__imm_95_0__s32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9169             :   { 158 /* if */, Hexagon::C2_ccombinewf, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9170             :   { 158 /* if */, Hexagon::L4_ploadrdf_abs, Convert__Reg1_5__Reg1_3__u32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9171             :   { 158 /* if */, Hexagon::V6_vnccombine, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxWR, MCK__61_, MCK_vcombine, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK__41_ }, },
    9172             :   { 158 /* if */, Hexagon::A2_paddf, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9173             :   { 158 /* if */, Hexagon::A2_pandf, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9174             :   { 158 /* if */, Hexagon::L4_ploadrbf_abs, Convert__Reg1_5__Reg1_3__u32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9175             :   { 158 /* if */, Hexagon::L4_ploadrhf_abs, Convert__Reg1_5__Reg1_3__u32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9176             :   { 158 /* if */, Hexagon::L4_ploadrubf_abs, Convert__Reg1_5__Reg1_3__u32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9177             :   { 158 /* if */, Hexagon::L4_ploadruhf_abs, Convert__Reg1_5__Reg1_3__u32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9178             :   { 158 /* if */, Hexagon::L4_ploadrif_abs, Convert__Reg1_5__Reg1_3__u32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9179             :   { 158 /* if */, Hexagon::A2_porf, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9180             :   { 158 /* if */, Hexagon::A2_psubf, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9181             :   { 158 /* if */, Hexagon::A2_pxorf, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_xor, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9182             :   { 158 /* if */, Hexagon::V6_vS32b_nt_qpred_ai, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_11, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9183             :   { 158 /* if */, Hexagon::V6_vaddbq, Convert__Reg1_4__Reg1_2__Tie0_0_0__Reg1_9, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_b }, },
    9184             :   { 158 /* if */, Hexagon::V6_vsubbq, Convert__Reg1_4__Reg1_2__Tie0_0_0__Reg1_9, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_b }, },
    9185             :   { 158 /* if */, Hexagon::V6_vaddhq, Convert__Reg1_4__Reg1_2__Tie0_0_0__Reg1_9, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9186             :   { 158 /* if */, Hexagon::V6_vsubhq, Convert__Reg1_4__Reg1_2__Tie0_0_0__Reg1_9, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9187             :   { 158 /* if */, Hexagon::V6_vaddwq, Convert__Reg1_4__Reg1_2__Tie0_0_0__Reg1_9, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9188             :   { 158 /* if */, Hexagon::V6_vsubwq, Convert__Reg1_4__Reg1_2__Tie0_0_0__Reg1_9, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9189             :   { 158 /* if */, Hexagon::S2_pstorerbnewt_io, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9190             :   { 158 /* if */, Hexagon::S2_pstorerft_io, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9191             :   { 158 /* if */, Hexagon::S2_pstorerhnewt_io, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9192             :   { 158 /* if */, Hexagon::S2_pstorerinewt_io, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_9, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9193             :   { 158 /* if */, Hexagon::V6_vS32b_nt_pred_ai, Convert__Reg1_2__Reg1_6__imm_95_0__Reg1_11, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9194             :   { 158 /* if */, Hexagon::L4_return_t, Convert__Reg1_4__Reg1_2__Reg1_8, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_dealloc_95_return, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_raw }, },
    9195             :   { 158 /* if */, Hexagon::A2_paddit, Convert__Reg1_4__Reg1_2__Reg1_8__s32_0Imm1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    9196             :   { 158 /* if */, Hexagon::V6_vL32b_cur_pred_pi, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_10_10__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9197             :   { 158 /* if */, Hexagon::V6_vL32b_tmp_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_10__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9198             :   { 158 /* if */, Hexagon::V6_vL32b_nt_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_8__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9199             :   { 158 /* if */, Hexagon::S4_pstorerbtnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9200             :   { 158 /* if */, Hexagon::S4_pstorerdtnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9201             :   { 158 /* if */, Hexagon::S4_pstorerhtnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9202             :   { 158 /* if */, Hexagon::S4_pstoreritnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9203             :   { 158 /* if */, Hexagon::L2_ploadrdtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9204             :   { 158 /* if */, Hexagon::A4_paslhtnew, Convert__Reg1_6__Reg1_2__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_aslh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9205             :   { 158 /* if */, Hexagon::A4_pasrhtnew, Convert__Reg1_6__Reg1_2__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_asrh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9206             :   { 158 /* if */, Hexagon::L2_ploadrbtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9207             :   { 158 /* if */, Hexagon::L2_ploadrhtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9208             :   { 158 /* if */, Hexagon::L2_ploadrubtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9209             :   { 158 /* if */, Hexagon::L2_ploadruhtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9210             :   { 158 /* if */, Hexagon::L2_ploadritnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__imm_95_0, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9211             :   { 158 /* if */, Hexagon::A4_psxtbtnew, Convert__Reg1_6__Reg1_2__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9212             :   { 158 /* if */, Hexagon::A4_psxthtnew, Convert__Reg1_6__Reg1_2__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9213             :   { 158 /* if */, Hexagon::A4_pzxtbtnew, Convert__Reg1_6__Reg1_2__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_zxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9214             :   { 158 /* if */, Hexagon::A4_pzxthtnew, Convert__Reg1_6__Reg1_2__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_zxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9215             :   { 158 /* if */, Hexagon::J2_jumprz, Convert__Reg1_2__b13_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_IntRegs, MCK__EXCLAIM_, MCK__61_, MCK__35_, MCK_0, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b13_2Imm }, },
    9216             :   { 158 /* if */, Hexagon::J2_jumprzpt, Convert__Reg1_2__b13_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_IntRegs, MCK__EXCLAIM_, MCK__61_, MCK__35_, MCK_0, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b13_2Imm }, },
    9217             :   { 158 /* if */, Hexagon::J2_jumprltez, Convert__Reg1_2__b13_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__61_, MCK__35_, MCK_0, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b13_2Imm }, },
    9218             :   { 158 /* if */, Hexagon::J2_jumprltezpt, Convert__Reg1_2__b13_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__61_, MCK__35_, MCK_0, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b13_2Imm }, },
    9219             :   { 158 /* if */, Hexagon::J2_jumprnz, Convert__Reg1_2__b13_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_IntRegs, MCK__61_, MCK__61_, MCK__35_, MCK_0, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b13_2Imm }, },
    9220             :   { 158 /* if */, Hexagon::J2_jumprnzpt, Convert__Reg1_2__b13_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_IntRegs, MCK__61_, MCK__61_, MCK__35_, MCK_0, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b13_2Imm }, },
    9221             :   { 158 /* if */, Hexagon::J2_jumprgtez, Convert__Reg1_2__b13_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_IntRegs, MCK__GT_, MCK__61_, MCK__35_, MCK_0, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b13_2Imm }, },
    9222             :   { 158 /* if */, Hexagon::J2_jumprgtezpt, Convert__Reg1_2__b13_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_IntRegs, MCK__GT_, MCK__61_, MCK__35_, MCK_0, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b13_2Imm }, },
    9223             :   { 158 /* if */, Hexagon::V6_vS32b_nt_nqpred_ai, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_12, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9224             :   { 158 /* if */, Hexagon::V6_vaddbnq, Convert__Reg1_5__Reg1_3__Tie0_0_0__Reg1_10, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_b }, },
    9225             :   { 158 /* if */, Hexagon::V6_vsubbnq, Convert__Reg1_5__Reg1_3__Tie0_0_0__Reg1_10, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_b }, },
    9226             :   { 158 /* if */, Hexagon::V6_vaddhnq, Convert__Reg1_5__Reg1_3__Tie0_0_0__Reg1_10, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9227             :   { 158 /* if */, Hexagon::V6_vsubhnq, Convert__Reg1_5__Reg1_3__Tie0_0_0__Reg1_10, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9228             :   { 158 /* if */, Hexagon::V6_vaddwnq, Convert__Reg1_5__Reg1_3__Tie0_0_0__Reg1_10, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9229             :   { 158 /* if */, Hexagon::V6_vsubwnq, Convert__Reg1_5__Reg1_3__Tie0_0_0__Reg1_10, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9230             :   { 158 /* if */, Hexagon::S2_pstorerbnewf_io, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9231             :   { 158 /* if */, Hexagon::S2_pstorerff_io, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9232             :   { 158 /* if */, Hexagon::S2_pstorerhnewf_io, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9233             :   { 158 /* if */, Hexagon::S2_pstorerinewf_io, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_10, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9234             :   { 158 /* if */, Hexagon::V6_vS32b_nt_npred_ai, Convert__Reg1_3__Reg1_7__imm_95_0__Reg1_12, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9235             :   { 158 /* if */, Hexagon::L4_return_f, Convert__Reg1_5__Reg1_3__Reg1_9, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_dealloc_95_return, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_raw }, },
    9236             :   { 158 /* if */, Hexagon::A2_paddif, Convert__Reg1_5__Reg1_3__Reg1_9__s32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    9237             :   { 158 /* if */, Hexagon::V6_vL32b_cur_npred_pi, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_11_11__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9238             :   { 158 /* if */, Hexagon::V6_vL32b_npred_ai, Convert__Reg1_5__Reg1_3__Reg1_11__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9239             :   { 158 /* if */, Hexagon::V6_vL32b_nt_npred_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_9_9__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9240             :   { 158 /* if */, Hexagon::S4_pstorerbfnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9241             :   { 158 /* if */, Hexagon::S4_pstorerdfnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9242             :   { 158 /* if */, Hexagon::S4_pstorerhfnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9243             :   { 158 /* if */, Hexagon::S4_pstorerifnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9244             :   { 158 /* if */, Hexagon::L2_ploadrdfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9245             :   { 158 /* if */, Hexagon::A4_paslhfnew, Convert__Reg1_7__Reg1_3__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_aslh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9246             :   { 158 /* if */, Hexagon::A4_pasrhfnew, Convert__Reg1_7__Reg1_3__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_asrh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9247             :   { 158 /* if */, Hexagon::L2_ploadrbfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9248             :   { 158 /* if */, Hexagon::L2_ploadrhfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9249             :   { 158 /* if */, Hexagon::L2_ploadrubfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9250             :   { 158 /* if */, Hexagon::L2_ploadruhfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9251             :   { 158 /* if */, Hexagon::L2_ploadrifnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__imm_95_0, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9252             :   { 158 /* if */, Hexagon::A4_psxtbfnew, Convert__Reg1_7__Reg1_3__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9253             :   { 158 /* if */, Hexagon::A4_psxthfnew, Convert__Reg1_7__Reg1_3__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9254             :   { 158 /* if */, Hexagon::A4_pzxtbfnew, Convert__Reg1_7__Reg1_3__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_zxtb, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9255             :   { 158 /* if */, Hexagon::A4_pzxthfnew, Convert__Reg1_7__Reg1_3__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_zxth, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9256             :   { 158 /* if */, Hexagon::V6_vS32b_qpred_ai, Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_12, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9257             :   { 158 /* if */, Hexagon::V6_vS32b_qpred_ppu, Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9258             :   { 158 /* if */, Hexagon::S4_pstorerbnewt_abs, Convert__Reg1_2__u32_0Imm1_7__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9259             :   { 158 /* if */, Hexagon::S2_pstorerbt_io, Convert__Reg1_2__Reg1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9260             :   { 158 /* if */, Hexagon::S2_pstorerdt_io, Convert__Reg1_2__Reg1_6__u29_3Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9261             :   { 158 /* if */, Hexagon::S4_pstorerft_abs, Convert__Reg1_2__u32_0Imm1_7__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9262             :   { 158 /* if */, Hexagon::S4_pstorerhnewt_abs, Convert__Reg1_2__u32_0Imm1_7__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9263             :   { 158 /* if */, Hexagon::S2_pstorerht_io, Convert__Reg1_2__Reg1_6__u31_1Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9264             :   { 158 /* if */, Hexagon::S4_pstorerinewt_abs, Convert__Reg1_2__u32_0Imm1_7__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9265             :   { 158 /* if */, Hexagon::S2_pstorerit_io, Convert__Reg1_2__Reg1_6__u30_2Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9266             :   { 158 /* if */, Hexagon::V6_vS32b_pred_ai, Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_12, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9267             :   { 158 /* if */, Hexagon::V6_vS32b_pred_ppu, Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9268             :   { 158 /* if */, Hexagon::V6_vS32Ub_pred_ai, Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_12, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9269             :   { 158 /* if */, Hexagon::V6_vS32Ub_pred_ppu, Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9270             :   { 158 /* if */, Hexagon::L2_ploadrdt_io, Convert__Reg1_4__Reg1_2__Reg1_8__u29_3Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_ }, },
    9271             :   { 158 /* if */, Hexagon::L2_ploadrbt_io, Convert__Reg1_4__Reg1_2__Reg1_8__u32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9272             :   { 158 /* if */, Hexagon::L2_ploadrht_io, Convert__Reg1_4__Reg1_2__Reg1_8__u31_1Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    9273             :   { 158 /* if */, Hexagon::L2_ploadrubt_io, Convert__Reg1_4__Reg1_2__Reg1_8__u32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9274             :   { 158 /* if */, Hexagon::L2_ploadruht_io, Convert__Reg1_4__Reg1_2__Reg1_8__u31_1Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    9275             :   { 158 /* if */, Hexagon::L2_ploadrit_io, Convert__Reg1_4__Reg1_2__Reg1_8__u30_2Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_ }, },
    9276             :   { 158 /* if */, Hexagon::V6_vL32b_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_8__s4_0Imm1_11, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9277             :   { 158 /* if */, Hexagon::V6_vL32b_pred_ppu, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__Reg1_11, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    9278             :   { 158 /* if */, Hexagon::S4_pstorerbtnew_abs, Convert__Reg1_2__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9279             :   { 158 /* if */, Hexagon::S4_storeirbtnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__s32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9280             :   { 158 /* if */, Hexagon::S4_pstorerdtnew_abs, Convert__Reg1_2__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9281             :   { 158 /* if */, Hexagon::S4_pstorerhtnew_abs, Convert__Reg1_2__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9282             :   { 158 /* if */, Hexagon::S4_storeirhtnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__s32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9283             :   { 158 /* if */, Hexagon::S4_pstoreritnew_abs, Convert__Reg1_2__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9284             :   { 158 /* if */, Hexagon::S4_storeiritnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__s32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9285             :   { 158 /* if */, Hexagon::C2_ccombinewnewt, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9286             :   { 158 /* if */, Hexagon::L4_ploadrdtnew_abs, Convert__Reg1_6__Reg1_2__u32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9287             :   { 158 /* if */, Hexagon::A2_paddtnew, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9288             :   { 158 /* if */, Hexagon::A2_pandtnew, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9289             :   { 158 /* if */, Hexagon::L4_ploadrbtnew_abs, Convert__Reg1_6__Reg1_2__u32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9290             :   { 158 /* if */, Hexagon::L4_ploadrhtnew_abs, Convert__Reg1_6__Reg1_2__u32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9291             :   { 158 /* if */, Hexagon::L4_ploadrubtnew_abs, Convert__Reg1_6__Reg1_2__u32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9292             :   { 158 /* if */, Hexagon::L4_ploadruhtnew_abs, Convert__Reg1_6__Reg1_2__u32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9293             :   { 158 /* if */, Hexagon::L4_ploadritnew_abs, Convert__Reg1_6__Reg1_2__u32_0Imm1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9294             :   { 158 /* if */, Hexagon::A2_portnew, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9295             :   { 158 /* if */, Hexagon::A2_psubtnew, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9296             :   { 158 /* if */, Hexagon::A2_pxortnew, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_xor, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9297             :   { 158 /* if */, Hexagon::V6_vS32b_nqpred_ai, Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9298             :   { 158 /* if */, Hexagon::V6_vS32b_nqpred_ppu, Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9299             :   { 158 /* if */, Hexagon::S4_pstorerbnewf_abs, Convert__Reg1_3__u32_0Imm1_8__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9300             :   { 158 /* if */, Hexagon::S2_pstorerbf_io, Convert__Reg1_3__Reg1_7__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9301             :   { 158 /* if */, Hexagon::S2_pstorerdf_io, Convert__Reg1_3__Reg1_7__u29_3Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9302             :   { 158 /* if */, Hexagon::S4_pstorerff_abs, Convert__Reg1_3__u32_0Imm1_8__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9303             :   { 158 /* if */, Hexagon::S4_pstorerhnewf_abs, Convert__Reg1_3__u32_0Imm1_8__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9304             :   { 158 /* if */, Hexagon::S2_pstorerhf_io, Convert__Reg1_3__Reg1_7__u31_1Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9305             :   { 158 /* if */, Hexagon::S4_pstorerinewf_abs, Convert__Reg1_3__u32_0Imm1_8__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9306             :   { 158 /* if */, Hexagon::S2_pstorerif_io, Convert__Reg1_3__Reg1_7__u30_2Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9307             :   { 158 /* if */, Hexagon::V6_vS32b_npred_ai, Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9308             :   { 158 /* if */, Hexagon::V6_vS32b_npred_ppu, Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9309             :   { 158 /* if */, Hexagon::V6_vS32Ub_npred_ai, Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9310             :   { 158 /* if */, Hexagon::V6_vS32Ub_npred_ppu, Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9311             :   { 158 /* if */, Hexagon::L2_ploadrdf_io, Convert__Reg1_5__Reg1_3__Reg1_9__u29_3Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_ }, },
    9312             :   { 158 /* if */, Hexagon::L2_ploadrbf_io, Convert__Reg1_5__Reg1_3__Reg1_9__u32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9313             :   { 158 /* if */, Hexagon::L2_ploadrhf_io, Convert__Reg1_5__Reg1_3__Reg1_9__u31_1Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    9314             :   { 158 /* if */, Hexagon::L2_ploadrubf_io, Convert__Reg1_5__Reg1_3__Reg1_9__u32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9315             :   { 158 /* if */, Hexagon::L2_ploadruhf_io, Convert__Reg1_5__Reg1_3__Reg1_9__u31_1Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    9316             :   { 158 /* if */, Hexagon::L2_ploadrif_io, Convert__Reg1_5__Reg1_3__Reg1_9__u30_2Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_ }, },
    9317             :   { 158 /* if */, Hexagon::V6_vL32b_npred_ai, Convert__Reg1_5__Reg1_3__Reg1_9__s4_0Imm1_12, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9318             :   { 158 /* if */, Hexagon::V6_vL32b_npred_ppu, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__Reg1_12, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    9319             :   { 158 /* if */, Hexagon::S4_pstorerbfnew_abs, Convert__Reg1_3__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9320             :   { 158 /* if */, Hexagon::S4_storeirbfnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__s32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9321             :   { 158 /* if */, Hexagon::S4_pstorerdfnew_abs, Convert__Reg1_3__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9322             :   { 158 /* if */, Hexagon::S4_pstorerhfnew_abs, Convert__Reg1_3__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9323             :   { 158 /* if */, Hexagon::S4_storeirhfnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__s32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9324             :   { 158 /* if */, Hexagon::S4_pstorerifnew_abs, Convert__Reg1_3__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9325             :   { 158 /* if */, Hexagon::S4_storeirifnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__s32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9326             :   { 158 /* if */, Hexagon::C2_ccombinewnewf, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_combine, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9327             :   { 158 /* if */, Hexagon::L4_ploadrdfnew_abs, Convert__Reg1_7__Reg1_3__u32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9328             :   { 158 /* if */, Hexagon::A2_paddfnew, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9329             :   { 158 /* if */, Hexagon::A2_pandfnew, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_and, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9330             :   { 158 /* if */, Hexagon::L4_ploadrbfnew_abs, Convert__Reg1_7__Reg1_3__u32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9331             :   { 158 /* if */, Hexagon::L4_ploadrhfnew_abs, Convert__Reg1_7__Reg1_3__u32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9332             :   { 158 /* if */, Hexagon::L4_ploadrubfnew_abs, Convert__Reg1_7__Reg1_3__u32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9333             :   { 158 /* if */, Hexagon::L4_ploadruhfnew_abs, Convert__Reg1_7__Reg1_3__u32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9334             :   { 158 /* if */, Hexagon::L4_ploadrifnew_abs, Convert__Reg1_7__Reg1_3__u32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9335             :   { 158 /* if */, Hexagon::A2_porfnew, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_or, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9336             :   { 158 /* if */, Hexagon::A2_psubfnew, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_sub, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9337             :   { 158 /* if */, Hexagon::A2_pxorfnew, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_xor, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9338             :   { 158 /* if */, Hexagon::V6_vS32b_qpred_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9339             :   { 158 /* if */, Hexagon::V6_vaddbq, Convert__Reg1_6__Reg1_2__Tie0_6_6__Reg1_11, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_b, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_b }, },
    9340             :   { 158 /* if */, Hexagon::V6_vsubbq, Convert__Reg1_6__Reg1_2__Tie0_6_6__Reg1_11, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_b, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_b }, },
    9341             :   { 158 /* if */, Hexagon::V6_vaddhq, Convert__Reg1_6__Reg1_2__Tie0_6_6__Reg1_11, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_h, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9342             :   { 158 /* if */, Hexagon::V6_vsubhq, Convert__Reg1_6__Reg1_2__Tie0_6_6__Reg1_11, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_h, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9343             :   { 158 /* if */, Hexagon::V6_vaddwq, Convert__Reg1_6__Reg1_2__Tie0_6_6__Reg1_11, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_w, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9344             :   { 158 /* if */, Hexagon::V6_vsubwq, Convert__Reg1_6__Reg1_2__Tie0_6_6__Reg1_11, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__DOT_, MCK_w, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9345             :   { 158 /* if */, Hexagon::S4_storeirbt_io, Convert__Reg1_2__Reg1_6__u6_0Imm1_9__s32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_0Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9346             :   { 158 /* if */, Hexagon::S2_pstorerbt_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9347             :   { 158 /* if */, Hexagon::S2_pstorerdt_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_3Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9348             :   { 158 /* if */, Hexagon::S4_storeirht_io, Convert__Reg1_2__Reg1_6__u6_1Imm1_9__s32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_1Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9349             :   { 158 /* if */, Hexagon::S2_pstorerht_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_1Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9350             :   { 158 /* if */, Hexagon::S4_storeirit_io, Convert__Reg1_2__Reg1_6__u6_2Imm1_9__s32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_2Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9351             :   { 158 /* if */, Hexagon::S2_pstorerit_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_2Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9352             :   { 158 /* if */, Hexagon::V6_vS32b_pred_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9353             :   { 158 /* if */, Hexagon::V6_vS32Ub_pred_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9354             :   { 158 /* if */, Hexagon::L2_ploadrdt_pi, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_3Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_ }, },
    9355             :   { 158 /* if */, Hexagon::L2_ploadrbt_pi, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_0Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9356             :   { 158 /* if */, Hexagon::L2_ploadrht_pi, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_1Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    9357             :   { 158 /* if */, Hexagon::L2_ploadrubt_pi, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_0Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9358             :   { 158 /* if */, Hexagon::L2_ploadruht_pi, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_1Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    9359             :   { 158 /* if */, Hexagon::L2_ploadrit_pi, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s4_2Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_ }, },
    9360             :   { 158 /* if */, Hexagon::V6_vL32b_nt_cur_pred_pi, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_10_10__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9361             :   { 158 /* if */, Hexagon::V6_vL32b_nt_tmp_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_10__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9362             :   { 158 /* if */, Hexagon::V6_vL32b_pred_pi, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s3_0Imm1_12, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    9363             :   { 158 /* if */, Hexagon::S4_pstorerbnewtnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9364             :   { 158 /* if */, Hexagon::S4_pstorerftnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9365             :   { 158 /* if */, Hexagon::S4_pstorerhnewtnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9366             :   { 158 /* if */, Hexagon::S4_pstorerinewtnew_io, Convert__Reg1_2__Reg1_8__imm_95_0__Reg1_11, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9367             :   { 158 /* if */, Hexagon::A2_padditnew, Convert__Reg1_6__Reg1_2__Reg1_10__s32_0Imm1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    9368             :   { 158 /* if */, Hexagon::V6_vS32b_nqpred_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9369             :   { 158 /* if */, Hexagon::V6_vaddbnq, Convert__Reg1_7__Reg1_3__Tie0_7_7__Reg1_12, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_b, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_b }, },
    9370             :   { 158 /* if */, Hexagon::V6_vsubbnq, Convert__Reg1_7__Reg1_3__Tie0_7_7__Reg1_12, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_b, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_b, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_b }, },
    9371             :   { 158 /* if */, Hexagon::V6_vaddhnq, Convert__Reg1_7__Reg1_3__Tie0_7_7__Reg1_12, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_h, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9372             :   { 158 /* if */, Hexagon::V6_vsubhnq, Convert__Reg1_7__Reg1_3__Tie0_7_7__Reg1_12, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_h, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9373             :   { 158 /* if */, Hexagon::V6_vaddwnq, Convert__Reg1_7__Reg1_3__Tie0_7_7__Reg1_12, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_w, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9374             :   { 158 /* if */, Hexagon::V6_vsubwnq, Convert__Reg1_7__Reg1_3__Tie0_7_7__Reg1_12, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__DOT_, MCK_w, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__MINUS_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9375             :   { 158 /* if */, Hexagon::S4_storeirbf_io, Convert__Reg1_3__Reg1_7__u6_0Imm1_10__s32_0Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_0Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9376             :   { 158 /* if */, Hexagon::S2_pstorerbf_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_0Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9377             :   { 158 /* if */, Hexagon::S2_pstorerdf_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_3Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9378             :   { 158 /* if */, Hexagon::S4_storeirhf_io, Convert__Reg1_3__Reg1_7__u6_1Imm1_10__s32_0Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_1Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9379             :   { 158 /* if */, Hexagon::S2_pstorerhf_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_1Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9380             :   { 158 /* if */, Hexagon::S4_storeirif_io, Convert__Reg1_3__Reg1_7__u6_2Imm1_10__s32_0Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_2Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9381             :   { 158 /* if */, Hexagon::S2_pstorerif_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_2Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9382             :   { 158 /* if */, Hexagon::V6_vS32b_npred_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9383             :   { 158 /* if */, Hexagon::V6_vS32Ub_npred_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9384             :   { 158 /* if */, Hexagon::L2_ploadrdf_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_3Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_ }, },
    9385             :   { 158 /* if */, Hexagon::L2_ploadrbf_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_0Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9386             :   { 158 /* if */, Hexagon::L2_ploadrhf_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_1Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    9387             :   { 158 /* if */, Hexagon::L2_ploadrubf_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_0Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9388             :   { 158 /* if */, Hexagon::L2_ploadruhf_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_1Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    9389             :   { 158 /* if */, Hexagon::L2_ploadrif_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s4_2Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_ }, },
    9390             :   { 158 /* if */, Hexagon::V6_vL32b_nt_cur_npred_pi, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_11_11__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9391             :   { 158 /* if */, Hexagon::V6_vL32b_nt_npred_ai, Convert__Reg1_5__Reg1_3__Reg1_11__imm_95_0, Feature_UseHVX, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9392             :   { 158 /* if */, Hexagon::V6_vL32b_npred_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s3_0Imm1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    9393             :   { 158 /* if */, Hexagon::S4_pstorerbnewfnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9394             :   { 158 /* if */, Hexagon::S4_pstorerffnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9395             :   { 158 /* if */, Hexagon::S4_pstorerhnewfnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9396             :   { 158 /* if */, Hexagon::S4_pstorerinewfnew_io, Convert__Reg1_3__Reg1_9__imm_95_0__Reg1_12, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9397             :   { 158 /* if */, Hexagon::A2_paddifnew, Convert__Reg1_7__Reg1_3__Reg1_11__s32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_add, MCK__40_, MCK_IntRegs, MCK__35_, MCK_s32_0Imm, MCK__41_ }, },
    9398             :   { 158 /* if */, Hexagon::J4_tstbit0_t_jumpnv_nt, Convert__Reg1_4__b30_2Imm1_14, 0, { MCK_if, MCK__40_, MCK_tstbit, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_0, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9399             :   { 158 /* if */, Hexagon::J4_tstbit0_t_jumpnv_t, Convert__Reg1_4__b30_2Imm1_14, 0, { MCK_if, MCK__40_, MCK_tstbit, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_0, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9400             :   { 158 /* if */, Hexagon::V6_vS32b_nt_qpred_ai, Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9401             :   { 158 /* if */, Hexagon::V6_vS32b_nt_qpred_ppu, Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9402             :   { 158 /* if */, Hexagon::S2_pstorerbnewt_io, Convert__Reg1_2__Reg1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9403             :   { 158 /* if */, Hexagon::S2_pstorerft_io, Convert__Reg1_2__Reg1_6__u31_1Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9404             :   { 158 /* if */, Hexagon::S2_pstorerhnewt_io, Convert__Reg1_2__Reg1_6__u31_1Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9405             :   { 158 /* if */, Hexagon::S2_pstorerinewt_io, Convert__Reg1_2__Reg1_6__u30_2Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9406             :   { 158 /* if */, Hexagon::V6_vS32b_nt_pred_ai, Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9407             :   { 158 /* if */, Hexagon::V6_vS32b_new_pred_ai, Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_12, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9408             :   { 158 /* if */, Hexagon::V6_vS32b_nt_pred_ppu, Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9409             :   { 158 /* if */, Hexagon::V6_vS32b_new_pred_ppu, Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_12, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9410             :   { 158 /* if */, Hexagon::V6_vL32b_cur_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_10__s4_0Imm1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9411             :   { 158 /* if */, Hexagon::V6_vL32b_cur_pred_ppu, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__Reg1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    9412             :   { 158 /* if */, Hexagon::V6_vL32b_tmp_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_10__s4_0Imm1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9413             :   { 158 /* if */, Hexagon::V6_vL32b_tmp_pred_ppu, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__Reg1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    9414             :   { 158 /* if */, Hexagon::V6_vL32b_nt_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_8__s4_0Imm1_11, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9415             :   { 158 /* if */, Hexagon::V6_vL32b_nt_pred_ppu, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__Reg1_11, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9416             :   { 158 /* if */, Hexagon::S4_pstorerbnewtnew_abs, Convert__Reg1_2__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9417             :   { 158 /* if */, Hexagon::S4_pstorerbtnew_io, Convert__Reg1_2__Reg1_8__u32_0Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9418             :   { 158 /* if */, Hexagon::S4_pstorerdtnew_io, Convert__Reg1_2__Reg1_8__u29_3Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9419             :   { 158 /* if */, Hexagon::S4_pstorerftnew_abs, Convert__Reg1_2__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9420             :   { 158 /* if */, Hexagon::S4_pstorerhnewtnew_abs, Convert__Reg1_2__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9421             :   { 158 /* if */, Hexagon::S4_pstorerhtnew_io, Convert__Reg1_2__Reg1_8__u31_1Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9422             :   { 158 /* if */, Hexagon::S4_pstorerinewtnew_abs, Convert__Reg1_2__u32_0Imm1_9__Reg1_12, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9423             :   { 158 /* if */, Hexagon::S4_pstoreritnew_io, Convert__Reg1_2__Reg1_8__u30_2Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9424             :   { 158 /* if */, Hexagon::L2_ploadrdtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__u29_3Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_ }, },
    9425             :   { 158 /* if */, Hexagon::L2_ploadrbtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__u32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9426             :   { 158 /* if */, Hexagon::L2_ploadrhtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__u31_1Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    9427             :   { 158 /* if */, Hexagon::L2_ploadrubtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__u32_0Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9428             :   { 158 /* if */, Hexagon::L2_ploadruhtnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__u31_1Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    9429             :   { 158 /* if */, Hexagon::L2_ploadritnew_io, Convert__Reg1_6__Reg1_2__Reg1_10__u30_2Imm1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_ }, },
    9430             :   { 158 /* if */, Hexagon::J4_tstbit0_f_jumpnv_nt, Convert__Reg1_5__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_tstbit, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_0, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9431             :   { 158 /* if */, Hexagon::J4_tstbit0_f_jumpnv_t, Convert__Reg1_5__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_tstbit, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_0, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9432             :   { 158 /* if */, Hexagon::V6_vS32b_nt_nqpred_ai, Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9433             :   { 158 /* if */, Hexagon::V6_vS32b_nt_nqpred_ppu, Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9434             :   { 158 /* if */, Hexagon::S2_pstorerbnewf_io, Convert__Reg1_3__Reg1_7__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9435             :   { 158 /* if */, Hexagon::S2_pstorerff_io, Convert__Reg1_3__Reg1_7__u31_1Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9436             :   { 158 /* if */, Hexagon::S2_pstorerhnewf_io, Convert__Reg1_3__Reg1_7__u31_1Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9437             :   { 158 /* if */, Hexagon::S2_pstorerinewf_io, Convert__Reg1_3__Reg1_7__u30_2Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9438             :   { 158 /* if */, Hexagon::V6_vS32b_nt_npred_ai, Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9439             :   { 158 /* if */, Hexagon::V6_vS32b_new_npred_ai, Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9440             :   { 158 /* if */, Hexagon::V6_vS32b_nt_npred_ppu, Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9441             :   { 158 /* if */, Hexagon::V6_vS32b_new_npred_ppu, Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9442             :   { 158 /* if */, Hexagon::V6_vL32b_cur_npred_ai, Convert__Reg1_5__Reg1_3__Reg1_11__s4_0Imm1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9443             :   { 158 /* if */, Hexagon::V6_vL32b_cur_npred_ppu, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__Reg1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    9444             :   { 158 /* if */, Hexagon::V6_vL32b_tmp_npred_ai, Convert__Reg1_5__Reg1_3__Reg1_11__s4_0Imm1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9445             :   { 158 /* if */, Hexagon::V6_vL32b_tmp_npred_ppu, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__Reg1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_ }, },
    9446             :   { 158 /* if */, Hexagon::V6_vL32b_nt_npred_ai, Convert__Reg1_5__Reg1_3__Reg1_9__s4_0Imm1_12, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9447             :   { 158 /* if */, Hexagon::V6_vL32b_nt_npred_ppu, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__Reg1_12, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9448             :   { 158 /* if */, Hexagon::S4_pstorerbnewfnew_abs, Convert__Reg1_3__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9449             :   { 158 /* if */, Hexagon::S4_pstorerbfnew_io, Convert__Reg1_3__Reg1_9__u32_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9450             :   { 158 /* if */, Hexagon::S4_pstorerdfnew_io, Convert__Reg1_3__Reg1_9__u29_3Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9451             :   { 158 /* if */, Hexagon::S4_pstorerffnew_abs, Convert__Reg1_3__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9452             :   { 158 /* if */, Hexagon::S4_pstorerhnewfnew_abs, Convert__Reg1_3__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9453             :   { 158 /* if */, Hexagon::S4_pstorerhfnew_io, Convert__Reg1_3__Reg1_9__u31_1Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9454             :   { 158 /* if */, Hexagon::S4_pstorerinewfnew_abs, Convert__Reg1_3__u32_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9455             :   { 158 /* if */, Hexagon::S4_pstorerifnew_io, Convert__Reg1_3__Reg1_9__u30_2Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9456             :   { 158 /* if */, Hexagon::L2_ploadrdfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__u29_3Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_ }, },
    9457             :   { 158 /* if */, Hexagon::L2_ploadrbfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__u32_0Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9458             :   { 158 /* if */, Hexagon::L2_ploadrhfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__u31_1Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    9459             :   { 158 /* if */, Hexagon::L2_ploadrubfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__u32_0Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_ }, },
    9460             :   { 158 /* if */, Hexagon::L2_ploadruhfnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__u31_1Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_ }, },
    9461             :   { 158 /* if */, Hexagon::L2_ploadrifnew_io, Convert__Reg1_7__Reg1_3__Reg1_11__u30_2Imm1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_ }, },
    9462             :   { 158 /* if */, Hexagon::J4_cmpeq_t_jumpnv_nt, Convert__Reg1_6__Reg1_9__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9463             :   { 158 /* if */, Hexagon::J4_cmpeq_t_jumpnv_t, Convert__Reg1_6__Reg1_9__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9464             :   { 158 /* if */, Hexagon::J4_cmpgt_t_jumpnv_nt, Convert__Reg1_6__Reg1_9__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9465             :   { 158 /* if */, Hexagon::J4_cmpgt_t_jumpnv_t, Convert__Reg1_6__Reg1_9__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9466             :   { 158 /* if */, Hexagon::J4_cmplt_t_jumpnv_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9467             :   { 158 /* if */, Hexagon::J4_cmplt_t_jumpnv_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9468             :   { 158 /* if */, Hexagon::J4_cmpgtu_t_jumpnv_nt, Convert__Reg1_6__Reg1_9__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9469             :   { 158 /* if */, Hexagon::J4_cmpgtu_t_jumpnv_t, Convert__Reg1_6__Reg1_9__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9470             :   { 158 /* if */, Hexagon::J4_cmpltu_t_jumpnv_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9471             :   { 158 /* if */, Hexagon::J4_cmpltu_t_jumpnv_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_15, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9472             :   { 158 /* if */, Hexagon::V6_vS32b_nt_qpred_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9473             :   { 158 /* if */, Hexagon::V6_vscattermhwq, Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_15, Feature_UseHVXV65, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_h, MCK__61_, MCK_HvxVR }, },
    9474             :   { 158 /* if */, Hexagon::V6_vscattermhwq, Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_13, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9475             :   { 158 /* if */, Hexagon::V6_vscattermhq, Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_15, Feature_UseHVXV65, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__DOT_, MCK_h, MCK__61_, MCK_HvxVR }, },
    9476             :   { 158 /* if */, Hexagon::V6_vscattermhq, Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_13, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9477             :   { 158 /* if */, Hexagon::V6_vscattermwq, Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_15, Feature_UseHVXV65, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_w, MCK__61_, MCK_HvxVR }, },
    9478             :   { 158 /* if */, Hexagon::V6_vscattermwq, Convert__Reg1_2__Reg1_6__Reg1_7__Reg1_8__Reg1_13, Feature_UseHVX, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9479             :   { 158 /* if */, Hexagon::S2_pstorerbnewt_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_0Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9480             :   { 158 /* if */, Hexagon::S4_pstorerbt_rr, Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9481             :   { 158 /* if */, Hexagon::S4_pstorerdt_rr, Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9482             :   { 158 /* if */, Hexagon::S2_pstorerft_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_1Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9483             :   { 158 /* if */, Hexagon::S2_pstorerhnewt_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_1Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9484             :   { 158 /* if */, Hexagon::S4_pstorerht_rr, Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9485             :   { 158 /* if */, Hexagon::S2_pstorerinewt_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s4_2Imm1_10__Reg1_13, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9486             :   { 158 /* if */, Hexagon::S4_pstorerit_rr, Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9487             :   { 158 /* if */, Hexagon::V6_vS32b_nt_pred_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9488             :   { 158 /* if */, Hexagon::V6_vS32b_new_pred_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_13, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9489             :   { 158 /* if */, Hexagon::L4_ploadrdt_rr, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9490             :   { 158 /* if */, Hexagon::L4_ploadrbt_rr, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9491             :   { 158 /* if */, Hexagon::L4_ploadrht_rr, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9492             :   { 158 /* if */, Hexagon::L4_ploadrubt_rr, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9493             :   { 158 /* if */, Hexagon::L4_ploadruht_rr, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9494             :   { 158 /* if */, Hexagon::L4_ploadrit_rr, Convert__Reg1_4__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9495             :   { 158 /* if */, Hexagon::V6_vL32b_cur_pred_pi, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__s3_0Imm1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    9496             :   { 158 /* if */, Hexagon::V6_vL32b_tmp_pred_pi, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__s3_0Imm1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    9497             :   { 158 /* if */, Hexagon::V6_vL32b_nt_pred_pi, Convert__Reg1_4__Reg1_8__Reg1_2__Tie1_0_0__s3_0Imm1_12, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9498             :   { 158 /* if */, Hexagon::S4_storeirbtnew_io, Convert__Reg1_2__Reg1_8__u6_0Imm1_11__s32_0Imm1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_0Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9499             :   { 158 /* if */, Hexagon::S2_pstorerbtnew_pi, Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9500             :   { 158 /* if */, Hexagon::S2_pstorerdtnew_pi, Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_3Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9501             :   { 158 /* if */, Hexagon::S4_storeirhtnew_io, Convert__Reg1_2__Reg1_8__u6_1Imm1_11__s32_0Imm1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_1Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9502             :   { 158 /* if */, Hexagon::S2_pstorerhtnew_pi, Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_1Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9503             :   { 158 /* if */, Hexagon::S4_storeiritnew_io, Convert__Reg1_2__Reg1_8__u6_2Imm1_11__s32_0Imm1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_2Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9504             :   { 158 /* if */, Hexagon::S2_pstoreritnew_pi, Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_2Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9505             :   { 158 /* if */, Hexagon::L4_return_tnew_pnt, Convert__Reg1_6__Reg1_2__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_dealloc_95_return, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__COLON_, MCK_raw }, },
    9506             :   { 158 /* if */, Hexagon::L4_return_tnew_pt, Convert__Reg1_6__Reg1_2__Reg1_10, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_dealloc_95_return, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_t, MCK__COLON_, MCK_raw }, },
    9507             :   { 158 /* if */, Hexagon::L2_ploadrdtnew_pi, Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_3Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_ }, },
    9508             :   { 158 /* if */, Hexagon::L2_ploadrbtnew_pi, Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_0Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9509             :   { 158 /* if */, Hexagon::L2_ploadrhtnew_pi, Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_1Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    9510             :   { 158 /* if */, Hexagon::L2_ploadrubtnew_pi, Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_0Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9511             :   { 158 /* if */, Hexagon::L2_ploadruhtnew_pi, Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_1Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    9512             :   { 158 /* if */, Hexagon::L2_ploadritnew_pi, Convert__Reg1_6__Reg1_10__Reg1_2__Tie1_0_0__s4_2Imm1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_ }, },
    9513             :   { 158 /* if */, Hexagon::J4_cmpeq_f_jumpnv_nt, Convert__Reg1_7__Reg1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9514             :   { 158 /* if */, Hexagon::J4_cmpeq_f_jumpnv_t, Convert__Reg1_7__Reg1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9515             :   { 158 /* if */, Hexagon::J4_cmpgt_f_jumpnv_nt, Convert__Reg1_7__Reg1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9516             :   { 158 /* if */, Hexagon::J4_cmpgt_f_jumpnv_t, Convert__Reg1_7__Reg1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9517             :   { 158 /* if */, Hexagon::J4_cmplt_f_jumpnv_nt, Convert__Reg1_7__Reg1_8__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9518             :   { 158 /* if */, Hexagon::J4_cmplt_f_jumpnv_t, Convert__Reg1_7__Reg1_8__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9519             :   { 158 /* if */, Hexagon::J4_cmpgtu_f_jumpnv_nt, Convert__Reg1_7__Reg1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9520             :   { 158 /* if */, Hexagon::J4_cmpgtu_f_jumpnv_t, Convert__Reg1_7__Reg1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK_IntRegs, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9521             :   { 158 /* if */, Hexagon::J4_cmpltu_f_jumpnv_nt, Convert__Reg1_7__Reg1_8__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9522             :   { 158 /* if */, Hexagon::J4_cmpltu_f_jumpnv_t, Convert__Reg1_7__Reg1_8__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9523             :   { 158 /* if */, Hexagon::V6_vS32b_nt_nqpred_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_16, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_HvxQR, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9524             :   { 158 /* if */, Hexagon::S2_pstorerbnewf_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_0Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9525             :   { 158 /* if */, Hexagon::S4_pstorerbf_rr, Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9526             :   { 158 /* if */, Hexagon::S4_pstorerdf_rr, Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9527             :   { 158 /* if */, Hexagon::S2_pstorerff_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_1Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9528             :   { 158 /* if */, Hexagon::S2_pstorerhnewf_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_1Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9529             :   { 158 /* if */, Hexagon::S4_pstorerhf_rr, Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9530             :   { 158 /* if */, Hexagon::S2_pstorerinewf_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s4_2Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9531             :   { 158 /* if */, Hexagon::S4_pstorerif_rr, Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9532             :   { 158 /* if */, Hexagon::V6_vS32b_nt_npred_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_16, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9533             :   { 158 /* if */, Hexagon::V6_vS32b_new_npred_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9534             :   { 158 /* if */, Hexagon::L4_ploadrdf_rr, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9535             :   { 158 /* if */, Hexagon::L4_ploadrbf_rr, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9536             :   { 158 /* if */, Hexagon::L4_ploadrhf_rr, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9537             :   { 158 /* if */, Hexagon::L4_ploadrubf_rr, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9538             :   { 158 /* if */, Hexagon::L4_ploadruhf_rr, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9539             :   { 158 /* if */, Hexagon::L4_ploadrif_rr, Convert__Reg1_5__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9540             :   { 158 /* if */, Hexagon::V6_vL32b_cur_npred_pi, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__s3_0Imm1_15, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    9541             :   { 158 /* if */, Hexagon::V6_vL32b_tmp_npred_pi, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__s3_0Imm1_15, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_ }, },
    9542             :   { 158 /* if */, Hexagon::V6_vL32b_nt_npred_pi, Convert__Reg1_5__Reg1_9__Reg1_3__Tie1_0_0__s3_0Imm1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9543             :   { 158 /* if */, Hexagon::S4_storeirbfnew_io, Convert__Reg1_3__Reg1_9__u6_0Imm1_12__s32_0Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_0Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9544             :   { 158 /* if */, Hexagon::S2_pstorerbfnew_pi, Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9545             :   { 158 /* if */, Hexagon::S2_pstorerdfnew_pi, Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_3Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9546             :   { 158 /* if */, Hexagon::S4_storeirhfnew_io, Convert__Reg1_3__Reg1_9__u6_1Imm1_12__s32_0Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_1Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9547             :   { 158 /* if */, Hexagon::S2_pstorerhfnew_pi, Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_1Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9548             :   { 158 /* if */, Hexagon::S4_storeirifnew_io, Convert__Reg1_3__Reg1_9__u6_2Imm1_12__s32_0Imm1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_2Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9549             :   { 158 /* if */, Hexagon::S2_pstorerifnew_pi, Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_2Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9550             :   { 158 /* if */, Hexagon::L4_return_fnew_pnt, Convert__Reg1_7__Reg1_3__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_dealloc_95_return, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__COLON_, MCK_raw }, },
    9551             :   { 158 /* if */, Hexagon::L4_return_fnew_pt, Convert__Reg1_7__Reg1_3__Reg1_11, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_dealloc_95_return, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_t, MCK__COLON_, MCK_raw }, },
    9552             :   { 158 /* if */, Hexagon::L2_ploadrdfnew_pi, Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_3Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_ }, },
    9553             :   { 158 /* if */, Hexagon::L2_ploadrbfnew_pi, Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_0Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9554             :   { 158 /* if */, Hexagon::L2_ploadrhfnew_pi, Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_1Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    9555             :   { 158 /* if */, Hexagon::L2_ploadrubfnew_pi, Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_0Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_ }, },
    9556             :   { 158 /* if */, Hexagon::L2_ploadruhfnew_pi, Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_1Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_ }, },
    9557             :   { 158 /* if */, Hexagon::L2_ploadrifnew_pi, Convert__Reg1_7__Reg1_11__Reg1_3__Tie1_0_0__s4_2Imm1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_ }, },
    9558             :   { 158 /* if */, Hexagon::J4_cmpeqn1_t_jumpnv_nt, Convert__Reg1_6__n1Const1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_n1Const, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9559             :   { 158 /* if */, Hexagon::J4_cmpeqn1_t_jumpnv_t, Convert__Reg1_6__n1Const1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_n1Const, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9560             :   { 158 /* if */, Hexagon::J4_cmpeqi_t_jumpnv_nt, Convert__Reg1_6__u5_0Imm1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9561             :   { 158 /* if */, Hexagon::J4_cmpeqi_t_jumpnv_t, Convert__Reg1_6__u5_0Imm1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9562             :   { 158 /* if */, Hexagon::J4_cmpgtn1_t_jumpnv_nt, Convert__Reg1_6__n1Const1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_n1Const, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9563             :   { 158 /* if */, Hexagon::J4_cmpgtn1_t_jumpnv_t, Convert__Reg1_6__n1Const1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_n1Const, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9564             :   { 158 /* if */, Hexagon::J4_cmpgti_t_jumpnv_nt, Convert__Reg1_6__u5_0Imm1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9565             :   { 158 /* if */, Hexagon::J4_cmpgti_t_jumpnv_t, Convert__Reg1_6__u5_0Imm1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9566             :   { 158 /* if */, Hexagon::J4_cmpgtui_t_jumpnv_nt, Convert__Reg1_6__u5_0Imm1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9567             :   { 158 /* if */, Hexagon::J4_cmpgtui_t_jumpnv_t, Convert__Reg1_6__u5_0Imm1_10__b30_2Imm1_16, 0, { MCK_if, MCK__40_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9568             :   { 158 /* if */, Hexagon::V6_vS32b_nt_new_pred_ai, Convert__Reg1_2__Reg1_6__s4_0Imm1_9__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9569             :   { 158 /* if */, Hexagon::V6_vS32b_nt_new_pred_ppu, Convert__Reg1_6__Reg1_2__Tie0_0_0__Reg1_9__Reg1_14, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9570             :   { 158 /* if */, Hexagon::V6_vL32b_nt_cur_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_10__s4_0Imm1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9571             :   { 158 /* if */, Hexagon::V6_vL32b_nt_cur_pred_ppu, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__Reg1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9572             :   { 158 /* if */, Hexagon::V6_vL32b_nt_tmp_pred_ai, Convert__Reg1_4__Reg1_2__Reg1_10__s4_0Imm1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9573             :   { 158 /* if */, Hexagon::V6_vL32b_nt_tmp_pred_ppu, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__Reg1_13, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9574             :   { 158 /* if */, Hexagon::S4_pstorerbnewtnew_io, Convert__Reg1_2__Reg1_8__u32_0Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9575             :   { 158 /* if */, Hexagon::S4_pstorerftnew_io, Convert__Reg1_2__Reg1_8__u31_1Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9576             :   { 158 /* if */, Hexagon::S4_pstorerhnewtnew_io, Convert__Reg1_2__Reg1_8__u31_1Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9577             :   { 158 /* if */, Hexagon::S4_pstorerinewtnew_io, Convert__Reg1_2__Reg1_8__u30_2Imm1_11__Reg1_14, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9578             :   { 158 /* if */, Hexagon::J4_cmpeqn1_f_jumpnv_nt, Convert__Reg1_7__n1Const1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_n1Const, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9579             :   { 158 /* if */, Hexagon::J4_cmpeqn1_f_jumpnv_t, Convert__Reg1_7__n1Const1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_n1Const, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9580             :   { 158 /* if */, Hexagon::J4_cmpeqi_f_jumpnv_nt, Convert__Reg1_7__u5_0Imm1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9581             :   { 158 /* if */, Hexagon::J4_cmpeqi_f_jumpnv_t, Convert__Reg1_7__u5_0Imm1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9582             :   { 158 /* if */, Hexagon::J4_cmpgtn1_f_jumpnv_nt, Convert__Reg1_7__n1Const1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_n1Const, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9583             :   { 158 /* if */, Hexagon::J4_cmpgtn1_f_jumpnv_t, Convert__Reg1_7__n1Const1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_n1Const, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9584             :   { 158 /* if */, Hexagon::J4_cmpgti_f_jumpnv_nt, Convert__Reg1_7__u5_0Imm1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9585             :   { 158 /* if */, Hexagon::J4_cmpgti_f_jumpnv_t, Convert__Reg1_7__u5_0Imm1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9586             :   { 158 /* if */, Hexagon::J4_cmpgtui_f_jumpnv_nt, Convert__Reg1_7__u5_0Imm1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9587             :   { 158 /* if */, Hexagon::J4_cmpgtui_f_jumpnv_t, Convert__Reg1_7__u5_0Imm1_11__b30_2Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_IntRegs, MCK__DOT_, MCK_new, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9588             :   { 158 /* if */, Hexagon::V6_vS32b_nt_new_npred_ai, Convert__Reg1_3__Reg1_7__s4_0Imm1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9589             :   { 158 /* if */, Hexagon::V6_vS32b_nt_new_npred_ppu, Convert__Reg1_7__Reg1_3__Tie0_0_0__Reg1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9590             :   { 158 /* if */, Hexagon::V6_vL32b_nt_cur_npred_ai, Convert__Reg1_5__Reg1_3__Reg1_11__s4_0Imm1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9591             :   { 158 /* if */, Hexagon::V6_vL32b_nt_cur_npred_ppu, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__Reg1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9592             :   { 158 /* if */, Hexagon::V6_vL32b_nt_tmp_npred_ai, Convert__Reg1_5__Reg1_3__Reg1_11__s4_0Imm1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9593             :   { 158 /* if */, Hexagon::V6_vL32b_nt_tmp_npred_ppu, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__Reg1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt }, },
    9594             :   { 158 /* if */, Hexagon::S4_pstorerbnewfnew_io, Convert__Reg1_3__Reg1_9__u32_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9595             :   { 158 /* if */, Hexagon::S4_pstorerffnew_io, Convert__Reg1_3__Reg1_9__u31_1Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9596             :   { 158 /* if */, Hexagon::S4_pstorerhnewfnew_io, Convert__Reg1_3__Reg1_9__u31_1Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9597             :   { 158 /* if */, Hexagon::S4_pstorerinewfnew_io, Convert__Reg1_3__Reg1_9__u30_2Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9598             :   { 158 /* if */, Hexagon::V6_vgathermhwq, Convert__Reg1_2__Reg1_10__Reg1_11__Reg1_12, Feature_UseHVXV65, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_V65Regs, MCK__DOT_, MCK_h, MCK__61_, MCK_vgather, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_h }, },
    9599             :   { 158 /* if */, Hexagon::V6_vgathermhq, Convert__Reg1_2__Reg1_10__Reg1_11__Reg1_12, Feature_UseHVXV65, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_V65Regs, MCK__DOT_, MCK_h, MCK__61_, MCK_vgather, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__DOT_, MCK_h }, },
    9600             :   { 158 /* if */, Hexagon::V6_vgathermwq, Convert__Reg1_2__Reg1_10__Reg1_11__Reg1_12, Feature_UseHVXV65, { MCK_if, MCK__40_, MCK_HvxQR, MCK__41_, MCK_V65Regs, MCK__DOT_, MCK_w, MCK__61_, MCK_vgather, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_w }, },
    9601             :   { 158 /* if */, Hexagon::S4_pstorerbnewt_rr, Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9602             :   { 158 /* if */, Hexagon::S4_pstorerft_rr, Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9603             :   { 158 /* if */, Hexagon::S4_pstorerhnewt_rr, Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9604             :   { 158 /* if */, Hexagon::S4_pstorerinewt_rr, Convert__Reg1_2__Reg1_6__Reg1_8__u2_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9605             :   { 158 /* if */, Hexagon::V6_vS32b_nt_new_pred_pi, Convert__Reg1_6__Reg1_2__Tie0_0_0__s3_0Imm1_10__Reg1_15, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9606             :   { 158 /* if */, Hexagon::V6_vL32b_nt_cur_pred_pi, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__s3_0Imm1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9607             :   { 158 /* if */, Hexagon::V6_vL32b_nt_tmp_pred_pi, Convert__Reg1_4__Reg1_10__Reg1_2__Tie1_0_0__s3_0Imm1_14, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9608             :   { 158 /* if */, Hexagon::S2_pstorerbnewtnew_pi, Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_0Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9609             :   { 158 /* if */, Hexagon::S4_pstorerbtnew_rr, Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9610             :   { 158 /* if */, Hexagon::S4_pstorerdtnew_rr, Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9611             :   { 158 /* if */, Hexagon::S2_pstorerftnew_pi, Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_1Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9612             :   { 158 /* if */, Hexagon::S2_pstorerhnewtnew_pi, Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_1Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9613             :   { 158 /* if */, Hexagon::S4_pstorerhtnew_rr, Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9614             :   { 158 /* if */, Hexagon::S2_pstorerinewtnew_pi, Convert__Reg1_8__Reg1_2__Tie0_0_0__s4_2Imm1_12__Reg1_15, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9615             :   { 158 /* if */, Hexagon::S4_pstoreritnew_rr, Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9616             :   { 158 /* if */, Hexagon::L4_ploadrdtnew_rr, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_12__u2_0Imm1_16, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9617             :   { 158 /* if */, Hexagon::L4_ploadrbtnew_rr, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_12__u2_0Imm1_16, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9618             :   { 158 /* if */, Hexagon::L4_ploadrhtnew_rr, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_12__u2_0Imm1_16, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9619             :   { 158 /* if */, Hexagon::L4_ploadrubtnew_rr, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_12__u2_0Imm1_16, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9620             :   { 158 /* if */, Hexagon::L4_ploadruhtnew_rr, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_12__u2_0Imm1_16, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9621             :   { 158 /* if */, Hexagon::L4_ploadritnew_rr, Convert__Reg1_6__Reg1_2__Reg1_10__Reg1_12__u2_0Imm1_16, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9622             :   { 158 /* if */, Hexagon::S4_pstorerbnewf_rr, Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9623             :   { 158 /* if */, Hexagon::S4_pstorerff_rr, Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9624             :   { 158 /* if */, Hexagon::S4_pstorerhnewf_rr, Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9625             :   { 158 /* if */, Hexagon::S4_pstorerinewf_rr, Convert__Reg1_3__Reg1_7__Reg1_9__u2_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9626             :   { 158 /* if */, Hexagon::V6_vS32b_nt_new_npred_pi, Convert__Reg1_7__Reg1_3__Tie0_0_0__s3_0Imm1_11__Reg1_16, Feature_UseHVXV60, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9627             :   { 158 /* if */, Hexagon::V6_vL32b_nt_cur_npred_pi, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__s3_0Imm1_15, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_cur, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9628             :   { 158 /* if */, Hexagon::V6_vL32b_nt_tmp_npred_pi, Convert__Reg1_5__Reg1_11__Reg1_3__Tie1_0_0__s3_0Imm1_15, Feature_UseHVXV62, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__41_, MCK_HvxVR, MCK__DOT_, MCK_tmp, MCK__61_, MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt }, },
    9629             :   { 158 /* if */, Hexagon::S2_pstorerbnewfnew_pi, Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_0Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9630             :   { 158 /* if */, Hexagon::S4_pstorerbfnew_rr, Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9631             :   { 158 /* if */, Hexagon::S4_pstorerdfnew_rr, Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9632             :   { 158 /* if */, Hexagon::S2_pstorerffnew_pi, Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_1Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9633             :   { 158 /* if */, Hexagon::S2_pstorerhnewfnew_pi, Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_1Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9634             :   { 158 /* if */, Hexagon::S4_pstorerhfnew_rr, Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9635             :   { 158 /* if */, Hexagon::S2_pstorerinewfnew_pi, Convert__Reg1_9__Reg1_3__Tie0_0_0__s4_2Imm1_13__Reg1_16, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9636             :   { 158 /* if */, Hexagon::S4_pstorerifnew_rr, Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9637             :   { 158 /* if */, Hexagon::L4_ploadrdfnew_rr, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_13__u2_0Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_DoubleRegs, MCK__61_, MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9638             :   { 158 /* if */, Hexagon::L4_ploadrbfnew_rr, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_13__u2_0Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9639             :   { 158 /* if */, Hexagon::L4_ploadrhfnew_rr, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_13__u2_0Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9640             :   { 158 /* if */, Hexagon::L4_ploadrubfnew_rr, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_13__u2_0Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memub, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9641             :   { 158 /* if */, Hexagon::L4_ploadruhfnew_rr, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_13__u2_0Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memuh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9642             :   { 158 /* if */, Hexagon::L4_ploadrifnew_rr, Convert__Reg1_7__Reg1_3__Reg1_11__Reg1_13__u2_0Imm1_17, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_IntRegs, MCK__61_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_ }, },
    9643             :   { 158 /* if */, Hexagon::S4_pstorerbnewtnew_rr, Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9644             :   { 158 /* if */, Hexagon::S4_pstorerftnew_rr, Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9645             :   { 158 /* if */, Hexagon::S4_pstorerhnewtnew_rr, Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9646             :   { 158 /* if */, Hexagon::S4_pstorerinewtnew_rr, Convert__Reg1_2__Reg1_8__Reg1_10__u2_0Imm1_14__Reg1_17, 0, { MCK_if, MCK__40_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9647             :   { 158 /* if */, Hexagon::S4_pstorerbnewfnew_rr, Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9648             :   { 158 /* if */, Hexagon::S4_pstorerffnew_rr, Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9649             :   { 158 /* if */, Hexagon::S4_pstorerhnewfnew_rr, Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9650             :   { 158 /* if */, Hexagon::S4_pstorerinewfnew_rr, Convert__Reg1_3__Reg1_9__Reg1_11__u2_0Imm1_15__Reg1_18, 0, { MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_PredRegs, MCK__DOT_, MCK_new, MCK__41_, MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9651             :   { 161 /* immext */, Hexagon::A4_ext, Convert__u26_6Imm1_3, 0, { MCK_immext, MCK__40_, MCK__35_, MCK_u26_6Imm, MCK__41_ }, },
    9652             :   { 168 /* isync */, Hexagon::Y2_isync, Convert_NoOperands, 0, { MCK_isync }, },
    9653             :   { 174 /* jump */, Hexagon::J2_jump, Convert__b30_2Imm1_1, 0, { MCK_jump, MCK_b30_2Imm }, },
    9654             :   { 174 /* jump */, Hexagon::RESTORE_DEALLOC_RET_JMP_V4, Convert__b30_2Imm1_1, 0, { MCK_jump, MCK_b30_2Imm }, },
    9655             :   { 174 /* jump */, Hexagon::RESTORE_DEALLOC_RET_JMP_V4_EXT, Convert__b30_2Imm1_1, 0, { MCK_jump, MCK_b30_2Imm }, },
    9656             :   { 174 /* jump */, Hexagon::RESTORE_DEALLOC_RET_JMP_V4_EXT_PIC, Convert__b30_2Imm1_1, 0, { MCK_jump, MCK_b30_2Imm }, },
    9657             :   { 174 /* jump */, Hexagon::RESTORE_DEALLOC_RET_JMP_V4_PIC, Convert__b30_2Imm1_1, 0, { MCK_jump, MCK_b30_2Imm }, },
    9658             :   { 179 /* jumpr */, Hexagon::J2_jumpr, Convert__Reg1_1, 0, { MCK_jumpr, MCK_IntRegs }, },
    9659             :   { 185 /* l2fetch */, Hexagon::Y5_l2fetch, Convert__Reg1_2__Reg1_3, Feature_HasV5, { MCK_l2fetch, MCK__40_, MCK_IntRegs, MCK_DoubleRegs, MCK__41_ }, },
    9660             :   { 185 /* l2fetch */, Hexagon::Y4_l2fetch, Convert__Reg1_2__Reg1_3, 0, { MCK_l2fetch, MCK__40_, MCK_IntRegs, MCK_IntRegs, MCK__41_ }, },
    9661             :   { 193 /* loop0 */, Hexagon::J2_loop0r, Convert__b30_2Imm1_2__Reg1_3, 0, { MCK_loop0, MCK__40_, MCK_b30_2Imm, MCK_IntRegs, MCK__41_ }, },
    9662             :   { 193 /* loop0 */, Hexagon::J2_loop0i, Convert__b30_2Imm1_2__u10_0Imm1_4, 0, { MCK_loop0, MCK__40_, MCK_b30_2Imm, MCK__35_, MCK_u10_0Imm, MCK__41_ }, },
    9663             :   { 199 /* loop1 */, Hexagon::J2_loop1r, Convert__b30_2Imm1_2__Reg1_3, 0, { MCK_loop1, MCK__40_, MCK_b30_2Imm, MCK_IntRegs, MCK__41_ }, },
    9664             :   { 199 /* loop1 */, Hexagon::J2_loop1i, Convert__b30_2Imm1_2__u10_0Imm1_4, 0, { MCK_loop1, MCK__40_, MCK_b30_2Imm, MCK__35_, MCK_u10_0Imm, MCK__41_ }, },
    9665             :   { 205 /* memb */, Hexagon::S2_storerb_io, Convert__Reg1_2__imm_95_0__Reg1_5, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9666             :   { 205 /* memb */, Hexagon::PS_storerbabs, Convert__u32_0Imm1_3__Reg1_6, 0, { MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9667             :   { 205 /* memb */, Hexagon::L4_and_memopb_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__38_, MCK__61_, MCK_IntRegs }, },
    9668             :   { 205 /* memb */, Hexagon::L4_add_memopb_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__43_, MCK__61_, MCK_IntRegs }, },
    9669             :   { 205 /* memb */, Hexagon::L4_sub_memopb_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__MINUS_, MCK__61_, MCK_IntRegs }, },
    9670             :   { 205 /* memb */, Hexagon::S4_storeirb_io, Convert__Reg1_2__imm_95_0__s32_0Imm1_6, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9671             :   { 205 /* memb */, Hexagon::L4_or_memopb_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__124_, MCK__61_, MCK_IntRegs }, },
    9672             :   { 205 /* memb */, Hexagon::L4_iadd_memopb_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_7, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__43_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9673             :   { 205 /* memb */, Hexagon::L4_isub_memopb_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_7, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__MINUS_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9674             :   { 205 /* memb */, Hexagon::S2_storerbnew_io, Convert__Reg1_2__imm_95_0__Reg1_5, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9675             :   { 205 /* memb */, Hexagon::PS_storerbnewabs, Convert__u32_0Imm1_3__Reg1_6, 0, { MCK_memb, MCK__40_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9676             :   { 205 /* memb */, Hexagon::S2_storerbgp, Convert__u32_0Imm1_5__Reg1_8, 0, { MCK_memb, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9677             :   { 205 /* memb */, Hexagon::S2_storerb_io, Convert__Reg1_2__s32_0Imm1_5__Reg1_8, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9678             :   { 205 /* memb */, Hexagon::S2_storerb_pr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9679             :   { 205 /* memb */, Hexagon::S4_storerb_ap, Convert__Reg1_2__u32_0Imm1_5__Reg1_8, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9680             :   { 205 /* memb */, Hexagon::L4_iand_memopb_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_8, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_clrbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9681             :   { 205 /* memb */, Hexagon::L4_ior_memopb_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_8, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_setbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9682             :   { 205 /* memb */, Hexagon::L4_and_memopb_io, Convert__Reg1_2__u32_0Imm1_5__Reg1_9, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__38_, MCK__61_, MCK_IntRegs }, },
    9683             :   { 205 /* memb */, Hexagon::L4_add_memopb_io, Convert__Reg1_2__u32_0Imm1_5__Reg1_9, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__43_, MCK__61_, MCK_IntRegs }, },
    9684             :   { 205 /* memb */, Hexagon::L4_sub_memopb_io, Convert__Reg1_2__u32_0Imm1_5__Reg1_9, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__MINUS_, MCK__61_, MCK_IntRegs }, },
    9685             :   { 205 /* memb */, Hexagon::L4_or_memopb_io, Convert__Reg1_2__u32_0Imm1_5__Reg1_9, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__124_, MCK__61_, MCK_IntRegs }, },
    9686             :   { 205 /* memb */, Hexagon::S4_storeirb_io, Convert__Reg1_2__u6_0Imm1_5__s32_0Imm1_9, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_0Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9687             :   { 205 /* memb */, Hexagon::S2_storerb_pi, Convert__Reg1_2__Tie0_0_0__s4_0Imm1_6__Reg1_9, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9688             :   { 205 /* memb */, Hexagon::S2_storerbnewgp, Convert__u32_0Imm1_5__Reg1_8, 0, { MCK_memb, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9689             :   { 205 /* memb */, Hexagon::S2_storerbnew_io, Convert__Reg1_2__s32_0Imm1_5__Reg1_8, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9690             :   { 205 /* memb */, Hexagon::L4_iadd_memopb_io, Convert__Reg1_2__u32_0Imm1_5__u5_0Imm1_10, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__43_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9691             :   { 205 /* memb */, Hexagon::L4_isub_memopb_io, Convert__Reg1_2__u32_0Imm1_5__u5_0Imm1_10, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__MINUS_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9692             :   { 205 /* memb */, Hexagon::S2_storerbnew_pr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9693             :   { 205 /* memb */, Hexagon::S2_storerb_pbr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9694             :   { 205 /* memb */, Hexagon::S4_storerbnew_ap, Convert__Reg1_2__u32_0Imm1_5__Reg1_8, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9695             :   { 205 /* memb */, Hexagon::S2_storerbnew_pi, Convert__Reg1_2__Tie0_0_0__s4_0Imm1_6__Reg1_9, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9696             :   { 205 /* memb */, Hexagon::S4_storerb_rr, Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9697             :   { 205 /* memb */, Hexagon::L4_iand_memopb_io, Convert__Reg1_2__u32_0Imm1_5__u5_0Imm1_11, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_clrbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9698             :   { 205 /* memb */, Hexagon::L4_ior_memopb_io, Convert__Reg1_2__u32_0Imm1_5__u5_0Imm1_11, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_setbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9699             :   { 205 /* memb */, Hexagon::S2_storerbnew_pbr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9700             :   { 205 /* memb */, Hexagon::S4_storerb_ur, Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9701             :   { 205 /* memb */, Hexagon::S2_storerb_pcr, Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9702             :   { 205 /* memb */, Hexagon::S4_storerbnew_rr, Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9703             :   { 205 /* memb */, Hexagon::S2_storerb_pci, Convert__Reg1_2__Tie0_0_0__s4_0Imm1_6__Reg1_10__Reg1_14, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9704             :   { 205 /* memb */, Hexagon::S4_storerbnew_ur, Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9705             :   { 205 /* memb */, Hexagon::S2_storerbnew_pcr, Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9706             :   { 205 /* memb */, Hexagon::S2_storerbnew_pci, Convert__Reg1_2__Tie0_0_0__s4_0Imm1_6__Reg1_10__Reg1_14, 0, { MCK_memb, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9707             :   { 210 /* memd */, Hexagon::S2_storerd_io, Convert__Reg1_2__imm_95_0__Reg1_5, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9708             :   { 210 /* memd */, Hexagon::PS_storerdabs, Convert__u29_3Imm1_3__Reg1_6, 0, { MCK_memd, MCK__40_, MCK__35_, MCK_u29_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9709             :   { 210 /* memd */, Hexagon::S2_storerdgp, Convert__u29_3Imm1_5__Reg1_8, 0, { MCK_memd, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u29_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9710             :   { 210 /* memd */, Hexagon::S2_storerd_io, Convert__Reg1_2__s29_3Imm1_5__Reg1_8, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s29_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9711             :   { 210 /* memd */, Hexagon::S2_storerd_pr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9712             :   { 210 /* memd */, Hexagon::S4_storerd_ap, Convert__Reg1_2__u32_0Imm1_5__Reg1_8, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9713             :   { 210 /* memd */, Hexagon::S2_storerd_pi, Convert__Reg1_2__Tie0_0_0__s4_3Imm1_6__Reg1_9, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9714             :   { 210 /* memd */, Hexagon::S2_storerd_pbr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9715             :   { 210 /* memd */, Hexagon::S4_storerd_rr, Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9716             :   { 210 /* memd */, Hexagon::S4_storerd_ur, Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9717             :   { 210 /* memd */, Hexagon::S2_storerd_pcr, Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9718             :   { 210 /* memd */, Hexagon::S2_storerd_pci, Convert__Reg1_2__Tie0_0_0__s4_3Imm1_6__Reg1_10__Reg1_14, 0, { MCK_memd, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_3Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9719             :   { 215 /* memd_locked */, Hexagon::S4_stored_locked, Convert__Reg1_3__Reg1_2__Reg1_6, 0, { MCK_memd_95_locked, MCK__40_, MCK_IntRegs, MCK_PredRegs, MCK__41_, MCK__61_, MCK_DoubleRegs }, },
    9720             :   { 227 /* memh */, Hexagon::S2_storerh_io, Convert__Reg1_2__imm_95_0__Reg1_5, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9721             :   { 227 /* memh */, Hexagon::PS_storerhabs, Convert__u31_1Imm1_3__Reg1_6, 0, { MCK_memh, MCK__40_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9722             :   { 227 /* memh */, Hexagon::L4_and_memoph_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__38_, MCK__61_, MCK_IntRegs }, },
    9723             :   { 227 /* memh */, Hexagon::L4_add_memoph_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__43_, MCK__61_, MCK_IntRegs }, },
    9724             :   { 227 /* memh */, Hexagon::L4_sub_memoph_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__MINUS_, MCK__61_, MCK_IntRegs }, },
    9725             :   { 227 /* memh */, Hexagon::S4_storeirh_io, Convert__Reg1_2__imm_95_0__s32_0Imm1_6, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9726             :   { 227 /* memh */, Hexagon::L4_or_memoph_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__124_, MCK__61_, MCK_IntRegs }, },
    9727             :   { 227 /* memh */, Hexagon::L4_iadd_memoph_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_7, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__43_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9728             :   { 227 /* memh */, Hexagon::L4_isub_memoph_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_7, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__MINUS_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9729             :   { 227 /* memh */, Hexagon::S2_storerf_io, Convert__Reg1_2__imm_95_0__Reg1_5, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9730             :   { 227 /* memh */, Hexagon::S2_storerhnew_io, Convert__Reg1_2__imm_95_0__Reg1_5, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9731             :   { 227 /* memh */, Hexagon::PS_storerfabs, Convert__u31_1Imm1_3__Reg1_6, 0, { MCK_memh, MCK__40_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9732             :   { 227 /* memh */, Hexagon::PS_storerhnewabs, Convert__u31_1Imm1_3__Reg1_6, 0, { MCK_memh, MCK__40_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9733             :   { 227 /* memh */, Hexagon::S2_storerhgp, Convert__u31_1Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9734             :   { 227 /* memh */, Hexagon::S2_storerh_io, Convert__Reg1_2__s31_1Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9735             :   { 227 /* memh */, Hexagon::S2_storerh_pr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9736             :   { 227 /* memh */, Hexagon::S4_storerh_ap, Convert__Reg1_2__u32_0Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9737             :   { 227 /* memh */, Hexagon::L4_iand_memoph_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_clrbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9738             :   { 227 /* memh */, Hexagon::L4_ior_memoph_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_setbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9739             :   { 227 /* memh */, Hexagon::L4_and_memoph_io, Convert__Reg1_2__u31_1Imm1_5__Reg1_9, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__38_, MCK__61_, MCK_IntRegs }, },
    9740             :   { 227 /* memh */, Hexagon::L4_add_memoph_io, Convert__Reg1_2__u31_1Imm1_5__Reg1_9, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__43_, MCK__61_, MCK_IntRegs }, },
    9741             :   { 227 /* memh */, Hexagon::L4_sub_memoph_io, Convert__Reg1_2__u31_1Imm1_5__Reg1_9, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__MINUS_, MCK__61_, MCK_IntRegs }, },
    9742             :   { 227 /* memh */, Hexagon::L4_or_memoph_io, Convert__Reg1_2__u31_1Imm1_5__Reg1_9, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__124_, MCK__61_, MCK_IntRegs }, },
    9743             :   { 227 /* memh */, Hexagon::S4_storeirh_io, Convert__Reg1_2__u6_1Imm1_5__s32_0Imm1_9, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_1Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9744             :   { 227 /* memh */, Hexagon::S2_storerh_pi, Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_9, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9745             :   { 227 /* memh */, Hexagon::S2_storerfgp, Convert__u31_1Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9746             :   { 227 /* memh */, Hexagon::S2_storerhnewgp, Convert__u31_1Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9747             :   { 227 /* memh */, Hexagon::S2_storerf_io, Convert__Reg1_2__s31_1Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9748             :   { 227 /* memh */, Hexagon::S2_storerhnew_io, Convert__Reg1_2__s31_1Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s31_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9749             :   { 227 /* memh */, Hexagon::L4_iadd_memoph_io, Convert__Reg1_2__u31_1Imm1_5__u5_0Imm1_10, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__43_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9750             :   { 227 /* memh */, Hexagon::L4_isub_memoph_io, Convert__Reg1_2__u31_1Imm1_5__u5_0Imm1_10, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__MINUS_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9751             :   { 227 /* memh */, Hexagon::S2_storerf_pr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9752             :   { 227 /* memh */, Hexagon::S2_storerhnew_pr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9753             :   { 227 /* memh */, Hexagon::S2_storerh_pbr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9754             :   { 227 /* memh */, Hexagon::S4_storerf_ap, Convert__Reg1_2__u32_0Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9755             :   { 227 /* memh */, Hexagon::S4_storerhnew_ap, Convert__Reg1_2__u32_0Imm1_5__Reg1_8, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9756             :   { 227 /* memh */, Hexagon::S2_storerf_pi, Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_9, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9757             :   { 227 /* memh */, Hexagon::S2_storerhnew_pi, Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_9, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9758             :   { 227 /* memh */, Hexagon::S4_storerh_rr, Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9759             :   { 227 /* memh */, Hexagon::L4_iand_memoph_io, Convert__Reg1_2__u31_1Imm1_5__u5_0Imm1_11, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_clrbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9760             :   { 227 /* memh */, Hexagon::L4_ior_memoph_io, Convert__Reg1_2__u31_1Imm1_5__u5_0Imm1_11, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u31_1Imm, MCK__41_, MCK__61_, MCK_setbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9761             :   { 227 /* memh */, Hexagon::S2_storerf_pbr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9762             :   { 227 /* memh */, Hexagon::S2_storerhnew_pbr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9763             :   { 227 /* memh */, Hexagon::S4_storerh_ur, Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9764             :   { 227 /* memh */, Hexagon::S2_storerh_pcr, Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9765             :   { 227 /* memh */, Hexagon::S4_storerf_rr, Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9766             :   { 227 /* memh */, Hexagon::S4_storerhnew_rr, Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9767             :   { 227 /* memh */, Hexagon::S2_storerh_pci, Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_10__Reg1_14, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9768             :   { 227 /* memh */, Hexagon::S4_storerf_ur, Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9769             :   { 227 /* memh */, Hexagon::S4_storerhnew_ur, Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9770             :   { 227 /* memh */, Hexagon::S2_storerf_pcr, Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9771             :   { 227 /* memh */, Hexagon::S2_storerhnew_pcr, Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9772             :   { 227 /* memh */, Hexagon::S2_storerf_pci, Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_10__Reg1_14, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_h }, },
    9773             :   { 227 /* memh */, Hexagon::S2_storerhnew_pci, Convert__Reg1_2__Tie0_0_0__s4_1Imm1_6__Reg1_10__Reg1_14, 0, { MCK_memh, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_1Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9774             :   { 232 /* memw */, Hexagon::S2_storeri_io, Convert__Reg1_2__imm_95_0__Reg1_5, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9775             :   { 232 /* memw */, Hexagon::PS_storeriabs, Convert__u30_2Imm1_3__Reg1_6, 0, { MCK_memw, MCK__40_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9776             :   { 232 /* memw */, Hexagon::L4_and_memopw_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__38_, MCK__61_, MCK_IntRegs }, },
    9777             :   { 232 /* memw */, Hexagon::L4_add_memopw_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__43_, MCK__61_, MCK_IntRegs }, },
    9778             :   { 232 /* memw */, Hexagon::L4_sub_memopw_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__MINUS_, MCK__61_, MCK_IntRegs }, },
    9779             :   { 232 /* memw */, Hexagon::S4_storeiri_io, Convert__Reg1_2__imm_95_0__s32_0Imm1_6, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9780             :   { 232 /* memw */, Hexagon::L4_or_memopw_io, Convert__Reg1_2__imm_95_0__Reg1_6, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__124_, MCK__61_, MCK_IntRegs }, },
    9781             :   { 232 /* memw */, Hexagon::L4_iadd_memopw_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_7, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__43_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9782             :   { 232 /* memw */, Hexagon::L4_isub_memopw_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_7, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__MINUS_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9783             :   { 232 /* memw */, Hexagon::S2_storerinew_io, Convert__Reg1_2__imm_95_0__Reg1_5, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9784             :   { 232 /* memw */, Hexagon::PS_storerinewabs, Convert__u30_2Imm1_3__Reg1_6, 0, { MCK_memw, MCK__40_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9785             :   { 232 /* memw */, Hexagon::S2_storerigp, Convert__u30_2Imm1_5__Reg1_8, 0, { MCK_memw, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9786             :   { 232 /* memw */, Hexagon::S2_storeri_io, Convert__Reg1_2__s30_2Imm1_5__Reg1_8, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9787             :   { 232 /* memw */, Hexagon::S2_storeri_pr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9788             :   { 232 /* memw */, Hexagon::S4_storeri_ap, Convert__Reg1_2__u32_0Imm1_5__Reg1_8, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9789             :   { 232 /* memw */, Hexagon::L4_iand_memopw_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_8, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_clrbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9790             :   { 232 /* memw */, Hexagon::L4_ior_memopw_io, Convert__Reg1_2__imm_95_0__u5_0Imm1_8, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_setbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9791             :   { 232 /* memw */, Hexagon::L4_and_memopw_io, Convert__Reg1_2__u30_2Imm1_5__Reg1_9, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__38_, MCK__61_, MCK_IntRegs }, },
    9792             :   { 232 /* memw */, Hexagon::L4_add_memopw_io, Convert__Reg1_2__u30_2Imm1_5__Reg1_9, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__43_, MCK__61_, MCK_IntRegs }, },
    9793             :   { 232 /* memw */, Hexagon::L4_sub_memopw_io, Convert__Reg1_2__u30_2Imm1_5__Reg1_9, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__MINUS_, MCK__61_, MCK_IntRegs }, },
    9794             :   { 232 /* memw */, Hexagon::L4_or_memopw_io, Convert__Reg1_2__u30_2Imm1_5__Reg1_9, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__124_, MCK__61_, MCK_IntRegs }, },
    9795             :   { 232 /* memw */, Hexagon::S4_storeiri_io, Convert__Reg1_2__u6_2Imm1_5__s32_0Imm1_9, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u6_2Imm, MCK__41_, MCK__61_, MCK__35_, MCK_s32_0Imm }, },
    9796             :   { 232 /* memw */, Hexagon::S2_storeri_pi, Convert__Reg1_2__Tie0_0_0__s4_2Imm1_6__Reg1_9, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9797             :   { 232 /* memw */, Hexagon::S2_storerinewgp, Convert__u30_2Imm1_5__Reg1_8, 0, { MCK_memw, MCK__40_, MCK_GP, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9798             :   { 232 /* memw */, Hexagon::S2_storerinew_io, Convert__Reg1_2__s30_2Imm1_5__Reg1_8, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s30_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9799             :   { 232 /* memw */, Hexagon::L4_iadd_memopw_io, Convert__Reg1_2__u30_2Imm1_5__u5_0Imm1_10, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__43_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9800             :   { 232 /* memw */, Hexagon::L4_isub_memopw_io, Convert__Reg1_2__u30_2Imm1_5__u5_0Imm1_10, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__MINUS_, MCK__61_, MCK__35_, MCK_u5_0Imm }, },
    9801             :   { 232 /* memw */, Hexagon::S2_storerinew_pr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9802             :   { 232 /* memw */, Hexagon::S2_storeri_pbr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9803             :   { 232 /* memw */, Hexagon::S4_storerinew_ap, Convert__Reg1_2__u32_0Imm1_5__Reg1_8, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__61_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9804             :   { 232 /* memw */, Hexagon::S2_storerinew_pi, Convert__Reg1_2__Tie0_0_0__s4_2Imm1_6__Reg1_9, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9805             :   { 232 /* memw */, Hexagon::S4_storeri_rr, Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9806             :   { 232 /* memw */, Hexagon::L4_iand_memopw_io, Convert__Reg1_2__u30_2Imm1_5__u5_0Imm1_11, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_clrbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9807             :   { 232 /* memw */, Hexagon::L4_ior_memopw_io, Convert__Reg1_2__u30_2Imm1_5__u5_0Imm1_11, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_u30_2Imm, MCK__41_, MCK__61_, MCK_setbit, MCK__40_, MCK__35_, MCK_u5_0Imm, MCK__41_ }, },
    9808             :   { 232 /* memw */, Hexagon::S2_storerinew_pbr, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__COLON_, MCK_brev, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9809             :   { 232 /* memw */, Hexagon::S4_storeri_ur, Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9810             :   { 232 /* memw */, Hexagon::S2_storeri_pcr, Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9811             :   { 232 /* memw */, Hexagon::S4_storerinew_rr, Convert__Reg1_2__Reg1_4__u2_0Imm1_8__Reg1_11, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9812             :   { 232 /* memw */, Hexagon::S2_storeri_pci, Convert__Reg1_2__Tie0_0_0__s4_2Imm1_6__Reg1_10__Reg1_14, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9813             :   { 232 /* memw */, Hexagon::S4_storerinew_ur, Convert__Reg1_2__u2_0Imm1_6__u32_0Imm1_9__Reg1_12, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__LT_, MCK__LT_, MCK__35_, MCK_u2_0Imm, MCK__43_, MCK__35_, MCK_u32_0Imm, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9814             :   { 232 /* memw */, Hexagon::S2_storerinew_pcr, Convert__Reg1_2__Tie0_0_0__Reg1_9__Reg1_13, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_I, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9815             :   { 232 /* memw */, Hexagon::S2_storerinew_pci, Convert__Reg1_2__Tie0_0_0__s4_2Imm1_6__Reg1_10__Reg1_14, 0, { MCK_memw, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s4_2Imm, MCK__COLON_, MCK_circ, MCK__40_, MCK_ModRegs, MCK__41_, MCK__41_, MCK__61_, MCK_IntRegs, MCK__DOT_, MCK_new }, },
    9816             :   { 237 /* memw_locked */, Hexagon::S2_storew_locked, Convert__Reg1_3__Reg1_2__Reg1_6, 0, { MCK_memw_95_locked, MCK__40_, MCK_IntRegs, MCK_PredRegs, MCK__41_, MCK__61_, MCK_IntRegs }, },
    9817             :   { 249 /* nop */, Hexagon::A2_nop, Convert_NoOperands, 0, { MCK_nop }, },
    9818             :   { 253 /* p0 */, Hexagon::J4_tstbit0_tp0_jump_nt, Convert__Reg1_4__b30_2Imm1_18, 0, { MCK_P0, MCK__61_, MCK_tstbit, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_0, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9819             :   { 253 /* p0 */, Hexagon::J4_tstbit0_tp0_jump_t, Convert__Reg1_4__b30_2Imm1_18, 0, { MCK_P0, MCK__61_, MCK_tstbit, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_0, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9820             :   { 253 /* p0 */, Hexagon::J4_cmpeq_tp0_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9821             :   { 253 /* p0 */, Hexagon::J4_cmpeq_tp0_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9822             :   { 253 /* p0 */, Hexagon::J4_cmpgt_tp0_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9823             :   { 253 /* p0 */, Hexagon::J4_cmpgt_tp0_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9824             :   { 253 /* p0 */, Hexagon::J4_cmpgtu_tp0_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9825             :   { 253 /* p0 */, Hexagon::J4_cmpgtu_tp0_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9826             :   { 253 /* p0 */, Hexagon::J4_tstbit0_fp0_jump_nt, Convert__Reg1_4__b30_2Imm1_19, 0, { MCK_P0, MCK__61_, MCK_tstbit, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_0, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9827             :   { 253 /* p0 */, Hexagon::J4_tstbit0_fp0_jump_t, Convert__Reg1_4__b30_2Imm1_19, 0, { MCK_P0, MCK__61_, MCK_tstbit, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_0, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9828             :   { 253 /* p0 */, Hexagon::J4_cmpeqn1_tp0_jump_nt, Convert__Reg1_6__n1Const1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9829             :   { 253 /* p0 */, Hexagon::J4_cmpeqn1_tp0_jump_t, Convert__Reg1_6__n1Const1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9830             :   { 253 /* p0 */, Hexagon::J4_cmpeqi_tp0_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9831             :   { 253 /* p0 */, Hexagon::J4_cmpeqi_tp0_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9832             :   { 253 /* p0 */, Hexagon::J4_cmpeq_fp0_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9833             :   { 253 /* p0 */, Hexagon::J4_cmpeq_fp0_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9834             :   { 253 /* p0 */, Hexagon::J4_cmpgtn1_tp0_jump_nt, Convert__Reg1_6__n1Const1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9835             :   { 253 /* p0 */, Hexagon::J4_cmpgtn1_tp0_jump_t, Convert__Reg1_6__n1Const1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9836             :   { 253 /* p0 */, Hexagon::J4_cmpgti_tp0_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9837             :   { 253 /* p0 */, Hexagon::J4_cmpgti_tp0_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9838             :   { 253 /* p0 */, Hexagon::J4_cmpgt_fp0_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9839             :   { 253 /* p0 */, Hexagon::J4_cmpgt_fp0_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9840             :   { 253 /* p0 */, Hexagon::J4_cmpgtui_tp0_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9841             :   { 253 /* p0 */, Hexagon::J4_cmpgtui_tp0_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9842             :   { 253 /* p0 */, Hexagon::J4_cmpgtu_fp0_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9843             :   { 253 /* p0 */, Hexagon::J4_cmpgtu_fp0_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9844             :   { 253 /* p0 */, Hexagon::J4_cmpeqn1_fp0_jump_nt, Convert__Reg1_6__n1Const1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9845             :   { 253 /* p0 */, Hexagon::J4_cmpeqn1_fp0_jump_t, Convert__Reg1_6__n1Const1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9846             :   { 253 /* p0 */, Hexagon::J4_cmpeqi_fp0_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9847             :   { 253 /* p0 */, Hexagon::J4_cmpeqi_fp0_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9848             :   { 253 /* p0 */, Hexagon::J4_cmpgtn1_fp0_jump_nt, Convert__Reg1_6__n1Const1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9849             :   { 253 /* p0 */, Hexagon::J4_cmpgtn1_fp0_jump_t, Convert__Reg1_6__n1Const1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9850             :   { 253 /* p0 */, Hexagon::J4_cmpgti_fp0_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9851             :   { 253 /* p0 */, Hexagon::J4_cmpgti_fp0_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9852             :   { 253 /* p0 */, Hexagon::J4_cmpgtui_fp0_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9853             :   { 253 /* p0 */, Hexagon::J4_cmpgtui_fp0_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P0, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P0, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9854             :   { 256 /* p1 */, Hexagon::J4_tstbit0_tp1_jump_nt, Convert__Reg1_4__b30_2Imm1_18, 0, { MCK_P1, MCK__61_, MCK_tstbit, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_0, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9855             :   { 256 /* p1 */, Hexagon::J4_tstbit0_tp1_jump_t, Convert__Reg1_4__b30_2Imm1_18, 0, { MCK_P1, MCK__61_, MCK_tstbit, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_0, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9856             :   { 256 /* p1 */, Hexagon::J4_cmpeq_tp1_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9857             :   { 256 /* p1 */, Hexagon::J4_cmpeq_tp1_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9858             :   { 256 /* p1 */, Hexagon::J4_cmpgt_tp1_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9859             :   { 256 /* p1 */, Hexagon::J4_cmpgt_tp1_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9860             :   { 256 /* p1 */, Hexagon::J4_cmpgtu_tp1_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9861             :   { 256 /* p1 */, Hexagon::J4_cmpgtu_tp1_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_19, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9862             :   { 256 /* p1 */, Hexagon::J4_tstbit0_fp1_jump_nt, Convert__Reg1_4__b30_2Imm1_19, 0, { MCK_P1, MCK__61_, MCK_tstbit, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_0, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9863             :   { 256 /* p1 */, Hexagon::J4_tstbit0_fp1_jump_t, Convert__Reg1_4__b30_2Imm1_19, 0, { MCK_P1, MCK__61_, MCK_tstbit, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_0, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9864             :   { 256 /* p1 */, Hexagon::J4_cmpeqn1_tp1_jump_nt, Convert__Reg1_6__n1Const1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9865             :   { 256 /* p1 */, Hexagon::J4_cmpeqn1_tp1_jump_t, Convert__Reg1_6__n1Const1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9866             :   { 256 /* p1 */, Hexagon::J4_cmpeqi_tp1_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9867             :   { 256 /* p1 */, Hexagon::J4_cmpeqi_tp1_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9868             :   { 256 /* p1 */, Hexagon::J4_cmpeq_fp1_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9869             :   { 256 /* p1 */, Hexagon::J4_cmpeq_fp1_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9870             :   { 256 /* p1 */, Hexagon::J4_cmpgtn1_tp1_jump_nt, Convert__Reg1_6__n1Const1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9871             :   { 256 /* p1 */, Hexagon::J4_cmpgtn1_tp1_jump_t, Convert__Reg1_6__n1Const1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9872             :   { 256 /* p1 */, Hexagon::J4_cmpgti_tp1_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9873             :   { 256 /* p1 */, Hexagon::J4_cmpgti_tp1_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9874             :   { 256 /* p1 */, Hexagon::J4_cmpgt_fp1_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9875             :   { 256 /* p1 */, Hexagon::J4_cmpgt_fp1_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9876             :   { 256 /* p1 */, Hexagon::J4_cmpgtui_tp1_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9877             :   { 256 /* p1 */, Hexagon::J4_cmpgtui_tp1_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9878             :   { 256 /* p1 */, Hexagon::J4_cmpgtu_fp1_jump_nt, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9879             :   { 256 /* p1 */, Hexagon::J4_cmpgtu_fp1_jump_t, Convert__Reg1_6__Reg1_7__b30_2Imm1_20, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK_GeneralSubRegs, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9880             :   { 256 /* p1 */, Hexagon::J4_cmpeqn1_fp1_jump_nt, Convert__Reg1_6__n1Const1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9881             :   { 256 /* p1 */, Hexagon::J4_cmpeqn1_fp1_jump_t, Convert__Reg1_6__n1Const1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9882             :   { 256 /* p1 */, Hexagon::J4_cmpeqi_fp1_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9883             :   { 256 /* p1 */, Hexagon::J4_cmpeqi_fp1_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_eq, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9884             :   { 256 /* p1 */, Hexagon::J4_cmpgtn1_fp1_jump_nt, Convert__Reg1_6__n1Const1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9885             :   { 256 /* p1 */, Hexagon::J4_cmpgtn1_fp1_jump_t, Convert__Reg1_6__n1Const1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_n1Const, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9886             :   { 256 /* p1 */, Hexagon::J4_cmpgti_fp1_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9887             :   { 256 /* p1 */, Hexagon::J4_cmpgti_fp1_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gt, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9888             :   { 256 /* p1 */, Hexagon::J4_cmpgtui_fp1_jump_nt, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_nt, MCK_b30_2Imm }, },
    9889             :   { 256 /* p1 */, Hexagon::J4_cmpgtui_fp1_jump_t, Convert__Reg1_6__u5_0Imm1_8__b30_2Imm1_21, 0, { MCK_P1, MCK__61_, MCK_cmp, MCK__DOT_, MCK_gtu, MCK__40_, MCK_GeneralSubRegs, MCK__35_, MCK_u5_0Imm, MCK__41_, MCK__59_, MCK_if, MCK__40_, MCK__EXCLAIM_, MCK_P1, MCK__DOT_, MCK_new, MCK__41_, MCK_jump, MCK__COLON_, MCK_t, MCK_b30_2Imm }, },
    9890             :   { 259 /* p3 */, Hexagon::J2_ploop1sr, Convert__b30_2Imm1_4__Reg1_5, 0, { MCK_P3, MCK__61_, MCK_sp1loop0, MCK__40_, MCK_b30_2Imm, MCK_IntRegs, MCK__41_ }, },
    9891             :   { 259 /* p3 */, Hexagon::J2_ploop2sr, Convert__b30_2Imm1_4__Reg1_5, 0, { MCK_P3, MCK__61_, MCK_sp2loop0, MCK__40_, MCK_b30_2Imm, MCK_IntRegs, MCK__41_ }, },
    9892             :   { 259 /* p3 */, Hexagon::J2_ploop3sr, Convert__b30_2Imm1_4__Reg1_5, 0, { MCK_P3, MCK__61_, MCK_sp3loop0, MCK__40_, MCK_b30_2Imm, MCK_IntRegs, MCK__41_ }, },
    9893             :   { 259 /* p3 */, Hexagon::J2_ploop1si, Convert__b30_2Imm1_4__u10_0Imm1_6, 0, { MCK_P3, MCK__61_, MCK_sp1loop0, MCK__40_, MCK_b30_2Imm, MCK__35_, MCK_u10_0Imm, MCK__41_ }, },
    9894             :   { 259 /* p3 */, Hexagon::J2_ploop2si, Convert__b30_2Imm1_4__u10_0Imm1_6, 0, { MCK_P3, MCK__61_, MCK_sp2loop0, MCK__40_, MCK_b30_2Imm, MCK__35_, MCK_u10_0Imm, MCK__41_ }, },
    9895             :   { 259 /* p3 */, Hexagon::J2_ploop3si, Convert__b30_2Imm1_4__u10_0Imm1_6, 0, { MCK_P3, MCK__61_, MCK_sp3loop0, MCK__40_, MCK_b30_2Imm, MCK__35_, MCK_u10_0Imm, MCK__41_ }, },
    9896             :   { 262 /* pause */, Hexagon::J2_pause, Convert__u8_0Imm1_3, 0, { MCK_pause, MCK__40_, MCK__35_, MCK_u8_0Imm, MCK__41_ }, },
    9897             :   { 268 /* syncht */, Hexagon::Y2_syncht, Convert_NoOperands, 0, { MCK_syncht }, },
    9898             :   { 275 /* trace */, Hexagon::Y4_trace, Convert__Reg1_2, 0, { MCK_trace, MCK__40_, MCK_IntRegs, MCK__41_ }, },
    9899             :   { 281 /* trap0 */, Hexagon::J2_trap0, Convert__u8_0Imm1_3, 0, { MCK_trap0, MCK__40_, MCK__35_, MCK_u8_0Imm, MCK__41_ }, },
    9900             :   { 287 /* trap1 */, Hexagon::J2_trap1, Convert__regR0__Tie0_0_0__u8_0Imm1_3, 0, { MCK_trap1, MCK__40_, MCK__35_, MCK_u8_0Imm, MCK__41_ }, },
    9901             :   { 287 /* trap1 */, Hexagon::J2_trap1, Convert__Reg1_2__Tie0_0_0__u8_0Imm1_4, 0, { MCK_trap1, MCK__40_, MCK_IntRegs, MCK__35_, MCK_u8_0Imm, MCK__41_ }, },
    9902             :   { 293 /* vdeal */, Hexagon::V6_vdeal, Convert__Reg1_2__Reg1_3__Tie0_0_0__Tie1_0_0__Reg1_4, Feature_UseHVXV60, { MCK_vdeal, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    9903             :   { 299 /* vhist */, Hexagon::V6_vhist, Convert_NoOperands, Feature_UseHVXV60, { MCK_vhist }, },
    9904             :   { 299 /* vhist */, Hexagon::V6_vhistq, Convert__Reg1_2, Feature_UseHVXV60, { MCK_vhist, MCK__40_, MCK_HvxQR, MCK__41_ }, },
    9905             :   { 305 /* vmem */, Hexagon::V6_vS32b_ai, Convert__Reg1_2__imm_95_0__Reg1_5, Feature_UseHVX, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9906             :   { 305 /* vmem */, Hexagon::V6_vS32b_nt_ai, Convert__Reg1_2__imm_95_0__Reg1_7, Feature_UseHVX, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9907             :   { 305 /* vmem */, Hexagon::V6_vS32b_new_ai, Convert__Reg1_2__imm_95_0__Reg1_5, Feature_UseHVX, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9908             :   { 305 /* vmem */, Hexagon::V6_vS32b_srls_ai, Convert__Reg1_2__s4_0Imm1_5, Feature_UseHVXV65, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_scatter_95_release }, },
    9909             :   { 305 /* vmem */, Hexagon::V6_vS32b_ai, Convert__Reg1_2__s4_0Imm1_5__Reg1_8, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9910             :   { 305 /* vmem */, Hexagon::V6_vS32b_srls_ppu, Convert__Reg1_2__Tie0_0_0__Reg1_5, Feature_UseHVXV65, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_scatter_95_release }, },
    9911             :   { 305 /* vmem */, Hexagon::V6_vS32b_ppu, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9912             :   { 305 /* vmem */, Hexagon::V6_vS32b_nt_new_ai, Convert__Reg1_2__imm_95_0__Reg1_7, Feature_UseHVX, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9913             :   { 305 /* vmem */, Hexagon::V6_vS32b_srls_pi, Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6, Feature_UseHVXV65, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_scatter_95_release }, },
    9914             :   { 305 /* vmem */, Hexagon::V6_vS32b_pi, Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_9, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9915             :   { 305 /* vmem */, Hexagon::V6_vS32b_nt_ai, Convert__Reg1_2__s4_0Imm1_5__Reg1_10, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9916             :   { 305 /* vmem */, Hexagon::V6_vS32b_new_ai, Convert__Reg1_2__s4_0Imm1_5__Reg1_8, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9917             :   { 305 /* vmem */, Hexagon::V6_vS32b_nt_ppu, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9918             :   { 305 /* vmem */, Hexagon::V6_vS32b_new_ppu, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9919             :   { 305 /* vmem */, Hexagon::V6_vS32b_nt_pi, Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_11, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR }, },
    9920             :   { 305 /* vmem */, Hexagon::V6_vS32b_new_pi, Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_9, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9921             :   { 305 /* vmem */, Hexagon::V6_vS32b_nt_new_ai, Convert__Reg1_2__s4_0Imm1_5__Reg1_10, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9922             :   { 305 /* vmem */, Hexagon::V6_vS32b_nt_new_ppu, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_10, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9923             :   { 305 /* vmem */, Hexagon::V6_vS32b_nt_new_pi, Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_11, Feature_UseHVXV60, { MCK_vmem, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__COLON_, MCK_nt, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_new }, },
    9924             :   { 310 /* vmemu */, Hexagon::V6_vS32Ub_ai, Convert__Reg1_2__imm_95_0__Reg1_5, Feature_UseHVX, { MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9925             :   { 310 /* vmemu */, Hexagon::V6_vS32Ub_ai, Convert__Reg1_2__s4_0Imm1_5__Reg1_8, Feature_UseHVXV60, { MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__35_, MCK_s4_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9926             :   { 310 /* vmemu */, Hexagon::V6_vS32Ub_ppu, Convert__Reg1_2__Tie0_0_0__Reg1_5__Reg1_8, Feature_UseHVXV60, { MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK_ModRegs, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9927             :   { 310 /* vmemu */, Hexagon::V6_vS32Ub_pi, Convert__Reg1_2__Tie0_0_0__s3_0Imm1_6__Reg1_9, Feature_UseHVXV60, { MCK_vmemu, MCK__40_, MCK_IntRegs, MCK__43_, MCK__43_, MCK__35_, MCK_s3_0Imm, MCK__41_, MCK__61_, MCK_HvxVR }, },
    9928             :   { 316 /* vscatter */, Hexagon::V6_vscattermhw, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_11, Feature_UseHVXV65, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_h, MCK__61_, MCK_HvxVR }, },
    9929             :   { 316 /* vscatter */, Hexagon::V6_vscattermhw, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_9, Feature_UseHVX, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9930             :   { 316 /* vscatter */, Hexagon::V6_vscattermh, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_11, Feature_UseHVXV65, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__DOT_, MCK_h, MCK__61_, MCK_HvxVR }, },
    9931             :   { 316 /* vscatter */, Hexagon::V6_vscattermh, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_9, Feature_UseHVX, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9932             :   { 316 /* vscatter */, Hexagon::V6_vscattermw, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_11, Feature_UseHVXV65, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_w, MCK__61_, MCK_HvxVR }, },
    9933             :   { 316 /* vscatter */, Hexagon::V6_vscattermw, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_9, Feature_UseHVX, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9934             :   { 316 /* vscatter */, Hexagon::V6_vscattermhw_add, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_10, Feature_UseHVX, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9935             :   { 316 /* vscatter */, Hexagon::V6_vscattermhw_add, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_12, Feature_UseHVXV65, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_HvxVR }, },
    9936             :   { 316 /* vscatter */, Hexagon::V6_vscattermh_add, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_10, Feature_UseHVX, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_h }, },
    9937             :   { 316 /* vscatter */, Hexagon::V6_vscattermh_add, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_12, Feature_UseHVXV65, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__DOT_, MCK_h, MCK__43_, MCK__61_, MCK_HvxVR }, },
    9938             :   { 316 /* vscatter */, Hexagon::V6_vscattermw_add, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_10, Feature_UseHVX, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__43_, MCK__61_, MCK_HvxVR, MCK__DOT_, MCK_w }, },
    9939             :   { 316 /* vscatter */, Hexagon::V6_vscattermw_add, Convert__Reg1_2__Reg1_3__Reg1_4__Reg1_12, Feature_UseHVXV65, { MCK_vscatter, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_w, MCK__43_, MCK__61_, MCK_HvxVR }, },
    9940             :   { 325 /* vshuff */, Hexagon::V6_vshuff, Convert__Reg1_2__Reg1_3__Tie0_0_0__Tie1_0_0__Reg1_4, Feature_UseHVXV60, { MCK_vshuff, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    9941             :   { 332 /* vtmp */, Hexagon::V6_vgathermhw, Convert__Reg1_6__Reg1_7__Reg1_8, Feature_UseHVXV65, { MCK_V65Regs, MCK__DOT_, MCK_h, MCK__61_, MCK_vgather, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxWR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_h }, },
    9942             :   { 332 /* vtmp */, Hexagon::V6_vgathermh, Convert__Reg1_6__Reg1_7__Reg1_8, Feature_UseHVXV65, { MCK_V65Regs, MCK__DOT_, MCK_h, MCK__61_, MCK_vgather, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_h, MCK__41_, MCK__DOT_, MCK_h }, },
    9943             :   { 332 /* vtmp */, Hexagon::V6_vgathermw, Convert__Reg1_6__Reg1_7__Reg1_8, Feature_UseHVXV65, { MCK_V65Regs, MCK__DOT_, MCK_w, MCK__61_, MCK_vgather, MCK__40_, MCK_IntRegs, MCK_ModRegs, MCK_HvxVR, MCK__DOT_, MCK_w, MCK__41_, MCK__DOT_, MCK_w }, },
    9944             :   { 337 /* vtrans2x2 */, Hexagon::V6_vshuff, Convert__Reg1_2__Reg1_3__Tie0_2_2__Tie1_3_3__Reg1_4, Feature_UseHVX, { MCK_vtrans2x2, MCK__40_, MCK_HvxVR, MCK_HvxVR, MCK_IntRegs, MCK__41_ }, },
    9945             :   { 347 /* vwhist128 */, Hexagon::V6_vwhist128, Convert_NoOperands, Feature_UseHVXV62, { MCK_vwhist128 }, },
    9946             :   { 347 /* vwhist128 */, Hexagon::V6_vwhist128q, Convert__Reg1_2, Feature_UseHVXV62, { MCK_vwhist128, MCK__40_, MCK_HvxQR, MCK__41_ }, },
    9947             :   { 347 /* vwhist128 */, Hexagon::V6_vwhist128m, Convert__u1_0Imm1_3, Feature_UseHVXV62, { MCK_vwhist128, MCK__40_, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    9948             :   { 347 /* vwhist128 */, Hexagon::V6_vwhist128qm, Convert__Reg1_2__u1_0Imm1_4, Feature_UseHVXV62, { MCK_vwhist128, MCK__40_, MCK_HvxQR, MCK__35_, MCK_u1_0Imm, MCK__41_ }, },
    9949             :   { 357 /* vwhist256 */, Hexagon::V6_vwhist256, Convert_NoOperands, Feature_UseHVXV62, { MCK_vwhist256 }, },
    9950             :   { 357 /* vwhist256 */, Hexagon::V6_vwhist256_sat, Convert_NoOperands, Feature_UseHVXV62, { MCK_vwhist256, MCK__COLON_, MCK_sat }, },
    9951             :   { 357 /* vwhist256 */, Hexagon::V6_vwhist256q, Convert__Reg1_2, Feature_UseHVXV62, { MCK_vwhist256, MCK__40_, MCK_HvxQR, MCK__41_ }, },
    9952             :   { 357 /* vwhist256 */, Hexagon::V6_vwhist256q_sat, Convert__Reg1_2, Feature_UseHVXV62, { MCK_vwhist256, MCK__40_, MCK_HvxQR, MCK__41_, MCK__COLON_, MCK_sat }, },
    9953             : };
    9954             : 
    9955             : #include "llvm/Support/Debug.h"
    9956             : #include "llvm/Support/Format.h"
    9957             : 
    9958        3078 : unsigned HexagonAsmParser::
    9959             : MatchInstructionImpl(const OperandVector &Operands,
    9960             :                      MCInst &Inst,
    9961             :                      uint64_t &ErrorInfo,
    9962             :                      bool matchingInlineAsm, unsigned VariantID) {
    9963             :   // Eliminate obvious mismatches.
    9964        3078 :   if (Operands.size() > 24) {
    9965           0 :     ErrorInfo = 24;
    9966           0 :     return Match_InvalidOperand;
    9967             :   }
    9968             : 
    9969             :   // Get the current feature set.
    9970        3078 :   uint64_t AvailableFeatures = getAvailableFeatures();
    9971             : 
    9972             :   // Get the instruction mnemonic, which is the first token.
    9973        3078 :   StringRef Mnemonic;
    9974        3078 :   if (Operands[0]->isToken())
    9975        2076 :     Mnemonic = ((HexagonOperand&)*Operands[0]).getToken();
    9976             : 
    9977             :   // Some state to try to produce better error messages.
    9978             :   bool HadMatchOtherThanFeatures = false;
    9979             :   bool HadMatchOtherThanPredicate = false;
    9980             :   unsigned RetCode = Match_InvalidOperand;
    9981             :   uint64_t MissingFeatures = ~0ULL;
    9982             :   // Set ErrorInfo to the operand that mismatches if it is
    9983             :   // wrong for all instances of the instruction.
    9984        3078 :   ErrorInfo = ~0ULL;
    9985             :   // Find the appropriate table for this asm variant.
    9986             :   const MatchEntry *Start, *End;
    9987        3078 :   switch (VariantID) {
    9988           0 :   default: llvm_unreachable("invalid variant!");
    9989             :   case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
    9990             :   }
    9991             :   // Search the table.
    9992             :   auto MnemonicRange = std::make_pair(Start, End);
    9993        3078 :   unsigned SIndex = Mnemonic.empty() ? 0 : 1;
    9994        3078 :   if (!Mnemonic.empty())
    9995        2076 :     MnemonicRange = std::equal_range(Start, End, Mnemonic.lower(), LessOpcode());
    9996             : 
    9997             :   DEBUG_WITH_TYPE("asm-matcher", dbgs() << "AsmMatcher: found " <<
    9998             :   std::distance(MnemonicRange.first, MnemonicRange.second) << 
    9999             :   " encodings with mnemonic '" << Mnemonic << "'\n");
   10000             : 
   10001             :   // Return a more specific error code if no mnemonics match.
   10002        3078 :   if (MnemonicRange.first == MnemonicRange.second)
   10003             :     return Match_MnemonicFail;
   10004             : 
   10005     1569003 :   for (const MatchEntry *it = MnemonicRange.first, *ie = MnemonicRange.second;
   10006     1572080 :        it != ie; ++it) {
   10007     1572065 :     bool HasRequiredFeatures =
   10008     1572065 :       (AvailableFeatures & it->RequiredFeatures) == it->RequiredFeatures;
   10009             :     DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Trying to match opcode "
   10010             :                                           << MII.getName(it->Opcode) << "\n");
   10011             :     bool OperandsValid = true;
   10012     2473437 :     for (unsigned FormalIdx = SIndex, ActualIdx = SIndex; FormalIdx != 24; ++FormalIdx) {
   10013     2473436 :       auto Formal = static_cast<MatchClassKind>(it->Classes[FormalIdx]);
   10014             :       DEBUG_WITH_TYPE("asm-matcher",
   10015             :                       dbgs() << "  Matching formal operand class " << getMatchClassName(Formal)
   10016             :                              << " against actual operand at index " << ActualIdx);
   10017     2473436 :       if (ActualIdx < Operands.size())
   10018             :         DEBUG_WITH_TYPE("asm-matcher", dbgs() << " (";
   10019             :                         Operands[ActualIdx]->print(dbgs()); dbgs() << "): ");
   10020             :       else
   10021             :         DEBUG_WITH_TYPE("asm-matcher", dbgs() << ": ");
   10022     2473436 :       if (ActualIdx >= Operands.size()) {
   10023             :         DEBUG_WITH_TYPE("asm-matcher", dbgs() << "actual operand index out of range ");
   10024        3061 :         OperandsValid = (Formal == InvalidMatchClass) || isSubclass(Formal, OptionalMatchClass);
   10025           0 :         if (!OperandsValid) ErrorInfo = ActualIdx;
   10026             :         break;
   10027             :       }
   10028             :       MCParsedAsmOperand &Actual = *Operands[ActualIdx];
   10029     2470375 :       unsigned Diag = validateOperandClass(Actual, Formal);
   10030     2470375 :       if (Diag == Match_Success) {
   10031             :         DEBUG_WITH_TYPE("asm-matcher",
   10032             :                         dbgs() << "match success using generic matcher\n");
   10033      901300 :         ++ActualIdx;
   10034      901300 :         continue;
   10035             :       }
   10036             :       // If the generic handler indicates an invalid operand
   10037             :       // failure, check for a special case.
   10038             :       if (Diag != Match_Success) {
   10039     1569075 :         unsigned TargetDiag = validateTargetOperandClass(Actual, Formal);
   10040     1569075 :         if (TargetDiag == Match_Success) {
   10041             :           DEBUG_WITH_TYPE("asm-matcher",
   10042             :                           dbgs() << "match success using target matcher\n");
   10043          72 :           ++ActualIdx;
   10044          72 :           continue;
   10045             :         }
   10046             :         // If the target matcher returned a specific error code use
   10047             :         // that, else use the one from the generic matcher.
   10048     1569003 :         if (TargetDiag != Match_InvalidOperand && HasRequiredFeatures)
   10049             :           Diag = TargetDiag;
   10050             :       }
   10051             :       // If current formal operand wasn't matched and it is optional
   10052             :       // then try to match next formal operand
   10053     1569003 :       if (Diag == Match_InvalidOperand && isSubclass(Formal, OptionalMatchClass)) {
   10054             :         DEBUG_WITH_TYPE("asm-matcher", dbgs() << "ignoring optional operand\n");
   10055             :         continue;
   10056             :       }
   10057             :       // If this operand is broken for all of the instances of this
   10058             :       // mnemonic, keep track of it so we can report loc info.
   10059             :       // If we already had a match that only failed due to a
   10060             :       // target predicate, that diagnostic is preferred.
   10061     1569003 :       if (!HadMatchOtherThanPredicate &&
   10062     1566031 :           (it == MnemonicRange.first || ErrorInfo <= ActualIdx)) {
   10063      193297 :         if (HasRequiredFeatures && (ErrorInfo != ActualIdx || Diag != Match_InvalidOperand))
   10064             :           RetCode = Diag;
   10065      193297 :         ErrorInfo = ActualIdx;
   10066             :       }
   10067             :       // Otherwise, just reject this instance of the mnemonic.
   10068             :       OperandsValid = false;
   10069             :       break;
   10070             :     }
   10071             : 
   10072     1572065 :     if (!OperandsValid) {
   10073             :       DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Opcode result: multiple "
   10074             :                                                "operand mismatches, ignoring "
   10075             :                                                "this opcode\n");
   10076             :       continue;
   10077             :     }
   10078        3062 :     if (!HasRequiredFeatures) {
   10079             :       HadMatchOtherThanFeatures = true;
   10080           0 :       uint64_t NewMissingFeatures = it->RequiredFeatures & ~AvailableFeatures;
   10081             :       DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Missing target features: "
   10082             :                                             << format_hex(NewMissingFeatures, 18)
   10083             :                                             << "\n");
   10084           0 :       if (countPopulation(NewMissingFeatures) <=
   10085             :           countPopulation(MissingFeatures))
   10086             :         MissingFeatures = NewMissingFeatures;
   10087           0 :       continue;
   10088             :     }
   10089             : 
   10090             :     Inst.clear();
   10091             : 
   10092        3062 :     Inst.setOpcode(it->Opcode);
   10093             :     // We have a potential match but have not rendered the operands.
   10094             :     // Check the target predicate to handle any context sensitive
   10095             :     // constraints.
   10096             :     // For example, Ties that are referenced multiple times must be
   10097             :     // checked here to ensure the input is the same for each match
   10098             :     // constraints. If we leave it any later the ties will have been
   10099             :     // canonicalized
   10100             :     unsigned MatchResult;
   10101        3062 :     if ((MatchResult = checkEarlyTargetMatchPredicate(Inst, Operands)) != Match_Success) {
   10102             :       Inst.clear();
   10103             :       DEBUG_WITH_TYPE(
   10104             :           "asm-matcher",
   10105             :           dbgs() << "Early target match predicate failed with diag code "
   10106             :                  << MatchResult << "\n");
   10107             :       RetCode = MatchResult;
   10108             :       HadMatchOtherThanPredicate = true;
   10109             :       continue;
   10110             :     }
   10111             : 
   10112        3062 :     if (matchingInlineAsm) {
   10113           0 :       convertToMapAndConstraints(it->ConvertFn, Operands);
   10114           0 :       if (!checkAsmTiedOperandConstraints(*this, it->ConvertFn, Operands, ErrorInfo))
   10115             :         return Match_InvalidTiedOperand;
   10116             : 
   10117           0 :       return Match_Success;
   10118             :     }
   10119             : 
   10120             :     // We have selected a definite instruction, convert the parsed
   10121             :     // operands into the appropriate MCInst.
   10122        3062 :     convertToMCInst(it->ConvertFn, Inst, it->Opcode, Operands);
   10123             : 
   10124             :     // We have a potential match. Check the target predicate to
   10125             :     // handle any context sensitive constraints.
   10126        3062 :     if ((MatchResult = checkTargetMatchPredicate(Inst)) != Match_Success) {
   10127             :       DEBUG_WITH_TYPE("asm-matcher",
   10128             :                       dbgs() << "Target match predicate failed with diag code "
   10129             :                              << MatchResult << "\n");
   10130             :       Inst.clear();
   10131             :       RetCode = MatchResult;
   10132             :       HadMatchOtherThanPredicate = true;
   10133           0 :       continue;
   10134             :     }
   10135             : 
   10136        3062 :     if (!checkAsmTiedOperandConstraints(*this, it->ConvertFn, Operands, ErrorInfo))
   10137           1 :       return Match_InvalidTiedOperand;
   10138             : 
   10139             :     DEBUG_WITH_TYPE(
   10140             :         "asm-matcher",
   10141             :         dbgs() << "Opcode result: complete match, selecting this opcode\n");
   10142             :     return Match_Success;
   10143             :   }
   10144             : 
   10145             :   // Okay, we had no match.  Try to return a useful error code.
   10146          15 :   if (HadMatchOtherThanPredicate || !HadMatchOtherThanFeatures)
   10147             :     return RetCode;
   10148             : 
   10149             :   // Missing feature matches return which features were missing
   10150           0 :   ErrorInfo = MissingFeatures;
   10151           0 :   return Match_MissingFeature;
   10152             : }
   10153             : 
   10154             : #endif // GET_MATCHER_IMPLEMENTATION
   10155             : 
   10156             : 
   10157             : #ifdef GET_MNEMONIC_SPELL_CHECKER
   10158             : #undef GET_MNEMONIC_SPELL_CHECKER
   10159             : 
   10160             : static std::string HexagonMnemonicSpellCheck(StringRef S, uint64_t FBS, unsigned VariantID) {
   10161             :   const unsigned MaxEditDist = 2;
   10162             :   std::vector<StringRef> Candidates;
   10163             :   StringRef Prev = "";
   10164             : 
   10165             :   // Find the appropriate table for this asm variant.
   10166             :   const MatchEntry *Start, *End;
   10167             :   switch (VariantID) {
   10168             :   default: llvm_unreachable("invalid variant!");
   10169             :   case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
   10170             :   }
   10171             : 
   10172             :   for (auto I = Start; I < End; I++) {
   10173             :     // Ignore unsupported instructions.
   10174             :     if ((FBS & I->RequiredFeatures) != I->RequiredFeatures)
   10175             :       continue;
   10176             : 
   10177             :     StringRef T = I->getMnemonic();
   10178             :     // Avoid recomputing the edit distance for the same string.
   10179             :     if (T.equals(Prev))
   10180             :       continue;
   10181             : 
   10182             :     Prev = T;
   10183             :     unsigned Dist = S.edit_distance(T, false, MaxEditDist);
   10184             :     if (Dist <= MaxEditDist)
   10185             :       Candidates.push_back(T);
   10186             :   }
   10187             : 
   10188             :   if (Candidates.empty())
   10189             :     return "";
   10190             : 
   10191             :   std::string Res = ", did you mean: ";
   10192             :   unsigned i = 0;
   10193             :   for( ; i < Candidates.size() - 1; i++)
   10194             :     Res += Candidates[i].str() + ", ";
   10195             :   return Res + Candidates[i].str() + "?";
   10196             : }
   10197             : 
   10198             : #endif // GET_MNEMONIC_SPELL_CHECKER
   10199             : 

Generated by: LCOV version 1.13