LCOV - code coverage report
Current view: top level - build-llvm/lib/Target/SystemZ - SystemZGenAsmWriter.inc (source / functions) Hit Total Coverage
Test: llvm-toolchain.info Lines: 416 477 87.2 %
Date: 2018-10-20 13:21:21 Functions: 2 2 100.0 %
Legend: Lines: hit not hit

          Line data    Source code
       1             : /*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
       2             : |*                                                                            *|
       3             : |* Assembly Writer Source Fragment                                            *|
       4             : |*                                                                            *|
       5             : |* Automatically generated file, do not edit!                                 *|
       6             : |*                                                                            *|
       7             : \*===----------------------------------------------------------------------===*/
       8             : 
       9             : /// printInstruction - This method is automatically generated by tablegen
      10             : /// from the instruction set description.
      11       69489 : void SystemZInstPrinter::printInstruction(const MCInst *MI, raw_ostream &O) {
      12             :   static const char AsmStrs[] = {
      13             :   /* 0 */ 'c', 'u', '2', '1', 9, 0,
      14             :   /* 6 */ 'c', 'u', '4', '1', 9, 0,
      15             :   /* 12 */ 'c', 'u', '1', '2', 9, 0,
      16             :   /* 18 */ 'c', 'u', '4', '2', 9, 0,
      17             :   /* 24 */ 'c', 'u', '1', '4', 9, 0,
      18             :   /* 30 */ 'c', 'u', '2', '4', 9, 0,
      19             :   /* 36 */ 't', 'r', 'a', 'p', '4', 9, 0,
      20             :   /* 43 */ 'l', 'a', 'a', 9, 0,
      21             :   /* 48 */ 's', 'l', 'd', 'a', 9, 0,
      22             :   /* 54 */ 's', 'r', 'd', 'a', 9, 0,
      23             :   /* 60 */ 'e', 's', 'e', 'a', 9, 0,
      24             :   /* 66 */ 'l', 'p', 't', 'e', 'a', 9, 0,
      25             :   /* 73 */ 'v', 'f', 'a', 9, 0,
      26             :   /* 78 */ 's', 'i', 'g', 'a', 9, 0,
      27             :   /* 84 */ 'e', 'c', 'p', 'g', 'a', 9, 0,
      28             :   /* 91 */ 'u', 'n', 'p', 'k', 'a', 9, 0,
      29             :   /* 98 */ 's', 'p', 'k', 'a', 9, 0,
      30             :   /* 104 */ 's', 'l', 'a', 9, 0,
      31             :   /* 109 */ 'v', 'g', 'f', 'm', 'a', 9, 0,
      32             :   /* 116 */ 'v', 'f', 'm', 'a', 9, 0,
      33             :   /* 122 */ 'k', 'm', 'a', 9, 0,
      34             :   /* 127 */ 'v', 'f', 'n', 'm', 'a', 9, 0,
      35             :   /* 134 */ 'p', 'p', 'a', 9, 0,
      36             :   /* 139 */ 'l', 'e', 'd', 'b', 'r', 'a', 9, 0,
      37             :   /* 147 */ 'c', 'f', 'd', 'b', 'r', 'a', 9, 0,
      38             :   /* 155 */ 'c', 'g', 'd', 'b', 'r', 'a', 9, 0,
      39             :   /* 163 */ 'f', 'i', 'd', 'b', 'r', 'a', 9, 0,
      40             :   /* 171 */ 'c', 'f', 'e', 'b', 'r', 'a', 9, 0,
      41             :   /* 179 */ 'c', 'g', 'e', 'b', 'r', 'a', 9, 0,
      42             :   /* 187 */ 'f', 'i', 'e', 'b', 'r', 'a', 9, 0,
      43             :   /* 195 */ 'c', 'd', 'f', 'b', 'r', 'a', 9, 0,
      44             :   /* 203 */ 'c', 'e', 'f', 'b', 'r', 'a', 9, 0,
      45             :   /* 211 */ 'c', 'x', 'f', 'b', 'r', 'a', 9, 0,
      46             :   /* 219 */ 'c', 'd', 'g', 'b', 'r', 'a', 9, 0,
      47             :   /* 227 */ 'c', 'e', 'g', 'b', 'r', 'a', 9, 0,
      48             :   /* 235 */ 'c', 'x', 'g', 'b', 'r', 'a', 9, 0,
      49             :   /* 243 */ 'l', 'd', 'x', 'b', 'r', 'a', 9, 0,
      50             :   /* 251 */ 'l', 'e', 'x', 'b', 'r', 'a', 9, 0,
      51             :   /* 259 */ 'c', 'f', 'x', 'b', 'r', 'a', 9, 0,
      52             :   /* 267 */ 'c', 'g', 'x', 'b', 'r', 'a', 9, 0,
      53             :   /* 275 */ 'f', 'i', 'x', 'b', 'r', 'a', 9, 0,
      54             :   /* 283 */ 'l', 'r', 'a', 9, 0,
      55             :   /* 288 */ 'v', 'e', 's', 'r', 'a', 9, 0,
      56             :   /* 295 */ 'v', 's', 'r', 'a', 9, 0,
      57             :   /* 301 */ 'a', 'd', 't', 'r', 'a', 9, 0,
      58             :   /* 308 */ 'd', 'd', 't', 'r', 'a', 9, 0,
      59             :   /* 315 */ 'c', 'g', 'd', 't', 'r', 'a', 9, 0,
      60             :   /* 323 */ 'm', 'd', 't', 'r', 'a', 9, 0,
      61             :   /* 330 */ 's', 'd', 't', 'r', 'a', 9, 0,
      62             :   /* 337 */ 'c', 'd', 'g', 't', 'r', 'a', 9, 0,
      63             :   /* 345 */ 'c', 'x', 'g', 't', 'r', 'a', 9, 0,
      64             :   /* 353 */ 'a', 'x', 't', 'r', 'a', 9, 0,
      65             :   /* 360 */ 'd', 'x', 't', 'r', 'a', 9, 0,
      66             :   /* 367 */ 'c', 'g', 'x', 't', 'r', 'a', 9, 0,
      67             :   /* 375 */ 'm', 'x', 't', 'r', 'a', 9, 0,
      68             :   /* 382 */ 's', 'x', 't', 'r', 'a', 9, 0,
      69             :   /* 389 */ 'l', 'u', 'r', 'a', 9, 0,
      70             :   /* 395 */ 's', 't', 'u', 'r', 'a', 9, 0,
      71             :   /* 402 */ 'b', 's', 'a', 9, 0,
      72             :   /* 407 */ 'e', 's', 't', 'a', 9, 0,
      73             :   /* 413 */ 'm', 's', 't', 'a', 9, 0,
      74             :   /* 419 */ 'v', 'a', 9, 0,
      75             :   /* 423 */ 'c', 'p', 'y', 'a', 9, 0,
      76             :   /* 429 */ 'v', 'g', 'f', 'm', 'a', 'b', 9, 0,
      77             :   /* 437 */ 'v', 'e', 's', 'r', 'a', 'b', 9, 0,
      78             :   /* 445 */ 'v', 's', 'r', 'a', 'b', 9, 0,
      79             :   /* 452 */ 'v', 'a', 'b', 9, 0,
      80             :   /* 457 */ 'l', 'c', 'b', 'b', 9, 0,
      81             :   /* 463 */ 'v', 'l', 'b', 'b', 9, 0,
      82             :   /* 469 */ 'v', 'a', 'c', 'c', 'b', 9, 0,
      83             :   /* 476 */ 'v', 'e', 'c', 'b', 9, 0,
      84             :   /* 482 */ 'v', 'l', 'c', 'b', 9, 0,
      85             :   /* 488 */ 'v', 's', 't', 'r', 'c', 'b', 9, 0,
      86             :   /* 496 */ 'v', 'f', 'a', 'd', 'b', 9, 0,
      87             :   /* 503 */ 'w', 'f', 'a', 'd', 'b', 9, 0,
      88             :   /* 510 */ 'v', 'f', 'm', 'a', 'd', 'b', 9, 0,
      89             :   /* 518 */ 'w', 'f', 'm', 'a', 'd', 'b', 9, 0,
      90             :   /* 526 */ 'v', 'f', 'n', 'm', 'a', 'd', 'b', 9, 0,
      91             :   /* 535 */ 'w', 'f', 'n', 'm', 'a', 'd', 'b', 9, 0,
      92             :   /* 544 */ 'w', 'f', 'c', 'd', 'b', 9, 0,
      93             :   /* 551 */ 'v', 'f', 'l', 'c', 'd', 'b', 9, 0,
      94             :   /* 559 */ 'w', 'f', 'l', 'c', 'd', 'b', 9, 0,
      95             :   /* 567 */ 't', 'c', 'd', 'b', 9, 0,
      96             :   /* 573 */ 'v', 'f', 'd', 'd', 'b', 9, 0,
      97             :   /* 580 */ 'w', 'f', 'd', 'd', 'b', 9, 0,
      98             :   /* 587 */ 'v', 'f', 'c', 'e', 'd', 'b', 9, 0,
      99             :   /* 595 */ 'w', 'f', 'c', 'e', 'd', 'b', 9, 0,
     100             :   /* 603 */ 'v', 'f', 'c', 'h', 'e', 'd', 'b', 9, 0,
     101             :   /* 612 */ 'w', 'f', 'c', 'h', 'e', 'd', 'b', 9, 0,
     102             :   /* 621 */ 'v', 'f', 'k', 'h', 'e', 'd', 'b', 9, 0,
     103             :   /* 630 */ 'w', 'f', 'k', 'h', 'e', 'd', 'b', 9, 0,
     104             :   /* 639 */ 'v', 'f', 'k', 'e', 'd', 'b', 9, 0,
     105             :   /* 647 */ 'w', 'f', 'k', 'e', 'd', 'b', 9, 0,
     106             :   /* 655 */ 'v', 'l', 'e', 'd', 'b', 9, 0,
     107             :   /* 662 */ 'w', 'l', 'e', 'd', 'b', 9, 0,
     108             :   /* 669 */ 'v', 'c', 'g', 'd', 'b', 9, 0,
     109             :   /* 676 */ 'w', 'c', 'g', 'd', 'b', 9, 0,
     110             :   /* 683 */ 'v', 'c', 'l', 'g', 'd', 'b', 9, 0,
     111             :   /* 691 */ 'w', 'c', 'l', 'g', 'd', 'b', 9, 0,
     112             :   /* 699 */ 'v', 'f', 'c', 'h', 'd', 'b', 9, 0,
     113             :   /* 707 */ 'w', 'f', 'c', 'h', 'd', 'b', 9, 0,
     114             :   /* 715 */ 'v', 'f', 'k', 'h', 'd', 'b', 9, 0,
     115             :   /* 723 */ 'w', 'f', 'k', 'h', 'd', 'b', 9, 0,
     116             :   /* 731 */ 'v', 'f', 't', 'c', 'i', 'd', 'b', 9, 0,
     117             :   /* 740 */ 'w', 'f', 't', 'c', 'i', 'd', 'b', 9, 0,
     118             :   /* 749 */ 'v', 'f', 'i', 'd', 'b', 9, 0,
     119             :   /* 756 */ 'w', 'f', 'i', 'd', 'b', 9, 0,
     120             :   /* 763 */ 'w', 'f', 'k', 'd', 'b', 9, 0,
     121             :   /* 770 */ 'v', 's', 'l', 'd', 'b', 9, 0,
     122             :   /* 777 */ 'v', 'f', 'm', 'd', 'b', 9, 0,
     123             :   /* 784 */ 'w', 'f', 'm', 'd', 'b', 9, 0,
     124             :   /* 791 */ 'v', 'f', 'm', 'i', 'n', 'd', 'b', 9, 0,
     125             :   /* 800 */ 'w', 'f', 'm', 'i', 'n', 'd', 'b', 9, 0,
     126             :   /* 809 */ 'v', 'f', 'l', 'n', 'd', 'b', 9, 0,
     127             :   /* 817 */ 'w', 'f', 'l', 'n', 'd', 'b', 9, 0,
     128             :   /* 825 */ 'v', 'f', 'p', 's', 'o', 'd', 'b', 9, 0,
     129             :   /* 834 */ 'w', 'f', 'p', 's', 'o', 'd', 'b', 9, 0,
     130             :   /* 843 */ 'v', 'f', 'l', 'p', 'd', 'b', 9, 0,
     131             :   /* 851 */ 'w', 'f', 'l', 'p', 'd', 'b', 9, 0,
     132             :   /* 859 */ 'v', 'f', 's', 'q', 'd', 'b', 9, 0,
     133             :   /* 867 */ 'w', 'f', 's', 'q', 'd', 'b', 9, 0,
     134             :   /* 875 */ 'v', 'f', 's', 'd', 'b', 9, 0,
     135             :   /* 882 */ 'w', 'f', 's', 'd', 'b', 9, 0,
     136             :   /* 889 */ 'v', 'f', 'm', 's', 'd', 'b', 9, 0,
     137             :   /* 897 */ 'w', 'f', 'm', 's', 'd', 'b', 9, 0,
     138             :   /* 905 */ 'v', 'f', 'n', 'm', 's', 'd', 'b', 9, 0,
     139             :   /* 914 */ 'w', 'f', 'n', 'm', 's', 'd', 'b', 9, 0,
     140             :   /* 923 */ 'v', 'f', 'm', 'a', 'x', 'd', 'b', 9, 0,
     141             :   /* 932 */ 'w', 'f', 'm', 'a', 'x', 'd', 'b', 9, 0,
     142             :   /* 941 */ 'l', 'x', 'd', 'b', 9, 0,
     143             :   /* 947 */ 'm', 'x', 'd', 'b', 9, 0,
     144             :   /* 953 */ 'v', 'f', 'a', 'e', 'b', 9, 0,
     145             :   /* 960 */ 'v', 'm', 'a', 'e', 'b', 9, 0,
     146             :   /* 967 */ 't', 'c', 'e', 'b', 9, 0,
     147             :   /* 973 */ 'v', 'l', 'd', 'e', 'b', 9, 0,
     148             :   /* 980 */ 'w', 'l', 'd', 'e', 'b', 9, 0,
     149             :   /* 987 */ 'm', 'd', 'e', 'b', 9, 0,
     150             :   /* 993 */ 'v', 'f', 'e', 'e', 'b', 9, 0,
     151             :   /* 1000 */ 'm', 'e', 'e', 'b', 9, 0,
     152             :   /* 1006 */ 'k', 'e', 'b', 9, 0,
     153             :   /* 1011 */ 'v', 'm', 'a', 'l', 'e', 'b', 9, 0,
     154             :   /* 1019 */ 'v', 'm', 'l', 'e', 'b', 9, 0,
     155             :   /* 1026 */ 'v', 'l', 'e', 'b', 9, 0,
     156             :   /* 1032 */ 'v', 'm', 'e', 'b', 9, 0,
     157             :   /* 1038 */ 'v', 'f', 'e', 'n', 'e', 'b', 9, 0,
     158             :   /* 1046 */ 's', 'q', 'e', 'b', 9, 0,
     159             :   /* 1052 */ 'm', 's', 'e', 'b', 9, 0,
     160             :   /* 1058 */ 'v', 's', 't', 'e', 'b', 9, 0,
     161             :   /* 1065 */ 'l', 'x', 'e', 'b', 9, 0,
     162             :   /* 1071 */ 'v', 'c', 'd', 'g', 'b', 9, 0,
     163             :   /* 1078 */ 'w', 'c', 'd', 'g', 'b', 9, 0,
     164             :   /* 1085 */ 'v', 's', 'e', 'g', 'b', 9, 0,
     165             :   /* 1092 */ 'v', 'c', 'd', 'l', 'g', 'b', 9, 0,
     166             :   /* 1100 */ 'w', 'c', 'd', 'l', 'g', 'b', 9, 0,
     167             :   /* 1108 */ 'v', 'a', 'v', 'g', 'b', 9, 0,
     168             :   /* 1115 */ 'v', 'l', 'v', 'g', 'b', 9, 0,
     169             :   /* 1122 */ 'v', 'm', 'a', 'h', 'b', 9, 0,
     170             :   /* 1129 */ 'v', 'c', 'h', 'b', 9, 0,
     171             :   /* 1135 */ 'v', 'm', 'a', 'l', 'h', 'b', 9, 0,
     172             :   /* 1143 */ 'v', 'm', 'l', 'h', 'b', 9, 0,
     173             :   /* 1150 */ 'v', 'u', 'p', 'l', 'h', 'b', 9, 0,
     174             :   /* 1158 */ 'v', 'm', 'h', 'b', 9, 0,
     175             :   /* 1164 */ 'v', 'u', 'p', 'h', 'b', 9, 0,
     176             :   /* 1171 */ 'v', 'm', 'r', 'h', 'b', 9, 0,
     177             :   /* 1178 */ 'v', 's', 'c', 'b', 'i', 'b', 9, 0,
     178             :   /* 1186 */ 'c', 'i', 'b', 9, 0,
     179             :   /* 1191 */ 'v', 'l', 'e', 'i', 'b', 9, 0,
     180             :   /* 1198 */ 'c', 'g', 'i', 'b', 9, 0,
     181             :   /* 1204 */ 'c', 'l', 'g', 'i', 'b', 9, 0,
     182             :   /* 1211 */ 'c', 'l', 'i', 'b', 9, 0,
     183             :   /* 1217 */ 'v', 'r', 'e', 'p', 'i', 'b', 9, 0,
     184             :   /* 1225 */ 'v', 'm', 'a', 'l', 'b', 9, 0,
     185             :   /* 1232 */ 'v', 'e', 'c', 'l', 'b', 9, 0,
     186             :   /* 1239 */ 'v', 'a', 'v', 'g', 'l', 'b', 9, 0,
     187             :   /* 1247 */ 'v', 'c', 'h', 'l', 'b', 9, 0,
     188             :   /* 1254 */ 'v', 'u', 'p', 'l', 'l', 'b', 9, 0,
     189             :   /* 1262 */ 'v', 'e', 'r', 'l', 'l', 'b', 9, 0,
     190             :   /* 1270 */ 'v', 'm', 'l', 'b', 9, 0,
     191             :   /* 1276 */ 'v', 'm', 'n', 'l', 'b', 9, 0,
     192             :   /* 1283 */ 'v', 'u', 'p', 'l', 'b', 9, 0,
     193             :   /* 1290 */ 'v', 'm', 'r', 'l', 'b', 9, 0,
     194             :   /* 1297 */ 'v', 'e', 's', 'r', 'l', 'b', 9, 0,
     195             :   /* 1305 */ 'v', 's', 'r', 'l', 'b', 9, 0,
     196             :   /* 1312 */ 'v', 'e', 's', 'l', 'b', 9, 0,
     197             :   /* 1319 */ 'v', 's', 'l', 'b', 9, 0,
     198             :   /* 1325 */ 'v', 'm', 'x', 'l', 'b', 9, 0,
     199             :   /* 1332 */ 'v', 'g', 'f', 'm', 'b', 9, 0,
     200             :   /* 1339 */ 'v', 'g', 'm', 'b', 9, 0,
     201             :   /* 1345 */ 'v', 'e', 'r', 'i', 'm', 'b', 9, 0,
     202             :   /* 1353 */ 's', 'r', 'n', 'm', 'b', 9, 0,
     203             :   /* 1360 */ 'v', 's', 'u', 'm', 'b', 9, 0,
     204             :   /* 1367 */ 'v', 'm', 'n', 'b', 9, 0,
     205             :   /* 1373 */ 'v', 'm', 'a', 'o', 'b', 9, 0,
     206             :   /* 1380 */ 'v', 'm', 'a', 'l', 'o', 'b', 9, 0,
     207             :   /* 1388 */ 'v', 'm', 'l', 'o', 'b', 9, 0,
     208             :   /* 1395 */ 'v', 'm', 'o', 'b', 9, 0,
     209             :   /* 1401 */ 'v', 'l', 'r', 'e', 'p', 'b', 9, 0,
     210             :   /* 1409 */ 'v', 'r', 'e', 'p', 'b', 9, 0,
     211             :   /* 1416 */ 'v', 'l', 'p', 'b', 9, 0,
     212             :   /* 1422 */ 'v', 'c', 'e', 'q', 'b', 9, 0,
     213             :   /* 1429 */ 'c', 'r', 'b', 9, 0,
     214             :   /* 1434 */ 'c', 'g', 'r', 'b', 9, 0,
     215             :   /* 1440 */ 'c', 'l', 'g', 'r', 'b', 9, 0,
     216             :   /* 1447 */ 'c', 'l', 'r', 'b', 9, 0,
     217             :   /* 1453 */ 'v', 'i', 's', 't', 'r', 'b', 9, 0,
     218             :   /* 1461 */ 'v', 'f', 'a', 's', 'b', 9, 0,
     219             :   /* 1468 */ 'w', 'f', 'a', 's', 'b', 9, 0,
     220             :   /* 1475 */ 'v', 'f', 'm', 'a', 's', 'b', 9, 0,
     221             :   /* 1483 */ 'w', 'f', 'm', 'a', 's', 'b', 9, 0,
     222             :   /* 1491 */ 'v', 'f', 'n', 'm', 'a', 's', 'b', 9, 0,
     223             :   /* 1500 */ 'w', 'f', 'n', 'm', 'a', 's', 'b', 9, 0,
     224             :   /* 1509 */ 'w', 'f', 'c', 's', 'b', 9, 0,
     225             :   /* 1516 */ 'v', 'f', 'l', 'c', 's', 'b', 9, 0,
     226             :   /* 1524 */ 'w', 'f', 'l', 'c', 's', 'b', 9, 0,
     227             :   /* 1532 */ 'v', 'f', 'd', 's', 'b', 9, 0,
     228             :   /* 1539 */ 'w', 'f', 'd', 's', 'b', 9, 0,
     229             :   /* 1546 */ 'v', 'f', 'c', 'e', 's', 'b', 9, 0,
     230             :   /* 1554 */ 'w', 'f', 'c', 'e', 's', 'b', 9, 0,
     231             :   /* 1562 */ 'v', 'f', 'c', 'h', 'e', 's', 'b', 9, 0,
     232             :   /* 1571 */ 'w', 'f', 'c', 'h', 'e', 's', 'b', 9, 0,
     233             :   /* 1580 */ 'v', 'f', 'k', 'h', 'e', 's', 'b', 9, 0,
     234             :   /* 1589 */ 'w', 'f', 'k', 'h', 'e', 's', 'b', 9, 0,
     235             :   /* 1598 */ 'v', 'f', 'k', 'e', 's', 'b', 9, 0,
     236             :   /* 1606 */ 'w', 'f', 'k', 'e', 's', 'b', 9, 0,
     237             :   /* 1614 */ 'v', 'f', 'c', 'h', 's', 'b', 9, 0,
     238             :   /* 1622 */ 'w', 'f', 'c', 'h', 's', 'b', 9, 0,
     239             :   /* 1630 */ 'v', 'f', 'k', 'h', 's', 'b', 9, 0,
     240             :   /* 1638 */ 'w', 'f', 'k', 'h', 's', 'b', 9, 0,
     241             :   /* 1646 */ 'v', 'f', 't', 'c', 'i', 's', 'b', 9, 0,
     242             :   /* 1655 */ 'w', 'f', 't', 'c', 'i', 's', 'b', 9, 0,
     243             :   /* 1664 */ 'v', 'f', 'i', 's', 'b', 9, 0,
     244             :   /* 1671 */ 'w', 'f', 'i', 's', 'b', 9, 0,
     245             :   /* 1678 */ 'w', 'f', 'k', 's', 'b', 9, 0,
     246             :   /* 1685 */ 'v', 'f', 'm', 's', 'b', 9, 0,
     247             :   /* 1692 */ 'w', 'f', 'm', 's', 'b', 9, 0,
     248             :   /* 1699 */ 'v', 'f', 'm', 'i', 'n', 's', 'b', 9, 0,
     249             :   /* 1708 */ 'w', 'f', 'm', 'i', 'n', 's', 'b', 9, 0,
     250             :   /* 1717 */ 'v', 'f', 'l', 'n', 's', 'b', 9, 0,
     251             :   /* 1725 */ 'w', 'f', 'l', 'n', 's', 'b', 9, 0,
     252             :   /* 1733 */ 'v', 'f', 'p', 's', 'o', 's', 'b', 9, 0,
     253             :   /* 1742 */ 'w', 'f', 'p', 's', 'o', 's', 'b', 9, 0,
     254             :   /* 1751 */ 'v', 'f', 'l', 'p', 's', 'b', 9, 0,
     255             :   /* 1759 */ 'w', 'f', 'l', 'p', 's', 'b', 9, 0,
     256             :   /* 1767 */ 'v', 'f', 's', 'q', 's', 'b', 9, 0,
     257             :   /* 1775 */ 'w', 'f', 's', 'q', 's', 'b', 9, 0,
     258             :   /* 1783 */ 'v', 'f', 's', 's', 'b', 9, 0,
     259             :   /* 1790 */ 'w', 'f', 's', 's', 'b', 9, 0,
     260             :   /* 1797 */ 'v', 'f', 'm', 's', 's', 'b', 9, 0,
     261             :   /* 1805 */ 'w', 'f', 'm', 's', 's', 'b', 9, 0,
     262             :   /* 1813 */ 'v', 'f', 'n', 'm', 's', 's', 'b', 9, 0,
     263             :   /* 1822 */ 'w', 'f', 'n', 'm', 's', 's', 'b', 9, 0,
     264             :   /* 1831 */ 'v', 's', 'b', 9, 0,
     265             :   /* 1836 */ 'v', 'f', 'm', 'a', 'x', 's', 'b', 9, 0,
     266             :   /* 1845 */ 'w', 'f', 'm', 'a', 'x', 's', 'b', 9, 0,
     267             :   /* 1854 */ 'v', 'p', 'o', 'p', 'c', 't', 'b', 9, 0,
     268             :   /* 1863 */ 'v', 'e', 's', 'r', 'a', 'v', 'b', 9, 0,
     269             :   /* 1872 */ 'v', 'c', 'v', 'b', 9, 0,
     270             :   /* 1878 */ 'v', 'l', 'g', 'v', 'b', 9, 0,
     271             :   /* 1885 */ 'v', 'e', 'r', 'l', 'l', 'v', 'b', 9, 0,
     272             :   /* 1894 */ 'v', 'e', 's', 'r', 'l', 'v', 'b', 9, 0,
     273             :   /* 1903 */ 'v', 'e', 's', 'l', 'v', 'b', 9, 0,
     274             :   /* 1911 */ 'w', 'f', 'a', 'x', 'b', 9, 0,
     275             :   /* 1918 */ 'w', 'f', 'm', 'a', 'x', 'b', 9, 0,
     276             :   /* 1926 */ 'w', 'f', 'n', 'm', 'a', 'x', 'b', 9, 0,
     277             :   /* 1935 */ 'w', 'f', 'c', 'x', 'b', 9, 0,
     278             :   /* 1942 */ 'w', 'f', 'l', 'c', 'x', 'b', 9, 0,
     279             :   /* 1950 */ 't', 'c', 'x', 'b', 9, 0,
     280             :   /* 1956 */ 'w', 'f', 'd', 'x', 'b', 9, 0,
     281             :   /* 1963 */ 'w', 'f', 'c', 'e', 'x', 'b', 9, 0,
     282             :   /* 1971 */ 'w', 'f', 'c', 'h', 'e', 'x', 'b', 9, 0,
     283             :   /* 1980 */ 'w', 'f', 'k', 'h', 'e', 'x', 'b', 9, 0,
     284             :   /* 1989 */ 'w', 'f', 'k', 'e', 'x', 'b', 9, 0,
     285             :   /* 1997 */ 'w', 'f', 'c', 'h', 'x', 'b', 9, 0,
     286             :   /* 2005 */ 'w', 'f', 'k', 'h', 'x', 'b', 9, 0,
     287             :   /* 2013 */ 'w', 'f', 't', 'c', 'i', 'x', 'b', 9, 0,
     288             :   /* 2022 */ 'w', 'f', 'i', 'x', 'b', 9, 0,
     289             :   /* 2029 */ 'w', 'f', 'k', 'x', 'b', 9, 0,
     290             :   /* 2036 */ 'w', 'f', 'm', 'x', 'b', 9, 0,
     291             :   /* 2043 */ 'v', 'm', 'x', 'b', 9, 0,
     292             :   /* 2049 */ 'w', 'f', 'm', 'i', 'n', 'x', 'b', 9, 0,
     293             :   /* 2058 */ 'w', 'f', 'l', 'n', 'x', 'b', 9, 0,
     294             :   /* 2066 */ 'w', 'f', 'p', 's', 'o', 'x', 'b', 9, 0,
     295             :   /* 2075 */ 'w', 'f', 'l', 'p', 'x', 'b', 9, 0,
     296             :   /* 2083 */ 'w', 'f', 's', 'q', 'x', 'b', 9, 0,
     297             :   /* 2091 */ 'w', 'f', 's', 'x', 'b', 9, 0,
     298             :   /* 2098 */ 'w', 'f', 'm', 's', 'x', 'b', 9, 0,
     299             :   /* 2106 */ 'w', 'f', 'n', 'm', 's', 'x', 'b', 9, 0,
     300             :   /* 2115 */ 'w', 'f', 'm', 'a', 'x', 'x', 'b', 9, 0,
     301             :   /* 2124 */ 'v', 's', 't', 'r', 'c', 'z', 'b', 9, 0,
     302             :   /* 2133 */ 'v', 'f', 'a', 'e', 'z', 'b', 9, 0,
     303             :   /* 2141 */ 'v', 'f', 'e', 'e', 'z', 'b', 9, 0,
     304             :   /* 2149 */ 'v', 'l', 'l', 'e', 'z', 'b', 9, 0,
     305             :   /* 2157 */ 'v', 'f', 'e', 'n', 'e', 'z', 'b', 9, 0,
     306             :   /* 2166 */ 'v', 'c', 'l', 'z', 'b', 9, 0,
     307             :   /* 2173 */ 'v', 'c', 't', 'z', 'b', 9, 0,
     308             :   /* 2180 */ 'i', 'a', 'c', 9, 0,
     309             :   /* 2185 */ 'k', 'm', 'a', 'c', 9, 0,
     310             :   /* 2191 */ 's', 'a', 'c', 9, 0,
     311             :   /* 2196 */ 'v', 'a', 'c', 9, 0,
     312             :   /* 2201 */ 'b', 'c', 9, 0,
     313             :   /* 2205 */ 'v', 'a', 'c', 'c', 9, 0,
     314             :   /* 2211 */ 'v', 'a', 'c', 'c', 'c', 9, 0,
     315             :   /* 2218 */ 'v', 'e', 'c', 9, 0,
     316             :   /* 2223 */ 'c', 'f', 'c', 9, 0,
     317             :   /* 2228 */ 'w', 'f', 'c', 9, 0,
     318             :   /* 2233 */ 'l', 'l', 'g', 'c', 9, 0,
     319             :   /* 2239 */ 'm', 's', 'g', 'c', 9, 0,
     320             :   /* 2245 */ 'b', 'i', 'c', 9, 0,
     321             :   /* 2250 */ 's', 'c', 'k', 'c', 9, 0,
     322             :   /* 2256 */ 's', 't', 'c', 'k', 'c', 9, 0,
     323             :   /* 2263 */ 'm', 's', 'g', 'r', 'k', 'c', 9, 0,
     324             :   /* 2271 */ 'm', 's', 'r', 'k', 'c', 9, 0,
     325             :   /* 2278 */ 'a', 'l', 'c', 9, 0,
     326             :   /* 2283 */ 'c', 'l', 'c', 9, 0,
     327             :   /* 2288 */ 'l', 'l', 'c', 9, 0,
     328             :   /* 2293 */ 'v', 'l', 'c', 9, 0,
     329             :   /* 2298 */ 'k', 'm', 'c', 9, 0,
     330             :   /* 2303 */ 't', 'b', 'e', 'g', 'i', 'n', 'c', 9, 0,
     331             :   /* 2312 */ 'v', 'n', 'c', 9, 0,
     332             :   /* 2317 */ 'l', 'o', 'c', 9, 0,
     333             :   /* 2322 */ 's', 't', 'o', 'c', 9, 0,
     334             :   /* 2328 */ 'v', 'o', 'c', 9, 0,
     335             :   /* 2333 */ 'e', 'f', 'p', 'c', 9, 0,
     336             :   /* 2339 */ 'l', 'f', 'p', 'c', 9, 0,
     337             :   /* 2345 */ 's', 'f', 'p', 'c', 9, 0,
     338             :   /* 2351 */ 's', 't', 'f', 'p', 'c', 9, 0,
     339             :   /* 2358 */ 'b', 'r', 'c', 9, 0,
     340             :   /* 2363 */ 'v', 's', 't', 'r', 'c', 9, 0,
     341             :   /* 2370 */ 'l', 'g', 's', 'c', 9, 0,
     342             :   /* 2376 */ 's', 't', 'g', 's', 'c', 9, 0,
     343             :   /* 2383 */ 'm', 's', 'c', 9, 0,
     344             :   /* 2388 */ 'c', 'm', 'p', 's', 'c', 9, 0,
     345             :   /* 2395 */ 's', 't', 'c', 9, 0,
     346             :   /* 2400 */ 'm', 'v', 'c', 9, 0,
     347             :   /* 2405 */ 's', 'v', 'c', 9, 0,
     348             :   /* 2410 */ 'x', 'c', 9, 0,
     349             :   /* 2414 */ 'm', 'a', 'd', 9, 0,
     350             :   /* 2419 */ 'c', 'd', 9, 0,
     351             :   /* 2423 */ 'd', 'd', 9, 0,
     352             :   /* 2427 */ 'v', 'l', 'e', 'd', 9, 0,
     353             :   /* 2433 */ 'p', 'f', 'd', 9, 0,
     354             :   /* 2438 */ 'v', 'f', 'd', 9, 0,
     355             :   /* 2443 */ 'v', 'c', 'g', 'd', 9, 0,
     356             :   /* 2449 */ 'v', 'c', 'l', 'g', 'd', 9, 0,
     357             :   /* 2456 */ 'w', 'f', 'l', 'l', 'd', 9, 0,
     358             :   /* 2463 */ 'k', 'i', 'm', 'd', 9, 0,
     359             :   /* 2469 */ 'k', 'l', 'm', 'd', 9, 0,
     360             :   /* 2475 */ 'e', 't', 'n', 'd', 9, 0,
     361             :   /* 2481 */ 'l', 'p', 'd', 9, 0,
     362             :   /* 2486 */ 's', 'q', 'd', 9, 0,
     363             :   /* 2491 */ 'v', 'f', 'l', 'r', 'd', 9, 0,
     364             :   /* 2498 */ 'w', 'f', 'l', 'r', 'd', 9, 0,
     365             :   /* 2505 */ 'm', 's', 'd', 9, 0,
     366             :   /* 2510 */ 's', 't', 'd', 9, 0,
     367             :   /* 2515 */ 'v', 'c', 'v', 'd', 9, 0,
     368             :   /* 2521 */ 'l', 'x', 'd', 9, 0,
     369             :   /* 2526 */ 'm', 'x', 'd', 9, 0,
     370             :   /* 2531 */ 'v', 'f', 'a', 'e', 9, 0,
     371             :   /* 2537 */ 'l', 'a', 'e', 9, 0,
     372             :   /* 2542 */ 'v', 'm', 'a', 'e', 9, 0,
     373             :   /* 2548 */ 'c', 'i', 'b', 'e', 9, 0,
     374             :   /* 2554 */ 'c', 'g', 'i', 'b', 'e', 9, 0,
     375             :   /* 2561 */ 'c', 'l', 'g', 'i', 'b', 'e', 9, 0,
     376             :   /* 2569 */ 'c', 'l', 'i', 'b', 'e', 9, 0,
     377             :   /* 2576 */ 'c', 'r', 'b', 'e', 9, 0,
     378             :   /* 2582 */ 'c', 'g', 'r', 'b', 'e', 9, 0,
     379             :   /* 2589 */ 'c', 'l', 'g', 'r', 'b', 'e', 9, 0,
     380             :   /* 2597 */ 'c', 'l', 'r', 'b', 'e', 9, 0,
     381             :   /* 2604 */ 'r', 'r', 'b', 'e', 9, 0,
     382             :   /* 2610 */ 't', 'r', 'a', 'c', 'e', 9, 0,
     383             :   /* 2617 */ 'v', 'f', 'c', 'e', 9, 0,
     384             :   /* 2623 */ 'l', 'o', 'c', 'e', 9, 0,
     385             :   /* 2629 */ 's', 't', 'o', 'c', 'e', 9, 0,
     386             :   /* 2636 */ 'v', 'l', 'd', 'e', 9, 0,
     387             :   /* 2642 */ 'm', 'd', 'e', 9, 0,
     388             :   /* 2647 */ 'v', 'f', 'e', 'e', 9, 0,
     389             :   /* 2653 */ 'm', 'e', 'e', 9, 0,
     390             :   /* 2658 */ 'l', 'o', 'c', 'g', 'e', 9, 0,
     391             :   /* 2665 */ 's', 't', 'o', 'c', 'g', 'e', 9, 0,
     392             :   /* 2673 */ 'j', 'g', 'e', 9, 0,
     393             :   /* 2678 */ 'c', 'i', 'b', 'h', 'e', 9, 0,
     394             :   /* 2685 */ 'c', 'g', 'i', 'b', 'h', 'e', 9, 0,
     395             :   /* 2693 */ 'c', 'l', 'g', 'i', 'b', 'h', 'e', 9, 0,
     396             :   /* 2702 */ 'c', 'l', 'i', 'b', 'h', 'e', 9, 0,
     397             :   /* 2710 */ 'c', 'r', 'b', 'h', 'e', 9, 0,
     398             :   /* 2717 */ 'c', 'g', 'r', 'b', 'h', 'e', 9, 0,
     399             :   /* 2725 */ 'c', 'l', 'g', 'r', 'b', 'h', 'e', 9, 0,
     400             :   /* 2734 */ 'c', 'l', 'r', 'b', 'h', 'e', 9, 0,
     401             :   /* 2742 */ 'v', 'f', 'c', 'h', 'e', 9, 0,
     402             :   /* 2749 */ 'l', 'o', 'c', 'h', 'e', 9, 0,
     403             :   /* 2756 */ 's', 't', 'o', 'c', 'h', 'e', 9, 0,
     404             :   /* 2764 */ 'l', 'o', 'c', 'f', 'h', 'e', 9, 0,
     405             :   /* 2772 */ 's', 't', 'o', 'c', 'f', 'h', 'e', 9, 0,
     406             :   /* 2781 */ 'l', 'o', 'c', 'g', 'h', 'e', 9, 0,
     407             :   /* 2789 */ 's', 't', 'o', 'c', 'g', 'h', 'e', 9, 0,
     408             :   /* 2798 */ 'j', 'g', 'h', 'e', 9, 0,
     409             :   /* 2804 */ 'l', 'o', 'c', 'f', 'h', 'h', 'e', 9, 0,
     410             :   /* 2813 */ 's', 't', 'o', 'c', 'f', 'h', 'h', 'e', 9, 0,
     411             :   /* 2823 */ 'b', 'i', 'h', 'e', 9, 0,
     412             :   /* 2829 */ 'l', 'o', 'c', 'h', 'i', 'h', 'e', 9, 0,
     413             :   /* 2838 */ 'l', 'o', 'c', 'g', 'h', 'i', 'h', 'e', 9, 0,
     414             :   /* 2848 */ 'l', 'o', 'c', 'h', 'h', 'i', 'h', 'e', 9, 0,
     415             :   /* 2858 */ 'c', 'i', 'j', 'h', 'e', 9, 0,
     416             :   /* 2865 */ 'c', 'g', 'i', 'j', 'h', 'e', 9, 0,
     417             :   /* 2873 */ 'c', 'l', 'g', 'i', 'j', 'h', 'e', 9, 0,
     418             :   /* 2882 */ 'c', 'l', 'i', 'j', 'h', 'e', 9, 0,
     419             :   /* 2890 */ 'c', 'r', 'j', 'h', 'e', 9, 0,
     420             :   /* 2897 */ 'c', 'g', 'r', 'j', 'h', 'e', 9, 0,
     421             :   /* 2905 */ 'c', 'l', 'g', 'r', 'j', 'h', 'e', 9, 0,
     422             :   /* 2914 */ 'c', 'l', 'r', 'j', 'h', 'e', 9, 0,
     423             :   /* 2922 */ 'c', 'i', 'b', 'n', 'h', 'e', 9, 0,
     424             :   /* 2930 */ 'c', 'g', 'i', 'b', 'n', 'h', 'e', 9, 0,
     425             :   /* 2939 */ 'c', 'l', 'g', 'i', 'b', 'n', 'h', 'e', 9, 0,
     426             :   /* 2949 */ 'c', 'l', 'i', 'b', 'n', 'h', 'e', 9, 0,
     427             :   /* 2958 */ 'c', 'r', 'b', 'n', 'h', 'e', 9, 0,
     428             :   /* 2966 */ 'c', 'g', 'r', 'b', 'n', 'h', 'e', 9, 0,
     429             :   /* 2975 */ 'c', 'l', 'g', 'r', 'b', 'n', 'h', 'e', 9, 0,
     430             :   /* 2985 */ 'c', 'l', 'r', 'b', 'n', 'h', 'e', 9, 0,
     431             :   /* 2994 */ 'l', 'o', 'c', 'n', 'h', 'e', 9, 0,
     432             :   /* 3002 */ 's', 't', 'o', 'c', 'n', 'h', 'e', 9, 0,
     433             :   /* 3011 */ 'l', 'o', 'c', 'g', 'n', 'h', 'e', 9, 0,
     434             :   /* 3020 */ 's', 't', 'o', 'c', 'g', 'n', 'h', 'e', 9, 0,
     435             :   /* 3030 */ 'j', 'g', 'n', 'h', 'e', 9, 0,
     436             :   /* 3037 */ 'l', 'o', 'c', 'f', 'h', 'n', 'h', 'e', 9, 0,
     437             :   /* 3047 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'h', 'e', 9, 0,
     438             :   /* 3058 */ 'b', 'i', 'n', 'h', 'e', 9, 0,
     439             :   /* 3065 */ 'l', 'o', 'c', 'h', 'i', 'n', 'h', 'e', 9, 0,
     440             :   /* 3075 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'h', 'e', 9, 0,
     441             :   /* 3086 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'h', 'e', 9, 0,
     442             :   /* 3097 */ 'c', 'i', 'j', 'n', 'h', 'e', 9, 0,
     443             :   /* 3105 */ 'c', 'g', 'i', 'j', 'n', 'h', 'e', 9, 0,
     444             :   /* 3114 */ 'c', 'l', 'g', 'i', 'j', 'n', 'h', 'e', 9, 0,
     445             :   /* 3124 */ 'c', 'l', 'i', 'j', 'n', 'h', 'e', 9, 0,
     446             :   /* 3133 */ 'c', 'r', 'j', 'n', 'h', 'e', 9, 0,
     447             :   /* 3141 */ 'c', 'g', 'r', 'j', 'n', 'h', 'e', 9, 0,
     448             :   /* 3150 */ 'c', 'l', 'g', 'r', 'j', 'n', 'h', 'e', 9, 0,
     449             :   /* 3160 */ 'c', 'l', 'r', 'j', 'n', 'h', 'e', 9, 0,
     450             :   /* 3169 */ 'l', 'o', 'c', 'r', 'n', 'h', 'e', 9, 0,
     451             :   /* 3178 */ 'l', 'o', 'c', 'g', 'r', 'n', 'h', 'e', 9, 0,
     452             :   /* 3188 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'h', 'e', 9, 0,
     453             :   /* 3199 */ 'c', 'l', 'g', 't', 'n', 'h', 'e', 9, 0,
     454             :   /* 3208 */ 'c', 'i', 't', 'n', 'h', 'e', 9, 0,
     455             :   /* 3216 */ 'c', 'l', 'f', 'i', 't', 'n', 'h', 'e', 9, 0,
     456             :   /* 3226 */ 'c', 'g', 'i', 't', 'n', 'h', 'e', 9, 0,
     457             :   /* 3235 */ 'c', 'l', 'g', 'i', 't', 'n', 'h', 'e', 9, 0,
     458             :   /* 3245 */ 'c', 'l', 't', 'n', 'h', 'e', 9, 0,
     459             :   /* 3253 */ 'c', 'r', 't', 'n', 'h', 'e', 9, 0,
     460             :   /* 3261 */ 'c', 'g', 'r', 't', 'n', 'h', 'e', 9, 0,
     461             :   /* 3270 */ 'c', 'l', 'g', 'r', 't', 'n', 'h', 'e', 9, 0,
     462             :   /* 3280 */ 'c', 'l', 'r', 't', 'n', 'h', 'e', 9, 0,
     463             :   /* 3289 */ 'l', 'o', 'c', 'r', 'h', 'e', 9, 0,
     464             :   /* 3297 */ 'l', 'o', 'c', 'g', 'r', 'h', 'e', 9, 0,
     465             :   /* 3306 */ 'l', 'o', 'c', 'f', 'h', 'r', 'h', 'e', 9, 0,
     466             :   /* 3316 */ 'c', 'l', 'g', 't', 'h', 'e', 9, 0,
     467             :   /* 3324 */ 'c', 'i', 't', 'h', 'e', 9, 0,
     468             :   /* 3331 */ 'c', 'l', 'f', 'i', 't', 'h', 'e', 9, 0,
     469             :   /* 3340 */ 'c', 'g', 'i', 't', 'h', 'e', 9, 0,
     470             :   /* 3348 */ 'c', 'l', 'g', 'i', 't', 'h', 'e', 9, 0,
     471             :   /* 3357 */ 'c', 'l', 't', 'h', 'e', 9, 0,
     472             :   /* 3364 */ 'c', 'r', 't', 'h', 'e', 9, 0,
     473             :   /* 3371 */ 'c', 'g', 'r', 't', 'h', 'e', 9, 0,
     474             :   /* 3379 */ 'c', 'l', 'g', 'r', 't', 'h', 'e', 9, 0,
     475             :   /* 3388 */ 'c', 'l', 'r', 't', 'h', 'e', 9, 0,
     476             :   /* 3396 */ 'b', 'i', 'e', 9, 0,
     477             :   /* 3401 */ 'l', 'o', 'c', 'h', 'i', 'e', 9, 0,
     478             :   /* 3409 */ 'l', 'o', 'c', 'g', 'h', 'i', 'e', 9, 0,
     479             :   /* 3418 */ 'l', 'o', 'c', 'h', 'h', 'i', 'e', 9, 0,
     480             :   /* 3427 */ 's', 'i', 'e', 9, 0,
     481             :   /* 3432 */ 'c', 'i', 'j', 'e', 9, 0,
     482             :   /* 3438 */ 'c', 'g', 'i', 'j', 'e', 9, 0,
     483             :   /* 3445 */ 'c', 'l', 'g', 'i', 'j', 'e', 9, 0,
     484             :   /* 3453 */ 'c', 'l', 'i', 'j', 'e', 9, 0,
     485             :   /* 3460 */ 'c', 'r', 'j', 'e', 9, 0,
     486             :   /* 3466 */ 'c', 'g', 'r', 'j', 'e', 9, 0,
     487             :   /* 3473 */ 'c', 'l', 'g', 'r', 'j', 'e', 9, 0,
     488             :   /* 3481 */ 'c', 'l', 'r', 'j', 'e', 9, 0,
     489             :   /* 3488 */ 's', 't', 'c', 'k', 'e', 9, 0,
     490             :   /* 3495 */ 'i', 's', 'k', 'e', 9, 0,
     491             :   /* 3501 */ 's', 's', 'k', 'e', 9, 0,
     492             :   /* 3507 */ 'v', 'm', 'a', 'l', 'e', 9, 0,
     493             :   /* 3514 */ 'c', 'i', 'b', 'l', 'e', 9, 0,
     494             :   /* 3521 */ 'c', 'g', 'i', 'b', 'l', 'e', 9, 0,
     495             :   /* 3529 */ 'c', 'l', 'g', 'i', 'b', 'l', 'e', 9, 0,
     496             :   /* 3538 */ 'c', 'l', 'i', 'b', 'l', 'e', 9, 0,
     497             :   /* 3546 */ 'c', 'r', 'b', 'l', 'e', 9, 0,
     498             :   /* 3553 */ 'c', 'g', 'r', 'b', 'l', 'e', 9, 0,
     499             :   /* 3561 */ 'c', 'l', 'g', 'r', 'b', 'l', 'e', 9, 0,
     500             :   /* 3570 */ 'c', 'l', 'r', 'b', 'l', 'e', 9, 0,
     501             :   /* 3578 */ 'c', 'l', 'c', 'l', 'e', 9, 0,
     502             :   /* 3585 */ 'l', 'o', 'c', 'l', 'e', 9, 0,
     503             :   /* 3592 */ 's', 't', 'o', 'c', 'l', 'e', 9, 0,
     504             :   /* 3600 */ 'm', 'v', 'c', 'l', 'e', 9, 0,
     505             :   /* 3607 */ 's', 't', 'f', 'l', 'e', 9, 0,
     506             :   /* 3614 */ 'l', 'o', 'c', 'g', 'l', 'e', 9, 0,
     507             :   /* 3622 */ 's', 't', 'o', 'c', 'g', 'l', 'e', 9, 0,
     508             :   /* 3631 */ 'j', 'g', 'l', 'e', 9, 0,
     509             :   /* 3637 */ 'l', 'o', 'c', 'f', 'h', 'l', 'e', 9, 0,
     510             :   /* 3646 */ 's', 't', 'o', 'c', 'f', 'h', 'l', 'e', 9, 0,
     511             :   /* 3656 */ 'b', 'i', 'l', 'e', 9, 0,
     512             :   /* 3662 */ 'l', 'o', 'c', 'h', 'i', 'l', 'e', 9, 0,
     513             :   /* 3671 */ 'l', 'o', 'c', 'g', 'h', 'i', 'l', 'e', 9, 0,
     514             :   /* 3681 */ 'l', 'o', 'c', 'h', 'h', 'i', 'l', 'e', 9, 0,
     515             :   /* 3691 */ 'c', 'i', 'j', 'l', 'e', 9, 0,
     516             :   /* 3698 */ 'c', 'g', 'i', 'j', 'l', 'e', 9, 0,
     517             :   /* 3706 */ 'c', 'l', 'g', 'i', 'j', 'l', 'e', 9, 0,
     518             :   /* 3715 */ 'c', 'l', 'i', 'j', 'l', 'e', 9, 0,
     519             :   /* 3723 */ 'c', 'r', 'j', 'l', 'e', 9, 0,
     520             :   /* 3730 */ 'c', 'g', 'r', 'j', 'l', 'e', 9, 0,
     521             :   /* 3738 */ 'c', 'l', 'g', 'r', 'j', 'l', 'e', 9, 0,
     522             :   /* 3747 */ 'c', 'l', 'r', 'j', 'l', 'e', 9, 0,
     523             :   /* 3755 */ 'v', 'm', 'l', 'e', 9, 0,
     524             :   /* 3761 */ 'c', 'i', 'b', 'n', 'l', 'e', 9, 0,
     525             :   /* 3769 */ 'c', 'g', 'i', 'b', 'n', 'l', 'e', 9, 0,
     526             :   /* 3778 */ 'c', 'l', 'g', 'i', 'b', 'n', 'l', 'e', 9, 0,
     527             :   /* 3788 */ 'c', 'l', 'i', 'b', 'n', 'l', 'e', 9, 0,
     528             :   /* 3797 */ 'c', 'r', 'b', 'n', 'l', 'e', 9, 0,
     529             :   /* 3805 */ 'c', 'g', 'r', 'b', 'n', 'l', 'e', 9, 0,
     530             :   /* 3814 */ 'c', 'l', 'g', 'r', 'b', 'n', 'l', 'e', 9, 0,
     531             :   /* 3824 */ 'c', 'l', 'r', 'b', 'n', 'l', 'e', 9, 0,
     532             :   /* 3833 */ 'l', 'o', 'c', 'n', 'l', 'e', 9, 0,
     533             :   /* 3841 */ 's', 't', 'o', 'c', 'n', 'l', 'e', 9, 0,
     534             :   /* 3850 */ 'l', 'o', 'c', 'g', 'n', 'l', 'e', 9, 0,
     535             :   /* 3859 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 'e', 9, 0,
     536             :   /* 3869 */ 'j', 'g', 'n', 'l', 'e', 9, 0,
     537             :   /* 3876 */ 'l', 'o', 'c', 'f', 'h', 'n', 'l', 'e', 9, 0,
     538             :   /* 3886 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'l', 'e', 9, 0,
     539             :   /* 3897 */ 'b', 'i', 'n', 'l', 'e', 9, 0,
     540             :   /* 3904 */ 'l', 'o', 'c', 'h', 'i', 'n', 'l', 'e', 9, 0,
     541             :   /* 3914 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'l', 'e', 9, 0,
     542             :   /* 3925 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'l', 'e', 9, 0,
     543             :   /* 3936 */ 'c', 'i', 'j', 'n', 'l', 'e', 9, 0,
     544             :   /* 3944 */ 'c', 'g', 'i', 'j', 'n', 'l', 'e', 9, 0,
     545             :   /* 3953 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 'e', 9, 0,
     546             :   /* 3963 */ 'c', 'l', 'i', 'j', 'n', 'l', 'e', 9, 0,
     547             :   /* 3972 */ 'c', 'r', 'j', 'n', 'l', 'e', 9, 0,
     548             :   /* 3980 */ 'c', 'g', 'r', 'j', 'n', 'l', 'e', 9, 0,
     549             :   /* 3989 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 'e', 9, 0,
     550             :   /* 3999 */ 'c', 'l', 'r', 'j', 'n', 'l', 'e', 9, 0,
     551             :   /* 4008 */ 'l', 'o', 'c', 'r', 'n', 'l', 'e', 9, 0,
     552             :   /* 4017 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 'e', 9, 0,
     553             :   /* 4027 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'l', 'e', 9, 0,
     554             :   /* 4038 */ 'c', 'l', 'g', 't', 'n', 'l', 'e', 9, 0,
     555             :   /* 4047 */ 'c', 'i', 't', 'n', 'l', 'e', 9, 0,
     556             :   /* 4055 */ 'c', 'l', 'f', 'i', 't', 'n', 'l', 'e', 9, 0,
     557             :   /* 4065 */ 'c', 'g', 'i', 't', 'n', 'l', 'e', 9, 0,
     558             :   /* 4074 */ 'c', 'l', 'g', 'i', 't', 'n', 'l', 'e', 9, 0,
     559             :   /* 4084 */ 'c', 'l', 't', 'n', 'l', 'e', 9, 0,
     560             :   /* 4092 */ 'c', 'r', 't', 'n', 'l', 'e', 9, 0,
     561             :   /* 4100 */ 'c', 'g', 'r', 't', 'n', 'l', 'e', 9, 0,
     562             :   /* 4109 */ 'c', 'l', 'g', 'r', 't', 'n', 'l', 'e', 9, 0,
     563             :   /* 4119 */ 'c', 'l', 'r', 't', 'n', 'l', 'e', 9, 0,
     564             :   /* 4128 */ 'l', 'o', 'c', 'r', 'l', 'e', 9, 0,
     565             :   /* 4136 */ 'l', 'o', 'c', 'g', 'r', 'l', 'e', 9, 0,
     566             :   /* 4145 */ 'l', 'o', 'c', 'f', 'h', 'r', 'l', 'e', 9, 0,
     567             :   /* 4155 */ 'c', 'l', 'g', 't', 'l', 'e', 9, 0,
     568             :   /* 4163 */ 'c', 'i', 't', 'l', 'e', 9, 0,
     569             :   /* 4170 */ 'c', 'l', 'f', 'i', 't', 'l', 'e', 9, 0,
     570             :   /* 4179 */ 'c', 'g', 'i', 't', 'l', 'e', 9, 0,
     571             :   /* 4187 */ 'c', 'l', 'g', 'i', 't', 'l', 'e', 9, 0,
     572             :   /* 4196 */ 'c', 'l', 't', 'l', 'e', 9, 0,
     573             :   /* 4203 */ 'c', 'r', 't', 'l', 'e', 9, 0,
     574             :   /* 4210 */ 'c', 'g', 'r', 't', 'l', 'e', 9, 0,
     575             :   /* 4218 */ 'c', 'l', 'g', 'r', 't', 'l', 'e', 9, 0,
     576             :   /* 4227 */ 'c', 'l', 'r', 't', 'l', 'e', 9, 0,
     577             :   /* 4235 */ 'b', 'x', 'l', 'e', 9, 0,
     578             :   /* 4241 */ 'b', 'r', 'x', 'l', 'e', 9, 0,
     579             :   /* 4248 */ 'v', 'm', 'e', 9, 0,
     580             :   /* 4253 */ 'c', 'i', 'b', 'n', 'e', 9, 0,
     581             :   /* 4260 */ 'c', 'g', 'i', 'b', 'n', 'e', 9, 0,
     582             :   /* 4268 */ 'c', 'l', 'g', 'i', 'b', 'n', 'e', 9, 0,
     583             :   /* 4277 */ 'c', 'l', 'i', 'b', 'n', 'e', 9, 0,
     584             :   /* 4285 */ 'c', 'r', 'b', 'n', 'e', 9, 0,
     585             :   /* 4292 */ 'c', 'g', 'r', 'b', 'n', 'e', 9, 0,
     586             :   /* 4300 */ 'c', 'l', 'g', 'r', 'b', 'n', 'e', 9, 0,
     587             :   /* 4309 */ 'c', 'l', 'r', 'b', 'n', 'e', 9, 0,
     588             :   /* 4317 */ 'l', 'o', 'c', 'n', 'e', 9, 0,
     589             :   /* 4324 */ 's', 't', 'o', 'c', 'n', 'e', 9, 0,
     590             :   /* 4332 */ 'v', 'f', 'e', 'n', 'e', 9, 0,
     591             :   /* 4339 */ 'l', 'o', 'c', 'g', 'n', 'e', 9, 0,
     592             :   /* 4347 */ 's', 't', 'o', 'c', 'g', 'n', 'e', 9, 0,
     593             :   /* 4356 */ 'j', 'g', 'n', 'e', 9, 0,
     594             :   /* 4362 */ 'l', 'o', 'c', 'f', 'h', 'n', 'e', 9, 0,
     595             :   /* 4371 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'e', 9, 0,
     596             :   /* 4381 */ 'b', 'i', 'n', 'e', 9, 0,
     597             :   /* 4387 */ 'l', 'o', 'c', 'h', 'i', 'n', 'e', 9, 0,
     598             :   /* 4396 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'e', 9, 0,
     599             :   /* 4406 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'e', 9, 0,
     600             :   /* 4416 */ 'c', 'i', 'j', 'n', 'e', 9, 0,
     601             :   /* 4423 */ 'c', 'g', 'i', 'j', 'n', 'e', 9, 0,
     602             :   /* 4431 */ 'c', 'l', 'g', 'i', 'j', 'n', 'e', 9, 0,
     603             :   /* 4440 */ 'c', 'l', 'i', 'j', 'n', 'e', 9, 0,
     604             :   /* 4448 */ 'c', 'r', 'j', 'n', 'e', 9, 0,
     605             :   /* 4455 */ 'c', 'g', 'r', 'j', 'n', 'e', 9, 0,
     606             :   /* 4463 */ 'c', 'l', 'g', 'r', 'j', 'n', 'e', 9, 0,
     607             :   /* 4472 */ 'c', 'l', 'r', 'j', 'n', 'e', 9, 0,
     608             :   /* 4480 */ 'v', 'o', 'n', 'e', 9, 0,
     609             :   /* 4486 */ 'l', 'o', 'c', 'r', 'n', 'e', 9, 0,
     610             :   /* 4494 */ 'l', 'o', 'c', 'g', 'r', 'n', 'e', 9, 0,
     611             :   /* 4503 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'e', 9, 0,
     612             :   /* 4513 */ 'c', 'l', 'g', 't', 'n', 'e', 9, 0,
     613             :   /* 4521 */ 'c', 'i', 't', 'n', 'e', 9, 0,
     614             :   /* 4528 */ 'c', 'l', 'f', 'i', 't', 'n', 'e', 9, 0,
     615             :   /* 4537 */ 'c', 'g', 'i', 't', 'n', 'e', 9, 0,
     616             :   /* 4545 */ 'c', 'l', 'g', 'i', 't', 'n', 'e', 9, 0,
     617             :   /* 4554 */ 'c', 'l', 't', 'n', 'e', 9, 0,
     618             :   /* 4561 */ 'c', 'r', 't', 'n', 'e', 9, 0,
     619             :   /* 4568 */ 'c', 'g', 'r', 't', 'n', 'e', 9, 0,
     620             :   /* 4576 */ 'c', 'l', 'g', 'r', 't', 'n', 'e', 9, 0,
     621             :   /* 4585 */ 'c', 'l', 'r', 't', 'n', 'e', 9, 0,
     622             :   /* 4593 */ 's', 'q', 'e', 9, 0,
     623             :   /* 4598 */ 'l', 'o', 'c', 'r', 'e', 9, 0,
     624             :   /* 4605 */ 'l', 'o', 'c', 'g', 'r', 'e', 9, 0,
     625             :   /* 4613 */ 'l', 'o', 'c', 'f', 'h', 'r', 'e', 9, 0,
     626             :   /* 4622 */ 't', 'r', 't', 'r', 'e', 9, 0,
     627             :   /* 4629 */ 'm', 's', 'e', 9, 0,
     628             :   /* 4634 */ 'c', 'u', 's', 'e', 9, 0,
     629             :   /* 4640 */ 'i', 'd', 't', 'e', 9, 0,
     630             :   /* 4646 */ 'c', 'r', 'd', 't', 'e', 9, 0,
     631             :   /* 4653 */ 'c', 'l', 'g', 't', 'e', 9, 0,
     632             :   /* 4660 */ 'c', 'i', 't', 'e', 9, 0,
     633             :   /* 4666 */ 'c', 'l', 'f', 'i', 't', 'e', 9, 0,
     634             :   /* 4674 */ 'c', 'g', 'i', 't', 'e', 9, 0,
     635             :   /* 4681 */ 'c', 'l', 'g', 'i', 't', 'e', 9, 0,
     636             :   /* 4689 */ 'c', 'l', 't', 'e', 9, 0,
     637             :   /* 4695 */ 'i', 'p', 't', 'e', 9, 0,
     638             :   /* 4701 */ 'c', 'r', 't', 'e', 9, 0,
     639             :   /* 4707 */ 'c', 'g', 'r', 't', 'e', 9, 0,
     640             :   /* 4714 */ 'c', 'l', 'g', 'r', 't', 'e', 9, 0,
     641             :   /* 4722 */ 'c', 'l', 'r', 't', 'e', 9, 0,
     642             :   /* 4729 */ 't', 'r', 't', 'e', 9, 0,
     643             :   /* 4735 */ 's', 't', 'e', 9, 0,
     644             :   /* 4740 */ 'l', 'p', 's', 'w', 'e', 9, 0,
     645             :   /* 4747 */ 'l', 'x', 'e', 9, 0,
     646             :   /* 4752 */ 'v', 'g', 'f', 'm', 'a', 'f', 9, 0,
     647             :   /* 4760 */ 'v', 'e', 's', 'r', 'a', 'f', 9, 0,
     648             :   /* 4768 */ 'v', 'a', 'f', 9, 0,
     649             :   /* 4773 */ 's', 'a', 'c', 'f', 9, 0,
     650             :   /* 4779 */ 'v', 'a', 'c', 'c', 'f', 9, 0,
     651             :   /* 4786 */ 'v', 'e', 'c', 'f', 9, 0,
     652             :   /* 4792 */ 'v', 'l', 'c', 'f', 9, 0,
     653             :   /* 4798 */ 'v', 's', 't', 'r', 'c', 'f', 9, 0,
     654             :   /* 4806 */ 'v', 'f', 'a', 'e', 'f', 9, 0,
     655             :   /* 4813 */ 'v', 'm', 'a', 'e', 'f', 9, 0,
     656             :   /* 4820 */ 'v', 's', 'c', 'e', 'f', 9, 0,
     657             :   /* 4827 */ 'v', 'f', 'e', 'e', 'f', 9, 0,
     658             :   /* 4834 */ 'v', 'g', 'e', 'f', 9, 0,
     659             :   /* 4840 */ 'v', 'm', 'a', 'l', 'e', 'f', 9, 0,
     660             :   /* 4848 */ 'v', 'm', 'l', 'e', 'f', 9, 0,
     661             :   /* 4855 */ 'v', 'l', 'e', 'f', 9, 0,
     662             :   /* 4861 */ 'v', 'm', 'e', 'f', 9, 0,
     663             :   /* 4867 */ 'v', 'f', 'e', 'n', 'e', 'f', 9, 0,
     664             :   /* 4875 */ 'v', 's', 't', 'e', 'f', 9, 0,
     665             :   /* 4882 */ 'a', 'g', 'f', 9, 0,
     666             :   /* 4887 */ 'c', 'g', 'f', 9, 0,
     667             :   /* 4892 */ 'v', 's', 'e', 'g', 'f', 9, 0,
     668             :   /* 4899 */ 'a', 'l', 'g', 'f', 9, 0,
     669             :   /* 4905 */ 'c', 'l', 'g', 'f', 9, 0,
     670             :   /* 4911 */ 'l', 'l', 'g', 'f', 9, 0,
     671             :   /* 4917 */ 's', 'l', 'g', 'f', 9, 0,
     672             :   /* 4923 */ 'v', 's', 'u', 'm', 'g', 'f', 9, 0,
     673             :   /* 4931 */ 'l', 'l', 'z', 'r', 'g', 'f', 9, 0,
     674             :   /* 4939 */ 'd', 's', 'g', 'f', 9, 0,
     675             :   /* 4945 */ 'm', 's', 'g', 'f', 9, 0,
     676             :   /* 4951 */ 'l', 't', 'g', 'f', 9, 0,
     677             :   /* 4957 */ 'v', 'a', 'v', 'g', 'f', 9, 0,
     678             :   /* 4964 */ 'v', 'l', 'v', 'g', 'f', 9, 0,
     679             :   /* 4971 */ 'v', 'm', 'a', 'h', 'f', 9, 0,
     680             :   /* 4978 */ 'v', 'c', 'h', 'f', 9, 0,
     681             :   /* 4984 */ 'i', 'i', 'h', 'f', 9, 0,
     682             :   /* 4990 */ 'l', 'l', 'i', 'h', 'f', 9, 0,
     683             :   /* 4997 */ 'n', 'i', 'h', 'f', 9, 0,
     684             :   /* 5003 */ 'o', 'i', 'h', 'f', 9, 0,
     685             :   /* 5009 */ 'x', 'i', 'h', 'f', 9, 0,
     686             :   /* 5015 */ 'v', 'm', 'a', 'l', 'h', 'f', 9, 0,
     687             :   /* 5023 */ 'c', 'l', 'h', 'f', 9, 0,
     688             :   /* 5029 */ 'v', 'm', 'l', 'h', 'f', 9, 0,
     689             :   /* 5036 */ 'v', 'u', 'p', 'l', 'h', 'f', 9, 0,
     690             :   /* 5044 */ 'v', 'm', 'h', 'f', 9, 0,
     691             :   /* 5050 */ 'v', 'u', 'p', 'h', 'f', 9, 0,
     692             :   /* 5057 */ 'v', 'm', 'r', 'h', 'f', 9, 0,
     693             :   /* 5064 */ 'v', 's', 'c', 'b', 'i', 'f', 9, 0,
     694             :   /* 5072 */ 'v', 'l', 'e', 'i', 'f', 9, 0,
     695             :   /* 5079 */ 'v', 'r', 'e', 'p', 'i', 'f', 9, 0,
     696             :   /* 5087 */ 's', 't', 'c', 'k', 'f', 9, 0,
     697             :   /* 5094 */ 'v', 'p', 'k', 'f', 9, 0,
     698             :   /* 5100 */ 'v', 'm', 'a', 'l', 'f', 9, 0,
     699             :   /* 5107 */ 'v', 'e', 'c', 'l', 'f', 9, 0,
     700             :   /* 5114 */ 'v', 'a', 'v', 'g', 'l', 'f', 9, 0,
     701             :   /* 5122 */ 'v', 'c', 'h', 'l', 'f', 9, 0,
     702             :   /* 5129 */ 'i', 'i', 'l', 'f', 9, 0,
     703             :   /* 5135 */ 'l', 'l', 'i', 'l', 'f', 9, 0,
     704             :   /* 5142 */ 'n', 'i', 'l', 'f', 9, 0,
     705             :   /* 5148 */ 'o', 'i', 'l', 'f', 9, 0,
     706             :   /* 5154 */ 'x', 'i', 'l', 'f', 9, 0,
     707             :   /* 5160 */ 'v', 'u', 'p', 'l', 'l', 'f', 9, 0,
     708             :   /* 5168 */ 'v', 'e', 'r', 'l', 'l', 'f', 9, 0,
     709             :   /* 5176 */ 'v', 'm', 'l', 'f', 9, 0,
     710             :   /* 5182 */ 'v', 'm', 'n', 'l', 'f', 9, 0,
     711             :   /* 5189 */ 'v', 'u', 'p', 'l', 'f', 9, 0,
     712             :   /* 5196 */ 'v', 'm', 'r', 'l', 'f', 9, 0,
     713             :   /* 5203 */ 'v', 'e', 's', 'r', 'l', 'f', 9, 0,
     714             :   /* 5211 */ 'v', 'e', 's', 'l', 'f', 9, 0,
     715             :   /* 5218 */ 'v', 'm', 'x', 'l', 'f', 9, 0,
     716             :   /* 5225 */ 'v', 'l', 'l', 'e', 'z', 'l', 'f', 9, 0,
     717             :   /* 5234 */ 'v', 'g', 'f', 'm', 'f', 9, 0,
     718             :   /* 5241 */ 'p', 'f', 'm', 'f', 9, 0,
     719             :   /* 5247 */ 'v', 'g', 'm', 'f', 9, 0,
     720             :   /* 5253 */ 'v', 'e', 'r', 'i', 'm', 'f', 9, 0,
     721             :   /* 5261 */ 'k', 'm', 'f', 9, 0,
     722             :   /* 5266 */ 'v', 'm', 'n', 'f', 9, 0,
     723             :   /* 5272 */ 'v', 'm', 'a', 'o', 'f', 9, 0,
     724             :   /* 5279 */ 'v', 'm', 'a', 'l', 'o', 'f', 9, 0,
     725             :   /* 5287 */ 'v', 'm', 'l', 'o', 'f', 9, 0,
     726             :   /* 5294 */ 'v', 'm', 'o', 'f', 9, 0,
     727             :   /* 5300 */ 'v', 'l', 'r', 'e', 'p', 'f', 9, 0,
     728             :   /* 5308 */ 'v', 'r', 'e', 'p', 'f', 9, 0,
     729             :   /* 5315 */ 'v', 'l', 'p', 'f', 9, 0,
     730             :   /* 5321 */ 'v', 'c', 'e', 'q', 'f', 9, 0,
     731             :   /* 5328 */ 'v', 's', 'u', 'm', 'q', 'f', 9, 0,
     732             :   /* 5336 */ 'v', 'i', 's', 't', 'r', 'f', 9, 0,
     733             :   /* 5344 */ 'l', 'z', 'r', 'f', 9, 0,
     734             :   /* 5350 */ 'v', 'p', 'k', 's', 'f', 9, 0,
     735             :   /* 5357 */ 'v', 'p', 'k', 'l', 's', 'f', 9, 0,
     736             :   /* 5365 */ 'v', 's', 'f', 9, 0,
     737             :   /* 5370 */ 'v', 'p', 'o', 'p', 'c', 't', 'f', 9, 0,
     738             :   /* 5379 */ 'p', 't', 'f', 9, 0,
     739             :   /* 5384 */ 'c', 'u', 'u', 't', 'f', 9, 0,
     740             :   /* 5391 */ 'v', 'e', 's', 'r', 'a', 'v', 'f', 9, 0,
     741             :   /* 5400 */ 'v', 'l', 'g', 'v', 'f', 9, 0,
     742             :   /* 5407 */ 'v', 'e', 'r', 'l', 'l', 'v', 'f', 9, 0,
     743             :   /* 5416 */ 'v', 'e', 's', 'r', 'l', 'v', 'f', 9, 0,
     744             :   /* 5425 */ 'v', 'e', 's', 'l', 'v', 'f', 9, 0,
     745             :   /* 5433 */ 'v', 'm', 'x', 'f', 9, 0,
     746             :   /* 5439 */ 'v', 's', 't', 'r', 'c', 'z', 'f', 9, 0,
     747             :   /* 5448 */ 'v', 'f', 'a', 'e', 'z', 'f', 9, 0,
     748             :   /* 5456 */ 'v', 'f', 'e', 'e', 'z', 'f', 9, 0,
     749             :   /* 5464 */ 'v', 'l', 'l', 'e', 'z', 'f', 9, 0,
     750             :   /* 5472 */ 'v', 'f', 'e', 'n', 'e', 'z', 'f', 9, 0,
     751             :   /* 5481 */ 'v', 'c', 'l', 'z', 'f', 9, 0,
     752             :   /* 5488 */ 'v', 'c', 't', 'z', 'f', 9, 0,
     753             :   /* 5495 */ 'l', 'a', 'a', 'g', 9, 0,
     754             :   /* 5501 */ 'e', 'c', 'a', 'g', 9, 0,
     755             :   /* 5507 */ 'd', 'i', 'a', 'g', 9, 0,
     756             :   /* 5513 */ 's', 'l', 'a', 'g', 9, 0,
     757             :   /* 5519 */ 'v', 'g', 'f', 'm', 'a', 'g', 9, 0,
     758             :   /* 5527 */ 'l', 'r', 'a', 'g', 9, 0,
     759             :   /* 5533 */ 'v', 'e', 's', 'r', 'a', 'g', 9, 0,
     760             :   /* 5541 */ 's', 't', 'r', 'a', 'g', 9, 0,
     761             :   /* 5548 */ 'l', 'u', 'r', 'a', 'g', 9, 0,
     762             :   /* 5555 */ 'v', 'a', 'g', 9, 0,
     763             :   /* 5560 */ 's', 'l', 'b', 'g', 9, 0,
     764             :   /* 5566 */ 'r', 'i', 's', 'b', 'g', 9, 0,
     765             :   /* 5573 */ 'r', 'n', 's', 'b', 'g', 9, 0,
     766             :   /* 5580 */ 'r', 'o', 's', 'b', 'g', 9, 0,
     767             :   /* 5587 */ 'r', 'x', 's', 'b', 'g', 9, 0,
     768             :   /* 5594 */ 'v', 'c', 'v', 'b', 'g', 9, 0,
     769             :   /* 5601 */ 't', 'r', 'a', 'c', 'g', 9, 0,
     770             :   /* 5608 */ 'v', 'a', 'c', 'c', 'g', 9, 0,
     771             :   /* 5615 */ 'v', 'e', 'c', 'g', 9, 0,
     772             :   /* 5621 */ 'a', 'l', 'c', 'g', 9, 0,
     773             :   /* 5627 */ 'v', 'l', 'c', 'g', 9, 0,
     774             :   /* 5633 */ 'l', 'o', 'c', 'g', 9, 0,
     775             :   /* 5639 */ 's', 't', 'o', 'c', 'g', 9, 0,
     776             :   /* 5646 */ 'v', 'c', 'd', 'g', 9, 0,
     777             :   /* 5652 */ 'l', 'p', 'd', 'g', 9, 0,
     778             :   /* 5658 */ 'v', 'c', 'v', 'd', 'g', 9, 0,
     779             :   /* 5665 */ 'v', 's', 'c', 'e', 'g', 9, 0,
     780             :   /* 5672 */ 'v', 'g', 'e', 'g', 9, 0,
     781             :   /* 5678 */ 'v', 'l', 'e', 'g', 9, 0,
     782             :   /* 5684 */ 'b', 'x', 'l', 'e', 'g', 9, 0,
     783             :   /* 5691 */ 'e', 'r', 'e', 'g', 9, 0,
     784             :   /* 5697 */ 'v', 's', 'e', 'g', 9, 0,
     785             :   /* 5703 */ 'v', 's', 't', 'e', 'g', 9, 0,
     786             :   /* 5710 */ 'e', 'r', 'e', 'g', 'g', 9, 0,
     787             :   /* 5717 */ 'l', 'g', 'g', 9, 0,
     788             :   /* 5722 */ 'v', 'a', 'v', 'g', 'g', 9, 0,
     789             :   /* 5729 */ 'v', 'l', 'v', 'g', 'g', 9, 0,
     790             :   /* 5736 */ 'r', 'i', 's', 'b', 'h', 'g', 9, 0,
     791             :   /* 5744 */ 'v', 'c', 'h', 'g', 9, 0,
     792             :   /* 5750 */ 'v', 'm', 'r', 'h', 'g', 9, 0,
     793             :   /* 5757 */ 'b', 'x', 'h', 'g', 9, 0,
     794             :   /* 5763 */ 'b', 'r', 'x', 'h', 'g', 9, 0,
     795             :   /* 5770 */ 'v', 's', 'c', 'b', 'i', 'g', 9, 0,
     796             :   /* 5778 */ 'v', 'l', 'e', 'i', 'g', 9, 0,
     797             :   /* 5785 */ 'v', 'r', 'e', 'p', 'i', 'g', 9, 0,
     798             :   /* 5793 */ 'j', 'g', 9, 0,
     799             :   /* 5797 */ 'v', 'p', 'k', 'g', 9, 0,
     800             :   /* 5803 */ 'l', 'a', 'a', 'l', 'g', 9, 0,
     801             :   /* 5810 */ 'r', 'i', 's', 'b', 'l', 'g', 9, 0,
     802             :   /* 5818 */ 'v', 'e', 'c', 'l', 'g', 9, 0,
     803             :   /* 5825 */ 'v', 'c', 'd', 'l', 'g', 9, 0,
     804             :   /* 5832 */ 'v', 'a', 'v', 'g', 'l', 'g', 9, 0,
     805             :   /* 5840 */ 'v', 'c', 'h', 'l', 'g', 9, 0,
     806             :   /* 5847 */ 'v', 'e', 'r', 'l', 'l', 'g', 9, 0,
     807             :   /* 5855 */ 's', 'l', 'l', 'g', 9, 0,
     808             :   /* 5861 */ 'm', 'l', 'g', 9, 0,
     809             :   /* 5866 */ 'v', 'm', 'n', 'l', 'g', 9, 0,
     810             :   /* 5873 */ 'v', 'm', 'r', 'l', 'g', 9, 0,
     811             :   /* 5880 */ 'v', 'e', 's', 'r', 'l', 'g', 9, 0,
     812             :   /* 5888 */ 'v', 'e', 's', 'l', 'g', 9, 0,
     813             :   /* 5895 */ 'v', 'm', 's', 'l', 'g', 9, 0,
     814             :   /* 5902 */ 'l', 'c', 't', 'l', 'g', 9, 0,
     815             :   /* 5909 */ 'v', 'm', 'x', 'l', 'g', 9, 0,
     816             :   /* 5916 */ 'b', 'r', 'x', 'l', 'g', 9, 0,
     817             :   /* 5923 */ 'v', 'g', 'f', 'm', 'g', 9, 0,
     818             :   /* 5930 */ 'v', 'g', 'm', 'g', 9, 0,
     819             :   /* 5936 */ 'v', 'e', 'r', 'i', 'm', 'g', 9, 0,
     820             :   /* 5944 */ 'l', 'm', 'g', 9, 0,
     821             :   /* 5949 */ 's', 't', 'm', 'g', 9, 0,
     822             :   /* 5955 */ 'v', 's', 'u', 'm', 'g', 9, 0,
     823             :   /* 5962 */ 'l', 'a', 'n', 'g', 9, 0,
     824             :   /* 5968 */ 'v', 'm', 'n', 'g', 9, 0,
     825             :   /* 5974 */ 'l', 'a', 'o', 'g', 9, 0,
     826             :   /* 5980 */ 'v', 'l', 'r', 'e', 'p', 'g', 9, 0,
     827             :   /* 5988 */ 'v', 'r', 'e', 'p', 'g', 9, 0,
     828             :   /* 5995 */ 'v', 'l', 'p', 'g', 9, 0,
     829             :   /* 6001 */ 'c', 's', 'p', 'g', 9, 0,
     830             :   /* 6007 */ 'm', 'v', 'p', 'g', 9, 0,
     831             :   /* 6013 */ 'v', 'c', 'e', 'q', 'g', 9, 0,
     832             :   /* 6020 */ 'v', 's', 'u', 'm', 'q', 'g', 9, 0,
     833             :   /* 6028 */ 's', 't', 'u', 'r', 'g', 9, 0,
     834             :   /* 6035 */ 'l', 'z', 'r', 'g', 9, 0,
     835             :   /* 6041 */ 'b', 's', 'g', 9, 0,
     836             :   /* 6046 */ 'c', 's', 'g', 9, 0,
     837             :   /* 6051 */ 'c', 'd', 's', 'g', 9, 0,
     838             :   /* 6057 */ 'l', 'l', 'g', 'f', 's', 'g', 9, 0,
     839             :   /* 6065 */ 'v', 'p', 'k', 's', 'g', 9, 0,
     840             :   /* 6072 */ 'v', 'p', 'k', 'l', 's', 'g', 9, 0,
     841             :   /* 6080 */ 'm', 's', 'g', 9, 0,
     842             :   /* 6085 */ 'v', 's', 'g', 9, 0,
     843             :   /* 6090 */ 'b', 'c', 't', 'g', 9, 0,
     844             :   /* 6096 */ 'e', 'c', 't', 'g', 9, 0,
     845             :   /* 6102 */ 'v', 'p', 'o', 'p', 'c', 't', 'g', 9, 0,
     846             :   /* 6111 */ 'b', 'r', 'c', 't', 'g', 9, 0,
     847             :   /* 6118 */ 's', 't', 'c', 't', 'g', 9, 0,
     848             :   /* 6125 */ 'l', 't', 'g', 9, 0,
     849             :   /* 6130 */ 'n', 't', 's', 't', 'g', 9, 0,
     850             :   /* 6137 */ 'v', 'e', 's', 'r', 'a', 'v', 'g', 9, 0,
     851             :   /* 6146 */ 'v', 'a', 'v', 'g', 9, 0,
     852             :   /* 6152 */ 'v', 'l', 'g', 'v', 'g', 9, 0,
     853             :   /* 6159 */ 'v', 'e', 'r', 'l', 'l', 'v', 'g', 9, 0,
     854             :   /* 6168 */ 'v', 'e', 's', 'r', 'l', 'v', 'g', 9, 0,
     855             :   /* 6177 */ 'v', 'e', 's', 'l', 'v', 'g', 9, 0,
     856             :   /* 6185 */ 'v', 'l', 'v', 'g', 9, 0,
     857             :   /* 6191 */ 'l', 'r', 'v', 'g', 9, 0,
     858             :   /* 6197 */ 's', 't', 'r', 'v', 'g', 9, 0,
     859             :   /* 6204 */ 'l', 'a', 'x', 'g', 9, 0,
     860             :   /* 6210 */ 'v', 'm', 'x', 'g', 9, 0,
     861             :   /* 6216 */ 'v', 'l', 'l', 'e', 'z', 'g', 9, 0,
     862             :   /* 6224 */ 'v', 'c', 'l', 'z', 'g', 9, 0,
     863             :   /* 6231 */ 'v', 'c', 't', 'z', 'g', 9, 0,
     864             :   /* 6238 */ 'v', 'g', 'f', 'm', 'a', 'h', 9, 0,
     865             :   /* 6246 */ 'v', 'm', 'a', 'h', 9, 0,
     866             :   /* 6252 */ 'v', 'e', 's', 'r', 'a', 'h', 9, 0,
     867             :   /* 6260 */ 'v', 'a', 'h', 9, 0,
     868             :   /* 6265 */ 'c', 'i', 'b', 'h', 9, 0,
     869             :   /* 6271 */ 'c', 'g', 'i', 'b', 'h', 9, 0,
     870             :   /* 6278 */ 'c', 'l', 'g', 'i', 'b', 'h', 9, 0,
     871             :   /* 6286 */ 'c', 'l', 'i', 'b', 'h', 9, 0,
     872             :   /* 6293 */ 'l', 'b', 'h', 9, 0,
     873             :   /* 6298 */ 'c', 'r', 'b', 'h', 9, 0,
     874             :   /* 6304 */ 'c', 'g', 'r', 'b', 'h', 9, 0,
     875             :   /* 6311 */ 'c', 'l', 'g', 'r', 'b', 'h', 9, 0,
     876             :   /* 6319 */ 'c', 'l', 'r', 'b', 'h', 9, 0,
     877             :   /* 6326 */ 'v', 'a', 'c', 'c', 'h', 9, 0,
     878             :   /* 6333 */ 'v', 'e', 'c', 'h', 9, 0,
     879             :   /* 6339 */ 'v', 'f', 'c', 'h', 9, 0,
     880             :   /* 6345 */ 'l', 'l', 'c', 'h', 9, 0,
     881             :   /* 6351 */ 'v', 'l', 'c', 'h', 9, 0,
     882             :   /* 6357 */ 'l', 'o', 'c', 'h', 9, 0,
     883             :   /* 6363 */ 's', 't', 'o', 'c', 'h', 9, 0,
     884             :   /* 6370 */ 'v', 's', 't', 'r', 'c', 'h', 9, 0,
     885             :   /* 6378 */ 'm', 's', 'c', 'h', 9, 0,
     886             :   /* 6384 */ 's', 's', 'c', 'h', 9, 0,
     887             :   /* 6390 */ 's', 't', 's', 'c', 'h', 9, 0,
     888             :   /* 6397 */ 's', 't', 'c', 'h', 9, 0,
     889             :   /* 6403 */ 'v', 'c', 'h', 9, 0,
     890             :   /* 6408 */ 'v', 'f', 'a', 'e', 'h', 9, 0,
     891             :   /* 6415 */ 'v', 'm', 'a', 'e', 'h', 9, 0,
     892             :   /* 6422 */ 'v', 'f', 'e', 'e', 'h', 9, 0,
     893             :   /* 6429 */ 'v', 'm', 'a', 'l', 'e', 'h', 9, 0,
     894             :   /* 6437 */ 'v', 'm', 'l', 'e', 'h', 9, 0,
     895             :   /* 6444 */ 'v', 'l', 'e', 'h', 9, 0,
     896             :   /* 6450 */ 'v', 'm', 'e', 'h', 9, 0,
     897             :   /* 6456 */ 'v', 'f', 'e', 'n', 'e', 'h', 9, 0,
     898             :   /* 6464 */ 'v', 's', 't', 'e', 'h', 9, 0,
     899             :   /* 6471 */ 'l', 'o', 'c', 'f', 'h', 9, 0,
     900             :   /* 6478 */ 's', 't', 'o', 'c', 'f', 'h', 9, 0,
     901             :   /* 6486 */ 'l', 'f', 'h', 9, 0,
     902             :   /* 6491 */ 's', 't', 'f', 'h', 9, 0,
     903             :   /* 6497 */ 'a', 'g', 'h', 9, 0,
     904             :   /* 6502 */ 'l', 'o', 'c', 'g', 'h', 9, 0,
     905             :   /* 6509 */ 's', 't', 'o', 'c', 'g', 'h', 9, 0,
     906             :   /* 6517 */ 'v', 's', 'e', 'g', 'h', 9, 0,
     907             :   /* 6524 */ 'j', 'g', 'h', 9, 0,
     908             :   /* 6529 */ 'l', 'l', 'g', 'h', 9, 0,
     909             :   /* 6535 */ 'v', 's', 'u', 'm', 'g', 'h', 9, 0,
     910             :   /* 6543 */ 's', 'g', 'h', 9, 0,
     911             :   /* 6548 */ 'v', 'a', 'v', 'g', 'h', 9, 0,
     912             :   /* 6555 */ 'v', 'l', 'v', 'g', 'h', 9, 0,
     913             :   /* 6562 */ 'v', 'm', 'a', 'h', 'h', 9, 0,
     914             :   /* 6569 */ 'v', 'c', 'h', 'h', 9, 0,
     915             :   /* 6575 */ 'l', 'o', 'c', 'f', 'h', 'h', 9, 0,
     916             :   /* 6583 */ 's', 't', 'o', 'c', 'f', 'h', 'h', 9, 0,
     917             :   /* 6592 */ 'i', 'i', 'h', 'h', 9, 0,
     918             :   /* 6598 */ 'l', 'l', 'i', 'h', 'h', 9, 0,
     919             :   /* 6605 */ 'n', 'i', 'h', 'h', 9, 0,
     920             :   /* 6611 */ 'o', 'i', 'h', 'h', 9, 0,
     921             :   /* 6617 */ 'v', 'm', 'a', 'l', 'h', 'h', 9, 0,
     922             :   /* 6625 */ 'l', 'l', 'h', 'h', 9, 0,
     923             :   /* 6631 */ 'v', 'm', 'l', 'h', 'h', 9, 0,
     924             :   /* 6638 */ 'v', 'u', 'p', 'l', 'h', 'h', 9, 0,
     925             :   /* 6646 */ 't', 'm', 'h', 'h', 9, 0,
     926             :   /* 6652 */ 'v', 'm', 'h', 'h', 9, 0,
     927             :   /* 6658 */ 'v', 'u', 'p', 'h', 'h', 9, 0,
     928             :   /* 6665 */ 'v', 'm', 'r', 'h', 'h', 9, 0,
     929             :   /* 6672 */ 's', 't', 'h', 'h', 9, 0,
     930             :   /* 6678 */ 'a', 'i', 'h', 9, 0,
     931             :   /* 6683 */ 'v', 's', 'c', 'b', 'i', 'h', 9, 0,
     932             :   /* 6691 */ 'c', 'i', 'h', 9, 0,
     933             :   /* 6696 */ 'v', 'l', 'e', 'i', 'h', 9, 0,
     934             :   /* 6703 */ 'l', 'o', 'c', 'h', 'i', 'h', 9, 0,
     935             :   /* 6711 */ 'l', 'o', 'c', 'g', 'h', 'i', 'h', 9, 0,
     936             :   /* 6720 */ 'l', 'o', 'c', 'h', 'h', 'i', 'h', 9, 0,
     937             :   /* 6729 */ 'c', 'l', 'i', 'h', 9, 0,
     938             :   /* 6735 */ 'v', 'r', 'e', 'p', 'i', 'h', 9, 0,
     939             :   /* 6743 */ 'a', 'l', 's', 'i', 'h', 9, 0,
     940             :   /* 6750 */ 'c', 'i', 'j', 'h', 9, 0,
     941             :   /* 6756 */ 'c', 'g', 'i', 'j', 'h', 9, 0,
     942             :   /* 6763 */ 'c', 'l', 'g', 'i', 'j', 'h', 9, 0,
     943             :   /* 6771 */ 'c', 'l', 'i', 'j', 'h', 9, 0,
     944             :   /* 6778 */ 'c', 'r', 'j', 'h', 9, 0,
     945             :   /* 6784 */ 'c', 'g', 'r', 'j', 'h', 9, 0,
     946             :   /* 6791 */ 'c', 'l', 'g', 'r', 'j', 'h', 9, 0,
     947             :   /* 6799 */ 'c', 'l', 'r', 'j', 'h', 9, 0,
     948             :   /* 6806 */ 'v', 'p', 'k', 'h', 9, 0,
     949             :   /* 6812 */ 'v', 'm', 'a', 'l', 'h', 9, 0,
     950             :   /* 6819 */ 'c', 'i', 'b', 'l', 'h', 9, 0,
     951             :   /* 6826 */ 'c', 'g', 'i', 'b', 'l', 'h', 9, 0,
     952             :   /* 6834 */ 'c', 'l', 'g', 'i', 'b', 'l', 'h', 9, 0,
     953             :   /* 6843 */ 'c', 'l', 'i', 'b', 'l', 'h', 9, 0,
     954             :   /* 6851 */ 'c', 'r', 'b', 'l', 'h', 9, 0,
     955             :   /* 6858 */ 'c', 'g', 'r', 'b', 'l', 'h', 9, 0,
     956             :   /* 6866 */ 'c', 'l', 'g', 'r', 'b', 'l', 'h', 9, 0,
     957             :   /* 6875 */ 'c', 'l', 'r', 'b', 'l', 'h', 9, 0,
     958             :   /* 6883 */ 'v', 'e', 'c', 'l', 'h', 9, 0,
     959             :   /* 6890 */ 'l', 'o', 'c', 'l', 'h', 9, 0,
     960             :   /* 6897 */ 's', 't', 'o', 'c', 'l', 'h', 9, 0,
     961             :   /* 6905 */ 'l', 'o', 'c', 'g', 'l', 'h', 9, 0,
     962             :   /* 6913 */ 's', 't', 'o', 'c', 'g', 'l', 'h', 9, 0,
     963             :   /* 6922 */ 'j', 'g', 'l', 'h', 9, 0,
     964             :   /* 6928 */ 'v', 'a', 'v', 'g', 'l', 'h', 9, 0,
     965             :   /* 6936 */ 'v', 'c', 'h', 'l', 'h', 9, 0,
     966             :   /* 6943 */ 'l', 'o', 'c', 'f', 'h', 'l', 'h', 9, 0,
     967             :   /* 6952 */ 's', 't', 'o', 'c', 'f', 'h', 'l', 'h', 9, 0,
     968             :   /* 6962 */ 'b', 'i', 'l', 'h', 9, 0,
     969             :   /* 6968 */ 'l', 'o', 'c', 'h', 'i', 'l', 'h', 9, 0,
     970             :   /* 6977 */ 'l', 'o', 'c', 'g', 'h', 'i', 'l', 'h', 9, 0,
     971             :   /* 6987 */ 'l', 'o', 'c', 'h', 'h', 'i', 'l', 'h', 9, 0,
     972             :   /* 6997 */ 'i', 'i', 'l', 'h', 9, 0,
     973             :   /* 7003 */ 'l', 'l', 'i', 'l', 'h', 9, 0,
     974             :   /* 7010 */ 'n', 'i', 'l', 'h', 9, 0,
     975             :   /* 7016 */ 'o', 'i', 'l', 'h', 9, 0,
     976             :   /* 7022 */ 'c', 'i', 'j', 'l', 'h', 9, 0,
     977             :   /* 7029 */ 'c', 'g', 'i', 'j', 'l', 'h', 9, 0,
     978             :   /* 7037 */ 'c', 'l', 'g', 'i', 'j', 'l', 'h', 9, 0,
     979             :   /* 7046 */ 'c', 'l', 'i', 'j', 'l', 'h', 9, 0,
     980             :   /* 7054 */ 'c', 'r', 'j', 'l', 'h', 9, 0,
     981             :   /* 7061 */ 'c', 'g', 'r', 'j', 'l', 'h', 9, 0,
     982             :   /* 7069 */ 'c', 'l', 'g', 'r', 'j', 'l', 'h', 9, 0,
     983             :   /* 7078 */ 'c', 'l', 'r', 'j', 'l', 'h', 9, 0,
     984             :   /* 7086 */ 'v', 'u', 'p', 'l', 'l', 'h', 9, 0,
     985             :   /* 7094 */ 'v', 'e', 'r', 'l', 'l', 'h', 9, 0,
     986             :   /* 7102 */ 't', 'm', 'l', 'h', 9, 0,
     987             :   /* 7108 */ 'v', 'm', 'l', 'h', 9, 0,
     988             :   /* 7114 */ 'c', 'i', 'b', 'n', 'l', 'h', 9, 0,
     989             :   /* 7122 */ 'c', 'g', 'i', 'b', 'n', 'l', 'h', 9, 0,
     990             :   /* 7131 */ 'c', 'l', 'g', 'i', 'b', 'n', 'l', 'h', 9, 0,
     991             :   /* 7141 */ 'c', 'l', 'i', 'b', 'n', 'l', 'h', 9, 0,
     992             :   /* 7150 */ 'c', 'r', 'b', 'n', 'l', 'h', 9, 0,
     993             :   /* 7158 */ 'c', 'g', 'r', 'b', 'n', 'l', 'h', 9, 0,
     994             :   /* 7167 */ 'c', 'l', 'g', 'r', 'b', 'n', 'l', 'h', 9, 0,
     995             :   /* 7177 */ 'c', 'l', 'r', 'b', 'n', 'l', 'h', 9, 0,
     996             :   /* 7186 */ 'l', 'o', 'c', 'n', 'l', 'h', 9, 0,
     997             :   /* 7194 */ 's', 't', 'o', 'c', 'n', 'l', 'h', 9, 0,
     998             :   /* 7203 */ 'l', 'o', 'c', 'g', 'n', 'l', 'h', 9, 0,
     999             :   /* 7212 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 'h', 9, 0,
    1000             :   /* 7222 */ 'j', 'g', 'n', 'l', 'h', 9, 0,
    1001             :   /* 7229 */ 'l', 'o', 'c', 'f', 'h', 'n', 'l', 'h', 9, 0,
    1002             :   /* 7239 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'l', 'h', 9, 0,
    1003             :   /* 7250 */ 'b', 'i', 'n', 'l', 'h', 9, 0,
    1004             :   /* 7257 */ 'l', 'o', 'c', 'h', 'i', 'n', 'l', 'h', 9, 0,
    1005             :   /* 7267 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'l', 'h', 9, 0,
    1006             :   /* 7278 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'l', 'h', 9, 0,
    1007             :   /* 7289 */ 'c', 'i', 'j', 'n', 'l', 'h', 9, 0,
    1008             :   /* 7297 */ 'c', 'g', 'i', 'j', 'n', 'l', 'h', 9, 0,
    1009             :   /* 7306 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 'h', 9, 0,
    1010             :   /* 7316 */ 'c', 'l', 'i', 'j', 'n', 'l', 'h', 9, 0,
    1011             :   /* 7325 */ 'c', 'r', 'j', 'n', 'l', 'h', 9, 0,
    1012             :   /* 7333 */ 'c', 'g', 'r', 'j', 'n', 'l', 'h', 9, 0,
    1013             :   /* 7342 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 'h', 9, 0,
    1014             :   /* 7352 */ 'c', 'l', 'r', 'j', 'n', 'l', 'h', 9, 0,
    1015             :   /* 7361 */ 'v', 'm', 'n', 'l', 'h', 9, 0,
    1016             :   /* 7368 */ 'l', 'o', 'c', 'r', 'n', 'l', 'h', 9, 0,
    1017             :   /* 7377 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 'h', 9, 0,
    1018             :   /* 7387 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'l', 'h', 9, 0,
    1019             :   /* 7398 */ 'c', 'l', 'g', 't', 'n', 'l', 'h', 9, 0,
    1020             :   /* 7407 */ 'c', 'i', 't', 'n', 'l', 'h', 9, 0,
    1021             :   /* 7415 */ 'c', 'l', 'f', 'i', 't', 'n', 'l', 'h', 9, 0,
    1022             :   /* 7425 */ 'c', 'g', 'i', 't', 'n', 'l', 'h', 9, 0,
    1023             :   /* 7434 */ 'c', 'l', 'g', 'i', 't', 'n', 'l', 'h', 9, 0,
    1024             :   /* 7444 */ 'c', 'l', 't', 'n', 'l', 'h', 9, 0,
    1025             :   /* 7452 */ 'c', 'r', 't', 'n', 'l', 'h', 9, 0,
    1026             :   /* 7460 */ 'c', 'g', 'r', 't', 'n', 'l', 'h', 9, 0,
    1027             :   /* 7469 */ 'c', 'l', 'g', 'r', 't', 'n', 'l', 'h', 9, 0,
    1028             :   /* 7479 */ 'c', 'l', 'r', 't', 'n', 'l', 'h', 9, 0,
    1029             :   /* 7488 */ 'v', 'u', 'p', 'l', 'h', 9, 0,
    1030             :   /* 7495 */ 'l', 'o', 'c', 'r', 'l', 'h', 9, 0,
    1031             :   /* 7503 */ 'l', 'o', 'c', 'g', 'r', 'l', 'h', 9, 0,
    1032             :   /* 7512 */ 'l', 'o', 'c', 'f', 'h', 'r', 'l', 'h', 9, 0,
    1033             :   /* 7522 */ 'v', 'm', 'r', 'l', 'h', 9, 0,
    1034             :   /* 7529 */ 'v', 'e', 's', 'r', 'l', 'h', 9, 0,
    1035             :   /* 7537 */ 'v', 'e', 's', 'l', 'h', 9, 0,
    1036             :   /* 7544 */ 'c', 'l', 'g', 't', 'l', 'h', 9, 0,
    1037             :   /* 7552 */ 'c', 'i', 't', 'l', 'h', 9, 0,
    1038             :   /* 7559 */ 'c', 'l', 'f', 'i', 't', 'l', 'h', 9, 0,
    1039             :   /* 7568 */ 'c', 'g', 'i', 't', 'l', 'h', 9, 0,
    1040             :   /* 7576 */ 'c', 'l', 'g', 'i', 't', 'l', 'h', 9, 0,
    1041             :   /* 7585 */ 'c', 'l', 't', 'l', 'h', 9, 0,
    1042             :   /* 7592 */ 'c', 'r', 't', 'l', 'h', 9, 0,
    1043             :   /* 7599 */ 'c', 'g', 'r', 't', 'l', 'h', 9, 0,
    1044             :   /* 7607 */ 'c', 'l', 'g', 'r', 't', 'l', 'h', 9, 0,
    1045             :   /* 7616 */ 'c', 'l', 'r', 't', 'l', 'h', 9, 0,
    1046             :   /* 7624 */ 'v', 'm', 'x', 'l', 'h', 9, 0,
    1047             :   /* 7631 */ 'i', 'c', 'm', 'h', 9, 0,
    1048             :   /* 7637 */ 's', 't', 'c', 'm', 'h', 9, 0,
    1049             :   /* 7644 */ 'v', 'g', 'f', 'm', 'h', 9, 0,
    1050             :   /* 7651 */ 'v', 'g', 'm', 'h', 9, 0,
    1051             :   /* 7657 */ 'v', 'e', 'r', 'i', 'm', 'h', 9, 0,
    1052             :   /* 7665 */ 'c', 'l', 'm', 'h', 9, 0,
    1053             :   /* 7671 */ 's', 't', 'm', 'h', 9, 0,
    1054             :   /* 7677 */ 'v', 's', 'u', 'm', 'h', 9, 0,
    1055             :   /* 7684 */ 'v', 'm', 'h', 9, 0,
    1056             :   /* 7689 */ 'c', 'i', 'b', 'n', 'h', 9, 0,
    1057             :   /* 7696 */ 'c', 'g', 'i', 'b', 'n', 'h', 9, 0,
    1058             :   /* 7704 */ 'c', 'l', 'g', 'i', 'b', 'n', 'h', 9, 0,
    1059             :   /* 7713 */ 'c', 'l', 'i', 'b', 'n', 'h', 9, 0,
    1060             :   /* 7721 */ 'c', 'r', 'b', 'n', 'h', 9, 0,
    1061             :   /* 7728 */ 'c', 'g', 'r', 'b', 'n', 'h', 9, 0,
    1062             :   /* 7736 */ 'c', 'l', 'g', 'r', 'b', 'n', 'h', 9, 0,
    1063             :   /* 7745 */ 'c', 'l', 'r', 'b', 'n', 'h', 9, 0,
    1064             :   /* 7753 */ 'l', 'o', 'c', 'n', 'h', 9, 0,
    1065             :   /* 7760 */ 's', 't', 'o', 'c', 'n', 'h', 9, 0,
    1066             :   /* 7768 */ 'l', 'o', 'c', 'g', 'n', 'h', 9, 0,
    1067             :   /* 7776 */ 's', 't', 'o', 'c', 'g', 'n', 'h', 9, 0,
    1068             :   /* 7785 */ 'j', 'g', 'n', 'h', 9, 0,
    1069             :   /* 7791 */ 'l', 'o', 'c', 'f', 'h', 'n', 'h', 9, 0,
    1070             :   /* 7800 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'h', 9, 0,
    1071             :   /* 7810 */ 'b', 'i', 'n', 'h', 9, 0,
    1072             :   /* 7816 */ 'l', 'o', 'c', 'h', 'i', 'n', 'h', 9, 0,
    1073             :   /* 7825 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'h', 9, 0,
    1074             :   /* 7835 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'h', 9, 0,
    1075             :   /* 7845 */ 'c', 'i', 'j', 'n', 'h', 9, 0,
    1076             :   /* 7852 */ 'c', 'g', 'i', 'j', 'n', 'h', 9, 0,
    1077             :   /* 7860 */ 'c', 'l', 'g', 'i', 'j', 'n', 'h', 9, 0,
    1078             :   /* 7869 */ 'c', 'l', 'i', 'j', 'n', 'h', 9, 0,
    1079             :   /* 7877 */ 'c', 'r', 'j', 'n', 'h', 9, 0,
    1080             :   /* 7884 */ 'c', 'g', 'r', 'j', 'n', 'h', 9, 0,
    1081             :   /* 7892 */ 'c', 'l', 'g', 'r', 'j', 'n', 'h', 9, 0,
    1082             :   /* 7901 */ 'c', 'l', 'r', 'j', 'n', 'h', 9, 0,
    1083             :   /* 7909 */ 'v', 'm', 'n', 'h', 9, 0,
    1084             :   /* 7915 */ 'l', 'o', 'c', 'r', 'n', 'h', 9, 0,
    1085             :   /* 7923 */ 'l', 'o', 'c', 'g', 'r', 'n', 'h', 9, 0,
    1086             :   /* 7932 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'h', 9, 0,
    1087             :   /* 7942 */ 'c', 'l', 'g', 't', 'n', 'h', 9, 0,
    1088             :   /* 7950 */ 'c', 'i', 't', 'n', 'h', 9, 0,
    1089             :   /* 7957 */ 'c', 'l', 'f', 'i', 't', 'n', 'h', 9, 0,
    1090             :   /* 7966 */ 'c', 'g', 'i', 't', 'n', 'h', 9, 0,
    1091             :   /* 7974 */ 'c', 'l', 'g', 'i', 't', 'n', 'h', 9, 0,
    1092             :   /* 7983 */ 'c', 'l', 't', 'n', 'h', 9, 0,
    1093             :   /* 7990 */ 'c', 'r', 't', 'n', 'h', 9, 0,
    1094             :   /* 7997 */ 'c', 'g', 'r', 't', 'n', 'h', 9, 0,
    1095             :   /* 8005 */ 'c', 'l', 'g', 'r', 't', 'n', 'h', 9, 0,
    1096             :   /* 8014 */ 'c', 'l', 'r', 't', 'n', 'h', 9, 0,
    1097             :   /* 8022 */ 'v', 'm', 'a', 'o', 'h', 9, 0,
    1098             :   /* 8029 */ 'v', 'm', 'a', 'l', 'o', 'h', 9, 0,
    1099             :   /* 8037 */ 'v', 'm', 'l', 'o', 'h', 9, 0,
    1100             :   /* 8044 */ 'v', 'm', 'o', 'h', 9, 0,
    1101             :   /* 8050 */ 'v', 'l', 'r', 'e', 'p', 'h', 9, 0,
    1102             :   /* 8058 */ 'v', 'r', 'e', 'p', 'h', 9, 0,
    1103             :   /* 8065 */ 'v', 'l', 'p', 'h', 9, 0,
    1104             :   /* 8071 */ 'v', 'u', 'p', 'h', 9, 0,
    1105             :   /* 8077 */ 'v', 'c', 'e', 'q', 'h', 9, 0,
    1106             :   /* 8084 */ 'l', 'o', 'c', 'r', 'h', 9, 0,
    1107             :   /* 8091 */ 'l', 'o', 'c', 'g', 'r', 'h', 9, 0,
    1108             :   /* 8099 */ 'l', 'o', 'c', 'f', 'h', 'r', 'h', 9, 0,
    1109             :   /* 8108 */ 'v', 'm', 'r', 'h', 9, 0,
    1110             :   /* 8114 */ 'v', 'i', 's', 't', 'r', 'h', 9, 0,
    1111             :   /* 8122 */ 'v', 'p', 'k', 's', 'h', 9, 0,
    1112             :   /* 8129 */ 'v', 'p', 'k', 'l', 's', 'h', 9, 0,
    1113             :   /* 8137 */ 'v', 's', 'h', 9, 0,
    1114             :   /* 8142 */ 'v', 'p', 'o', 'p', 'c', 't', 'h', 9, 0,
    1115             :   /* 8151 */ 'b', 'r', 'c', 't', 'h', 9, 0,
    1116             :   /* 8158 */ 'c', 'l', 'g', 't', 'h', 9, 0,
    1117             :   /* 8165 */ 'c', 'i', 't', 'h', 9, 0,
    1118             :   /* 8171 */ 'c', 'l', 'f', 'i', 't', 'h', 9, 0,
    1119             :   /* 8179 */ 'c', 'g', 'i', 't', 'h', 9, 0,
    1120             :   /* 8186 */ 'c', 'l', 'g', 'i', 't', 'h', 9, 0,
    1121             :   /* 8194 */ 'c', 'l', 't', 'h', 9, 0,
    1122             :   /* 8200 */ 'c', 'r', 't', 'h', 9, 0,
    1123             :   /* 8206 */ 'c', 'g', 'r', 't', 'h', 9, 0,
    1124             :   /* 8213 */ 'c', 'l', 'g', 'r', 't', 'h', 9, 0,
    1125             :   /* 8221 */ 'c', 'l', 'r', 't', 'h', 9, 0,
    1126             :   /* 8228 */ 's', 't', 'h', 9, 0,
    1127             :   /* 8233 */ 'v', 'e', 's', 'r', 'a', 'v', 'h', 9, 0,
    1128             :   /* 8242 */ 'v', 'l', 'g', 'v', 'h', 9, 0,
    1129             :   /* 8249 */ 'v', 'e', 'r', 'l', 'l', 'v', 'h', 9, 0,
    1130             :   /* 8258 */ 'v', 'e', 's', 'r', 'l', 'v', 'h', 9, 0,
    1131             :   /* 8267 */ 'v', 'e', 's', 'l', 'v', 'h', 9, 0,
    1132             :   /* 8275 */ 'l', 'r', 'v', 'h', 9, 0,
    1133             :   /* 8281 */ 's', 't', 'r', 'v', 'h', 9, 0,
    1134             :   /* 8288 */ 'b', 'x', 'h', 9, 0,
    1135             :   /* 8293 */ 'v', 'm', 'x', 'h', 9, 0,
    1136             :   /* 8299 */ 'b', 'r', 'x', 'h', 9, 0,
    1137             :   /* 8305 */ 'm', 'a', 'y', 'h', 9, 0,
    1138             :   /* 8311 */ 'm', 'y', 'h', 9, 0,
    1139             :   /* 8316 */ 'v', 's', 't', 'r', 'c', 'z', 'h', 9, 0,
    1140             :   /* 8325 */ 'v', 'f', 'a', 'e', 'z', 'h', 9, 0,
    1141             :   /* 8333 */ 'v', 'f', 'e', 'e', 'z', 'h', 9, 0,
    1142             :   /* 8341 */ 'v', 'l', 'l', 'e', 'z', 'h', 9, 0,
    1143             :   /* 8349 */ 'v', 'f', 'e', 'n', 'e', 'z', 'h', 9, 0,
    1144             :   /* 8358 */ 'v', 'c', 'l', 'z', 'h', 9, 0,
    1145             :   /* 8365 */ 'v', 'c', 't', 'z', 'h', 9, 0,
    1146             :   /* 8372 */ 'n', 'i', 'a', 'i', 9, 0,
    1147             :   /* 8378 */ 'v', 's', 'b', 'c', 'b', 'i', 9, 0,
    1148             :   /* 8386 */ 'v', 's', 'c', 'b', 'i', 9, 0,
    1149             :   /* 8393 */ 'v', 's', 'b', 'i', 9, 0,
    1150             :   /* 8399 */ 'v', 'f', 't', 'c', 'i', 9, 0,
    1151             :   /* 8406 */ 'v', 'p', 'd', 'i', 9, 0,
    1152             :   /* 8412 */ 'a', 'f', 'i', 9, 0,
    1153             :   /* 8417 */ 'c', 'f', 'i', 9, 0,
    1154             :   /* 8422 */ 'a', 'g', 'f', 'i', 9, 0,
    1155             :   /* 8428 */ 'c', 'g', 'f', 'i', 9, 0,
    1156             :   /* 8434 */ 'a', 'l', 'g', 'f', 'i', 9, 0,
    1157             :   /* 8441 */ 'c', 'l', 'g', 'f', 'i', 9, 0,
    1158             :   /* 8448 */ 's', 'l', 'g', 'f', 'i', 9, 0,
    1159             :   /* 8455 */ 'm', 's', 'g', 'f', 'i', 9, 0,
    1160             :   /* 8462 */ 'a', 'l', 'f', 'i', 9, 0,
    1161             :   /* 8468 */ 'c', 'l', 'f', 'i', 9, 0,
    1162             :   /* 8474 */ 's', 'l', 'f', 'i', 9, 0,
    1163             :   /* 8480 */ 'm', 's', 'f', 'i', 9, 0,
    1164             :   /* 8486 */ 'v', 'f', 'i', 9, 0,
    1165             :   /* 8491 */ 'a', 'h', 'i', 9, 0,
    1166             :   /* 8496 */ 'l', 'o', 'c', 'h', 'i', 9, 0,
    1167             :   /* 8503 */ 'a', 'g', 'h', 'i', 9, 0,
    1168             :   /* 8509 */ 'l', 'o', 'c', 'g', 'h', 'i', 9, 0,
    1169             :   /* 8517 */ 'l', 'g', 'h', 'i', 9, 0,
    1170             :   /* 8523 */ 'm', 'g', 'h', 'i', 9, 0,
    1171             :   /* 8529 */ 'm', 'v', 'g', 'h', 'i', 9, 0,
    1172             :   /* 8536 */ 'l', 'o', 'c', 'h', 'h', 'i', 9, 0,
    1173             :   /* 8544 */ 'm', 'v', 'h', 'h', 'i', 9, 0,
    1174             :   /* 8551 */ 'l', 'h', 'i', 9, 0,
    1175             :   /* 8556 */ 'm', 'h', 'i', 9, 0,
    1176             :   /* 8561 */ 'm', 'v', 'h', 'i', 9, 0,
    1177             :   /* 8567 */ 'c', 'l', 'i', 9, 0,
    1178             :   /* 8572 */ 'n', 'i', 9, 0,
    1179             :   /* 8576 */ 'o', 'i', 9, 0,
    1180             :   /* 8580 */ 'v', 'r', 'e', 'p', 'i', 9, 0,
    1181             :   /* 8587 */ 't', 'p', 'i', 9, 0,
    1182             :   /* 8592 */ 'q', 'c', 't', 'r', 'i', 9, 0,
    1183             :   /* 8599 */ 'a', 's', 'i', 9, 0,
    1184             :   /* 8604 */ 'a', 'g', 's', 'i', 9, 0,
    1185             :   /* 8610 */ 'a', 'l', 'g', 's', 'i', 9, 0,
    1186             :   /* 8617 */ 'c', 'h', 's', 'i', 9, 0,
    1187             :   /* 8623 */ 'c', 'l', 'f', 'h', 's', 'i', 9, 0,
    1188             :   /* 8631 */ 'c', 'g', 'h', 's', 'i', 9, 0,
    1189             :   /* 8638 */ 'c', 'l', 'g', 'h', 's', 'i', 9, 0,
    1190             :   /* 8646 */ 'c', 'h', 'h', 's', 'i', 9, 0,
    1191             :   /* 8653 */ 'c', 'l', 'h', 'h', 's', 'i', 9, 0,
    1192             :   /* 8661 */ 'a', 'l', 's', 'i', 9, 0,
    1193             :   /* 8667 */ 'q', 's', 'i', 9, 0,
    1194             :   /* 8672 */ 's', 't', 's', 'i', 9, 0,
    1195             :   /* 8678 */ 'p', 't', 'i', 9, 0,
    1196             :   /* 8683 */ 'm', 'v', 'i', 9, 0,
    1197             :   /* 8688 */ 'x', 'i', 9, 0,
    1198             :   /* 8692 */ 'c', 'i', 'j', 9, 0,
    1199             :   /* 8697 */ 'c', 'g', 'i', 'j', 9, 0,
    1200             :   /* 8703 */ 'c', 'l', 'g', 'i', 'j', 9, 0,
    1201             :   /* 8710 */ 'c', 'l', 'i', 'j', 9, 0,
    1202             :   /* 8716 */ 'c', 'r', 'j', 9, 0,
    1203             :   /* 8721 */ 'c', 'g', 'r', 'j', 9, 0,
    1204             :   /* 8727 */ 'c', 'l', 'g', 'r', 'j', 9, 0,
    1205             :   /* 8734 */ 'c', 'l', 'r', 'j', 9, 0,
    1206             :   /* 8740 */ 's', 'l', 'a', 'k', 9, 0,
    1207             :   /* 8746 */ 's', 'r', 'a', 'k', 9, 0,
    1208             :   /* 8752 */ 'p', 'a', 'c', 'k', 9, 0,
    1209             :   /* 8758 */ 's', 'c', 'k', 9, 0,
    1210             :   /* 8763 */ 's', 't', 'c', 'k', 9, 0,
    1211             :   /* 8769 */ 'm', 'v', 'c', 'k', 9, 0,
    1212             :   /* 8775 */ 'm', 'v', 'c', 'd', 'k', 9, 0,
    1213             :   /* 8782 */ 'w', 'f', 'k', 9, 0,
    1214             :   /* 8787 */ 'a', 'h', 'i', 'k', 9, 0,
    1215             :   /* 8793 */ 'a', 'g', 'h', 'i', 'k', 9, 0,
    1216             :   /* 8800 */ 'a', 'l', 'g', 'h', 's', 'i', 'k', 9, 0,
    1217             :   /* 8809 */ 'a', 'l', 'h', 's', 'i', 'k', 9, 0,
    1218             :   /* 8817 */ 's', 'l', 'l', 'k', 9, 0,
    1219             :   /* 8823 */ 's', 'r', 'l', 'k', 9, 0,
    1220             :   /* 8829 */ 'e', 'd', 'm', 'k', 9, 0,
    1221             :   /* 8835 */ 'u', 'n', 'p', 'k', 9, 0,
    1222             :   /* 8841 */ 'v', 'p', 'k', 9, 0,
    1223             :   /* 8846 */ 'a', 'r', 'k', 9, 0,
    1224             :   /* 8851 */ 'a', 'g', 'r', 'k', 9, 0,
    1225             :   /* 8857 */ 'a', 'l', 'g', 'r', 'k', 9, 0,
    1226             :   /* 8864 */ 's', 'l', 'g', 'r', 'k', 9, 0,
    1227             :   /* 8871 */ 'm', 'g', 'r', 'k', 9, 0,
    1228             :   /* 8877 */ 'n', 'g', 'r', 'k', 9, 0,
    1229             :   /* 8883 */ 'o', 'g', 'r', 'k', 9, 0,
    1230             :   /* 8889 */ 's', 'g', 'r', 'k', 9, 0,
    1231             :   /* 8895 */ 'x', 'g', 'r', 'k', 9, 0,
    1232             :   /* 8901 */ 'a', 'l', 'r', 'k', 9, 0,
    1233             :   /* 8907 */ 's', 'l', 'r', 'k', 9, 0,
    1234             :   /* 8913 */ 'n', 'r', 'k', 9, 0,
    1235             :   /* 8918 */ 'o', 'r', 'k', 9, 0,
    1236             :   /* 8923 */ 's', 'r', 'k', 9, 0,
    1237             :   /* 8928 */ 'x', 'r', 'k', 9, 0,
    1238             :   /* 8933 */ 'm', 'v', 'c', 's', 'k', 9, 0,
    1239             :   /* 8940 */ 'i', 'v', 's', 'k', 9, 0,
    1240             :   /* 8946 */ 'l', 'a', 'a', 'l', 9, 0,
    1241             :   /* 8952 */ 'b', 'a', 'l', 9, 0,
    1242             :   /* 8957 */ 'v', 'm', 'a', 'l', 9, 0,
    1243             :   /* 8963 */ 'c', 'i', 'b', 'l', 9, 0,
    1244             :   /* 8969 */ 'c', 'g', 'i', 'b', 'l', 9, 0,
    1245             :   /* 8976 */ 'c', 'l', 'g', 'i', 'b', 'l', 9, 0,
    1246             :   /* 8984 */ 'c', 'l', 'i', 'b', 'l', 9, 0,
    1247             :   /* 8991 */ 'c', 'r', 'b', 'l', 9, 0,
    1248             :   /* 8997 */ 'c', 'g', 'r', 'b', 'l', 9, 0,
    1249             :   /* 9004 */ 'c', 'l', 'g', 'r', 'b', 'l', 9, 0,
    1250             :   /* 9012 */ 'c', 'l', 'r', 'b', 'l', 9, 0,
    1251             :   /* 9019 */ 'v', 'e', 'c', 'l', 9, 0,
    1252             :   /* 9025 */ 'c', 'l', 'c', 'l', 9, 0,
    1253             :   /* 9031 */ 'l', 'o', 'c', 'l', 9, 0,
    1254             :   /* 9037 */ 's', 't', 'o', 'c', 'l', 9, 0,
    1255             :   /* 9044 */ 'b', 'r', 'c', 'l', 9, 0,
    1256             :   /* 9050 */ 'm', 'v', 'c', 'l', 9, 0,
    1257             :   /* 9056 */ 's', 'l', 'd', 'l', 9, 0,
    1258             :   /* 9062 */ 's', 'r', 'd', 'l', 9, 0,
    1259             :   /* 9068 */ 'v', 's', 'e', 'l', 9, 0,
    1260             :   /* 9074 */ 's', 't', 'f', 'l', 9, 0,
    1261             :   /* 9080 */ 'l', 'o', 'c', 'g', 'l', 9, 0,
    1262             :   /* 9087 */ 's', 't', 'o', 'c', 'g', 'l', 9, 0,
    1263             :   /* 9095 */ 'j', 'g', 'l', 9, 0,
    1264             :   /* 9100 */ 'v', 'a', 'v', 'g', 'l', 9, 0,
    1265             :   /* 9107 */ 'v', 'c', 'h', 'l', 9, 0,
    1266             :   /* 9113 */ 'l', 'o', 'c', 'f', 'h', 'l', 9, 0,
    1267             :   /* 9121 */ 's', 't', 'o', 'c', 'f', 'h', 'l', 9, 0,
    1268             :   /* 9130 */ 'i', 'i', 'h', 'l', 9, 0,
    1269             :   /* 9136 */ 'l', 'l', 'i', 'h', 'l', 9, 0,
    1270             :   /* 9143 */ 'n', 'i', 'h', 'l', 9, 0,
    1271             :   /* 9149 */ 'o', 'i', 'h', 'l', 9, 0,
    1272             :   /* 9155 */ 't', 'm', 'h', 'l', 9, 0,
    1273             :   /* 9161 */ 'b', 'i', 'l', 9, 0,
    1274             :   /* 9166 */ 'l', 'o', 'c', 'h', 'i', 'l', 9, 0,
    1275             :   /* 9174 */ 'l', 'o', 'c', 'g', 'h', 'i', 'l', 9, 0,
    1276             :   /* 9183 */ 'l', 'o', 'c', 'h', 'h', 'i', 'l', 9, 0,
    1277             :   /* 9192 */ 'c', 'i', 'j', 'l', 9, 0,
    1278             :   /* 9198 */ 'c', 'g', 'i', 'j', 'l', 9, 0,
    1279             :   /* 9205 */ 'c', 'l', 'g', 'i', 'j', 'l', 9, 0,
    1280             :   /* 9213 */ 'c', 'l', 'i', 'j', 'l', 9, 0,
    1281             :   /* 9220 */ 'c', 'r', 'j', 'l', 9, 0,
    1282             :   /* 9226 */ 'c', 'g', 'r', 'j', 'l', 9, 0,
    1283             :   /* 9233 */ 'c', 'l', 'g', 'r', 'j', 'l', 9, 0,
    1284             :   /* 9241 */ 'c', 'l', 'r', 'j', 'l', 9, 0,
    1285             :   /* 9248 */ 'v', 'f', 'l', 'l', 9, 0,
    1286             :   /* 9254 */ 'i', 'i', 'l', 'l', 9, 0,
    1287             :   /* 9260 */ 'l', 'l', 'i', 'l', 'l', 9, 0,
    1288             :   /* 9267 */ 'n', 'i', 'l', 'l', 9, 0,
    1289             :   /* 9273 */ 'o', 'i', 'l', 'l', 9, 0,
    1290             :   /* 9279 */ 't', 'm', 'l', 'l', 9, 0,
    1291             :   /* 9285 */ 'v', 'u', 'p', 'l', 'l', 9, 0,
    1292             :   /* 9292 */ 'v', 'e', 'r', 'l', 'l', 9, 0,
    1293             :   /* 9299 */ 's', 'l', 'l', 9, 0,
    1294             :   /* 9304 */ 'v', 'l', 'l', 9, 0,
    1295             :   /* 9309 */ 'v', 'm', 'l', 9, 0,
    1296             :   /* 9314 */ 'c', 'i', 'b', 'n', 'l', 9, 0,
    1297             :   /* 9321 */ 'c', 'g', 'i', 'b', 'n', 'l', 9, 0,
    1298             :   /* 9329 */ 'c', 'l', 'g', 'i', 'b', 'n', 'l', 9, 0,
    1299             :   /* 9338 */ 'c', 'l', 'i', 'b', 'n', 'l', 9, 0,
    1300             :   /* 9346 */ 'c', 'r', 'b', 'n', 'l', 9, 0,
    1301             :   /* 9353 */ 'c', 'g', 'r', 'b', 'n', 'l', 9, 0,
    1302             :   /* 9361 */ 'c', 'l', 'g', 'r', 'b', 'n', 'l', 9, 0,
    1303             :   /* 9370 */ 'c', 'l', 'r', 'b', 'n', 'l', 9, 0,
    1304             :   /* 9378 */ 'l', 'o', 'c', 'n', 'l', 9, 0,
    1305             :   /* 9385 */ 's', 't', 'o', 'c', 'n', 'l', 9, 0,
    1306             :   /* 9393 */ 'l', 'o', 'c', 'g', 'n', 'l', 9, 0,
    1307             :   /* 9401 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 9, 0,
    1308             :   /* 9410 */ 'j', 'g', 'n', 'l', 9, 0,
    1309             :   /* 9416 */ 'l', 'o', 'c', 'f', 'h', 'n', 'l', 9, 0,
    1310             :   /* 9425 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'l', 9, 0,
    1311             :   /* 9435 */ 'b', 'i', 'n', 'l', 9, 0,
    1312             :   /* 9441 */ 'l', 'o', 'c', 'h', 'i', 'n', 'l', 9, 0,
    1313             :   /* 9450 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'l', 9, 0,
    1314             :   /* 9460 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'l', 9, 0,
    1315             :   /* 9470 */ 'c', 'i', 'j', 'n', 'l', 9, 0,
    1316             :   /* 9477 */ 'c', 'g', 'i', 'j', 'n', 'l', 9, 0,
    1317             :   /* 9485 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 9, 0,
    1318             :   /* 9494 */ 'c', 'l', 'i', 'j', 'n', 'l', 9, 0,
    1319             :   /* 9502 */ 'c', 'r', 'j', 'n', 'l', 9, 0,
    1320             :   /* 9509 */ 'c', 'g', 'r', 'j', 'n', 'l', 9, 0,
    1321             :   /* 9517 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 9, 0,
    1322             :   /* 9526 */ 'c', 'l', 'r', 'j', 'n', 'l', 9, 0,
    1323             :   /* 9534 */ 'v', 'm', 'n', 'l', 9, 0,
    1324             :   /* 9540 */ 'l', 'o', 'c', 'r', 'n', 'l', 9, 0,
    1325             :   /* 9548 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 9, 0,
    1326             :   /* 9557 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'l', 9, 0,
    1327             :   /* 9567 */ 'c', 'l', 'g', 't', 'n', 'l', 9, 0,
    1328             :   /* 9575 */ 'c', 'i', 't', 'n', 'l', 9, 0,
    1329             :   /* 9582 */ 'c', 'l', 'f', 'i', 't', 'n', 'l', 9, 0,
    1330             :   /* 9591 */ 'c', 'g', 'i', 't', 'n', 'l', 9, 0,
    1331             :   /* 9599 */ 'c', 'l', 'g', 'i', 't', 'n', 'l', 9, 0,
    1332             :   /* 9608 */ 'c', 'l', 't', 'n', 'l', 9, 0,
    1333             :   /* 9615 */ 'c', 'r', 't', 'n', 'l', 9, 0,
    1334             :   /* 9622 */ 'c', 'g', 'r', 't', 'n', 'l', 9, 0,
    1335             :   /* 9630 */ 'c', 'l', 'g', 'r', 't', 'n', 'l', 9, 0,
    1336             :   /* 9639 */ 'c', 'l', 'r', 't', 'n', 'l', 9, 0,
    1337             :   /* 9647 */ 'v', 'u', 'p', 'l', 9, 0,
    1338             :   /* 9653 */ 'l', 'a', 'r', 'l', 9, 0,
    1339             :   /* 9659 */ 'l', 'o', 'c', 'r', 'l', 9, 0,
    1340             :   /* 9666 */ 'p', 'f', 'd', 'r', 'l', 9, 0,
    1341             :   /* 9673 */ 'c', 'g', 'f', 'r', 'l', 9, 0,
    1342             :   /* 9680 */ 'c', 'l', 'g', 'f', 'r', 'l', 9, 0,
    1343             :   /* 9688 */ 'l', 'l', 'g', 'f', 'r', 'l', 9, 0,
    1344             :   /* 9696 */ 'l', 'o', 'c', 'g', 'r', 'l', 9, 0,
    1345             :   /* 9704 */ 'c', 'l', 'g', 'r', 'l', 9, 0,
    1346             :   /* 9711 */ 's', 't', 'g', 'r', 'l', 9, 0,
    1347             :   /* 9718 */ 'c', 'h', 'r', 'l', 9, 0,
    1348             :   /* 9724 */ 'l', 'o', 'c', 'f', 'h', 'r', 'l', 9, 0,
    1349             :   /* 9733 */ 'c', 'g', 'h', 'r', 'l', 9, 0,
    1350             :   /* 9740 */ 'c', 'l', 'g', 'h', 'r', 'l', 9, 0,
    1351             :   /* 9748 */ 'l', 'l', 'g', 'h', 'r', 'l', 9, 0,
    1352             :   /* 9756 */ 'c', 'l', 'h', 'r', 'l', 9, 0,
    1353             :   /* 9763 */ 'l', 'l', 'h', 'r', 'l', 9, 0,
    1354             :   /* 9770 */ 's', 't', 'h', 'r', 'l', 9, 0,
    1355             :   /* 9777 */ 'c', 'l', 'r', 'l', 9, 0,
    1356             :   /* 9783 */ 'v', 'l', 'r', 'l', 9, 0,
    1357             :   /* 9789 */ 'v', 'm', 'r', 'l', 9, 0,
    1358             :   /* 9795 */ 'v', 'e', 's', 'r', 'l', 9, 0,
    1359             :   /* 9802 */ 'v', 's', 'r', 'l', 9, 0,
    1360             :   /* 9808 */ 'v', 's', 't', 'r', 'l', 9, 0,
    1361             :   /* 9815 */ 'e', 'x', 'r', 'l', 9, 0,
    1362             :   /* 9821 */ 'b', 'r', 'a', 's', 'l', 9, 0,
    1363             :   /* 9828 */ 'v', 'e', 's', 'l', 9, 0,
    1364             :   /* 9834 */ 'v', 'm', 's', 'l', 9, 0,
    1365             :   /* 9840 */ 'v', 's', 'l', 9, 0,
    1366             :   /* 9845 */ 'l', 'c', 'c', 't', 'l', 9, 0,
    1367             :   /* 9852 */ 'l', 'c', 't', 'l', 9, 0,
    1368             :   /* 9858 */ 'l', 'p', 'c', 't', 'l', 9, 0,
    1369             :   /* 9865 */ 'l', 's', 'c', 't', 'l', 9, 0,
    1370             :   /* 9872 */ 's', 't', 'c', 't', 'l', 9, 0,
    1371             :   /* 9879 */ 'c', 'l', 'g', 't', 'l', 9, 0,
    1372             :   /* 9886 */ 'c', 'i', 't', 'l', 9, 0,
    1373             :   /* 9892 */ 'c', 'l', 'f', 'i', 't', 'l', 9, 0,
    1374             :   /* 9900 */ 'c', 'g', 'i', 't', 'l', 9, 0,
    1375             :   /* 9907 */ 'c', 'l', 'g', 'i', 't', 'l', 9, 0,
    1376             :   /* 9915 */ 'c', 'l', 't', 'l', 9, 0,
    1377             :   /* 9921 */ 'c', 'r', 't', 'l', 9, 0,
    1378             :   /* 9927 */ 'c', 'g', 'r', 't', 'l', 9, 0,
    1379             :   /* 9934 */ 'c', 'l', 'g', 'r', 't', 'l', 9, 0,
    1380             :   /* 9942 */ 'c', 'l', 'r', 't', 'l', 9, 0,
    1381             :   /* 9949 */ 'v', 's', 't', 'l', 9, 0,
    1382             :   /* 9955 */ 'v', 'l', 9, 0,
    1383             :   /* 9959 */ 'v', 'm', 'x', 'l', 9, 0,
    1384             :   /* 9965 */ 'm', 'a', 'y', 'l', 9, 0,
    1385             :   /* 9971 */ 'm', 'y', 'l', 9, 0,
    1386             :   /* 9976 */ 'l', 'a', 'm', 9, 0,
    1387             :   /* 9981 */ 's', 't', 'a', 'm', 9, 0,
    1388             :   /* 9987 */ 'v', 'g', 'b', 'm', 9, 0,
    1389             :   /* 9993 */ 'i', 'r', 'b', 'm', 9, 0,
    1390             :   /* 9999 */ 'r', 'r', 'b', 'm', 9, 0,
    1391             :   /* 10005 */ 'i', 'c', 'm', 9, 0,
    1392             :   /* 10010 */ 'l', 'o', 'c', 'm', 9, 0,
    1393             :   /* 10016 */ 's', 't', 'o', 'c', 'm', 9, 0,
    1394             :   /* 10023 */ 's', 't', 'c', 'm', 9, 0,
    1395             :   /* 10029 */ 'v', 'g', 'f', 'm', 9, 0,
    1396             :   /* 10035 */ 'v', 'f', 'm', 9, 0,
    1397             :   /* 10040 */ 'l', 'o', 'c', 'g', 'm', 9, 0,
    1398             :   /* 10047 */ 's', 't', 'o', 'c', 'g', 'm', 9, 0,
    1399             :   /* 10055 */ 'j', 'g', 'm', 9, 0,
    1400             :   /* 10060 */ 'v', 'g', 'm', 9, 0,
    1401             :   /* 10065 */ 'l', 'o', 'c', 'f', 'h', 'm', 9, 0,
    1402             :   /* 10073 */ 's', 't', 'o', 'c', 'f', 'h', 'm', 9, 0,
    1403             :   /* 10082 */ 'b', 'i', 'm', 9, 0,
    1404             :   /* 10087 */ 'l', 'o', 'c', 'h', 'i', 'm', 9, 0,
    1405             :   /* 10095 */ 'l', 'o', 'c', 'g', 'h', 'i', 'm', 9, 0,
    1406             :   /* 10104 */ 'l', 'o', 'c', 'h', 'h', 'i', 'm', 9, 0,
    1407             :   /* 10113 */ 'v', 'e', 'r', 'i', 'm', 9, 0,
    1408             :   /* 10120 */ 'j', 'm', 9, 0,
    1409             :   /* 10124 */ 'k', 'm', 9, 0,
    1410             :   /* 10128 */ 'c', 'l', 'm', 9, 0,
    1411             :   /* 10133 */ 'v', 'l', 'm', 9, 0,
    1412             :   /* 10138 */ 'b', 'n', 'm', 9, 0,
    1413             :   /* 10143 */ 'l', 'o', 'c', 'n', 'm', 9, 0,
    1414             :   /* 10150 */ 's', 't', 'o', 'c', 'n', 'm', 9, 0,
    1415             :   /* 10158 */ 'l', 'o', 'c', 'g', 'n', 'm', 9, 0,
    1416             :   /* 10166 */ 's', 't', 'o', 'c', 'g', 'n', 'm', 9, 0,
    1417             :   /* 10175 */ 'j', 'g', 'n', 'm', 9, 0,
    1418             :   /* 10181 */ 'l', 'o', 'c', 'f', 'h', 'n', 'm', 9, 0,
    1419             :   /* 10190 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'm', 9, 0,
    1420             :   /* 10200 */ 'b', 'i', 'n', 'm', 9, 0,
    1421             :   /* 10206 */ 'l', 'o', 'c', 'h', 'i', 'n', 'm', 9, 0,
    1422             :   /* 10215 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'm', 9, 0,
    1423             :   /* 10225 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'm', 9, 0,
    1424             :   /* 10235 */ 'j', 'n', 'm', 9, 0,
    1425             :   /* 10240 */ 'l', 'o', 'c', 'r', 'n', 'm', 9, 0,
    1426             :   /* 10248 */ 'l', 'o', 'c', 'g', 'r', 'n', 'm', 9, 0,
    1427             :   /* 10257 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'm', 9, 0,
    1428             :   /* 10267 */ 's', 'r', 'n', 'm', 9, 0,
    1429             :   /* 10273 */ 'i', 'p', 'm', 9, 0,
    1430             :   /* 10278 */ 's', 'p', 'm', 9, 0,
    1431             :   /* 10283 */ 'l', 'o', 'c', 'r', 'm', 9, 0,
    1432             :   /* 10290 */ 'v', 'b', 'p', 'e', 'r', 'm', 9, 0,
    1433             :   /* 10298 */ 'v', 'p', 'e', 'r', 'm', 9, 0,
    1434             :   /* 10305 */ 'l', 'o', 'c', 'g', 'r', 'm', 9, 0,
    1435             :   /* 10313 */ 'l', 'o', 'c', 'f', 'h', 'r', 'm', 9, 0,
    1436             :   /* 10322 */ 'b', 's', 'm', 9, 0,
    1437             :   /* 10327 */ 'v', 'c', 'k', 's', 'm', 9, 0,
    1438             :   /* 10334 */ 's', 't', 'n', 's', 'm', 9, 0,
    1439             :   /* 10341 */ 's', 't', 'o', 's', 'm', 9, 0,
    1440             :   /* 10348 */ 'b', 'a', 's', 's', 'm', 9, 0,
    1441             :   /* 10355 */ 'v', 's', 't', 'm', 9, 0,
    1442             :   /* 10361 */ 'v', 't', 'm', 9, 0,
    1443             :   /* 10366 */ 'v', 's', 'u', 'm', 9, 0,
    1444             :   /* 10372 */ 'l', 'a', 'n', 9, 0,
    1445             :   /* 10377 */ 'r', 'i', 's', 'b', 'g', 'n', 9, 0,
    1446             :   /* 10385 */ 'a', 'l', 's', 'i', 'h', 'n', 9, 0,
    1447             :   /* 10393 */ 'm', 'v', 'c', 'i', 'n', 9, 0,
    1448             :   /* 10400 */ 't', 'b', 'e', 'g', 'i', 'n', 9, 0,
    1449             :   /* 10408 */ 'p', 'g', 'i', 'n', 9, 0,
    1450             :   /* 10414 */ 'v', 'f', 'm', 'i', 'n', 9, 0,
    1451             :   /* 10421 */ 'v', 'm', 'n', 9, 0,
    1452             :   /* 10426 */ 'v', 'n', 'n', 9, 0,
    1453             :   /* 10431 */ 'm', 'v', 'n', 9, 0,
    1454             :   /* 10436 */ 'l', 'a', 'o', 9, 0,
    1455             :   /* 10441 */ 'v', 'm', 'a', 'o', 9, 0,
    1456             :   /* 10447 */ 'b', 'o', 9, 0,
    1457             :   /* 10451 */ 'l', 'o', 'c', 'o', 9, 0,
    1458             :   /* 10457 */ 's', 't', 'o', 'c', 'o', 9, 0,
    1459             :   /* 10464 */ 'l', 'o', 'c', 'g', 'o', 9, 0,
    1460             :   /* 10471 */ 's', 't', 'o', 'c', 'g', 'o', 9, 0,
    1461             :   /* 10479 */ 'j', 'g', 'o', 9, 0,
    1462             :   /* 10484 */ 'l', 'o', 'c', 'f', 'h', 'o', 9, 0,
    1463             :   /* 10492 */ 's', 't', 'o', 'c', 'f', 'h', 'o', 9, 0,
    1464             :   /* 10501 */ 'b', 'i', 'o', 9, 0,
    1465             :   /* 10506 */ 'l', 'o', 'c', 'h', 'i', 'o', 9, 0,
    1466             :   /* 10514 */ 'l', 'o', 'c', 'g', 'h', 'i', 'o', 9, 0,
    1467             :   /* 10523 */ 'l', 'o', 'c', 'h', 'h', 'i', 'o', 9, 0,
    1468             :   /* 10532 */ 'j', 'o', 9, 0,
    1469             :   /* 10536 */ 'v', 'm', 'a', 'l', 'o', 9, 0,
    1470             :   /* 10543 */ 'v', 'm', 'l', 'o', 9, 0,
    1471             :   /* 10549 */ 'p', 'l', 'o', 9, 0,
    1472             :   /* 10554 */ 'k', 'm', 'o', 9, 0,
    1473             :   /* 10559 */ 'v', 'm', 'o', 9, 0,
    1474             :   /* 10564 */ 'b', 'n', 'o', 9, 0,
    1475             :   /* 10569 */ 'l', 'o', 'c', 'n', 'o', 9, 0,
    1476             :   /* 10576 */ 's', 't', 'o', 'c', 'n', 'o', 9, 0,
    1477             :   /* 10584 */ 'l', 'o', 'c', 'g', 'n', 'o', 9, 0,
    1478             :   /* 10592 */ 's', 't', 'o', 'c', 'g', 'n', 'o', 9, 0,
    1479             :   /* 10601 */ 'j', 'g', 'n', 'o', 9, 0,
    1480             :   /* 10607 */ 'l', 'o', 'c', 'f', 'h', 'n', 'o', 9, 0,
    1481             :   /* 10616 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'o', 9, 0,
    1482             :   /* 10626 */ 'b', 'i', 'n', 'o', 9, 0,
    1483             :   /* 10632 */ 'l', 'o', 'c', 'h', 'i', 'n', 'o', 9, 0,
    1484             :   /* 10641 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'o', 9, 0,
    1485             :   /* 10651 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'o', 9, 0,
    1486             :   /* 10661 */ 'j', 'n', 'o', 9, 0,
    1487             :   /* 10666 */ 'p', 'p', 'n', 'o', 9, 0,
    1488             :   /* 10672 */ 'l', 'o', 'c', 'r', 'n', 'o', 9, 0,
    1489             :   /* 10680 */ 'l', 'o', 'c', 'g', 'r', 'n', 'o', 9, 0,
    1490             :   /* 10689 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'o', 9, 0,
    1491             :   /* 10699 */ 'p', 'r', 'n', 'o', 9, 0,
    1492             :   /* 10705 */ 'v', 'n', 'o', 9, 0,
    1493             :   /* 10710 */ 't', 'r', 'o', 'o', 9, 0,
    1494             :   /* 10716 */ 'l', 'o', 'c', 'r', 'o', 9, 0,
    1495             :   /* 10723 */ 'v', 'z', 'e', 'r', 'o', 9, 0,
    1496             :   /* 10730 */ 'l', 'o', 'c', 'g', 'r', 'o', 9, 0,
    1497             :   /* 10738 */ 'l', 'o', 'c', 'f', 'h', 'r', 'o', 9, 0,
    1498             :   /* 10747 */ 'v', 'f', 'p', 's', 'o', 9, 0,
    1499             :   /* 10754 */ 't', 'r', 't', 'o', 9, 0,
    1500             :   /* 10760 */ 'm', 'v', 'o', 9, 0,
    1501             :   /* 10765 */ 's', 't', 'a', 'p', 9, 0,
    1502             :   /* 10771 */ 'v', 'a', 'p', 9, 0,
    1503             :   /* 10776 */ 'z', 'a', 'p', 9, 0,
    1504             :   /* 10781 */ 'b', 'p', 9, 0,
    1505             :   /* 10785 */ 'l', 'o', 'c', 'p', 9, 0,
    1506             :   /* 10791 */ 's', 't', 'o', 'c', 'p', 9, 0,
    1507             :   /* 10798 */ 'm', 'v', 'c', 'p', 9, 0,
    1508             :   /* 10804 */ 's', 't', 'i', 'd', 'p', 9, 0,
    1509             :   /* 10811 */ 'v', 's', 'd', 'p', 9, 0,
    1510             :   /* 10817 */ 'v', 'd', 'p', 9, 0,
    1511             :   /* 10822 */ 'v', 'l', 'r', 'e', 'p', 9, 0,
    1512             :   /* 10829 */ 'v', 'r', 'e', 'p', 9, 0,
    1513             :   /* 10835 */ 'l', 'o', 'c', 'g', 'p', 9, 0,
    1514             :   /* 10842 */ 's', 't', 'o', 'c', 'g', 'p', 9, 0,
    1515             :   /* 10850 */ 's', 'i', 'g', 'p', 9, 0,
    1516             :   /* 10856 */ 'j', 'g', 'p', 9, 0,
    1517             :   /* 10861 */ 'v', 'l', 'v', 'g', 'p', 9, 0,
    1518             :   /* 10868 */ 'l', 'o', 'c', 'f', 'h', 'p', 9, 0,
    1519             :   /* 10876 */ 's', 't', 'o', 'c', 'f', 'h', 'p', 9, 0,
    1520             :   /* 10885 */ 'b', 'i', 'p', 9, 0,
    1521             :   /* 10890 */ 'l', 'o', 'c', 'h', 'i', 'p', 9, 0,
    1522             :   /* 10898 */ 'l', 'o', 'c', 'g', 'h', 'i', 'p', 9, 0,
    1523             :   /* 10907 */ 'l', 'o', 'c', 'h', 'h', 'i', 'p', 9, 0,
    1524             :   /* 10916 */ 'v', 'l', 'i', 'p', 9, 0,
    1525             :   /* 10922 */ 'j', 'p', 9, 0,
    1526             :   /* 10926 */ 'v', 'l', 'p', 9, 0,
    1527             :   /* 10931 */ 'v', 'm', 'p', 9, 0,
    1528             :   /* 10936 */ 'b', 'n', 'p', 9, 0,
    1529             :   /* 10941 */ 'l', 'o', 'c', 'n', 'p', 9, 0,
    1530             :   /* 10948 */ 's', 't', 'o', 'c', 'n', 'p', 9, 0,
    1531             :   /* 10956 */ 'l', 'o', 'c', 'g', 'n', 'p', 9, 0,
    1532             :   /* 10964 */ 's', 't', 'o', 'c', 'g', 'n', 'p', 9, 0,
    1533             :   /* 10973 */ 'j', 'g', 'n', 'p', 9, 0,
    1534             :   /* 10979 */ 'l', 'o', 'c', 'f', 'h', 'n', 'p', 9, 0,
    1535             :   /* 10988 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'p', 9, 0,
    1536             :   /* 10998 */ 'b', 'i', 'n', 'p', 9, 0,
    1537             :   /* 11004 */ 'l', 'o', 'c', 'h', 'i', 'n', 'p', 9, 0,
    1538             :   /* 11013 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'p', 9, 0,
    1539             :   /* 11023 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'p', 9, 0,
    1540             :   /* 11033 */ 'j', 'n', 'p', 9, 0,
    1541             :   /* 11038 */ 'l', 'o', 'c', 'r', 'n', 'p', 9, 0,
    1542             :   /* 11046 */ 'l', 'o', 'c', 'g', 'r', 'n', 'p', 9, 0,
    1543             :   /* 11055 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'p', 9, 0,
    1544             :   /* 11065 */ 'v', 'p', 's', 'o', 'p', 9, 0,
    1545             :   /* 11072 */ 'b', 'p', 'p', 9, 0,
    1546             :   /* 11077 */ 'l', 'p', 'p', 9, 0,
    1547             :   /* 11082 */ 'l', 'o', 'c', 'r', 'p', 9, 0,
    1548             :   /* 11089 */ 'l', 'o', 'c', 'g', 'r', 'p', 9, 0,
    1549             :   /* 11097 */ 'l', 'o', 'c', 'f', 'h', 'r', 'p', 9, 0,
    1550             :   /* 11106 */ 'b', 'p', 'r', 'p', 9, 0,
    1551             :   /* 11112 */ 'v', 's', 'r', 'p', 9, 0,
    1552             :   /* 11118 */ 'v', 'r', 'p', 9, 0,
    1553             :   /* 11123 */ 'l', 'a', 's', 'p', 9, 0,
    1554             :   /* 11129 */ 'c', 's', 'p', 9, 0,
    1555             :   /* 11134 */ 'v', 'm', 's', 'p', 9, 0,
    1556             :   /* 11140 */ 'v', 's', 'p', 9, 0,
    1557             :   /* 11145 */ 'v', 't', 'p', 9, 0,
    1558             :   /* 11150 */ 'v', 'a', 'q', 9, 0,
    1559             :   /* 11155 */ 'v', 'a', 'c', 'q', 9, 0,
    1560             :   /* 11161 */ 'v', 'a', 'c', 'c', 'q', 9, 0,
    1561             :   /* 11168 */ 'v', 'a', 'c', 'c', 'c', 'q', 9, 0,
    1562             :   /* 11176 */ 'v', 'c', 'e', 'q', 9, 0,
    1563             :   /* 11182 */ 'v', 's', 'b', 'c', 'b', 'i', 'q', 9, 0,
    1564             :   /* 11191 */ 'v', 's', 'c', 'b', 'i', 'q', 9, 0,
    1565             :   /* 11199 */ 'v', 's', 'b', 'i', 'q', 9, 0,
    1566             :   /* 11206 */ 'v', 's', 'u', 'm', 'q', 9, 0,
    1567             :   /* 11213 */ 'l', 'p', 'q', 9, 0,
    1568             :   /* 11218 */ 's', 't', 'p', 'q', 9, 0,
    1569             :   /* 11224 */ 'v', 'f', 's', 'q', 9, 0,
    1570             :   /* 11230 */ 'v', 's', 'q', 9, 0,
    1571             :   /* 11235 */ 'e', 'a', 'r', 9, 0,
    1572             :   /* 11240 */ 'e', 'p', 'a', 'r', 9, 0,
    1573             :   /* 11246 */ 'e', 's', 'a', 'r', 9, 0,
    1574             :   /* 11252 */ 's', 's', 'a', 'r', 9, 0,
    1575             :   /* 11258 */ 't', 'a', 'r', 9, 0,
    1576             :   /* 11263 */ 'm', 'a', 'd', 'b', 'r', 9, 0,
    1577             :   /* 11270 */ 'l', 'c', 'd', 'b', 'r', 9, 0,
    1578             :   /* 11277 */ 'd', 'd', 'b', 'r', 9, 0,
    1579             :   /* 11283 */ 'l', 'e', 'd', 'b', 'r', 9, 0,
    1580             :   /* 11290 */ 'c', 'f', 'd', 'b', 'r', 9, 0,
    1581             :   /* 11297 */ 'c', 'l', 'f', 'd', 'b', 'r', 9, 0,
    1582             :   /* 11305 */ 'c', 'g', 'd', 'b', 'r', 9, 0,
    1583             :   /* 11312 */ 'c', 'l', 'g', 'd', 'b', 'r', 9, 0,
    1584             :   /* 11320 */ 'd', 'i', 'd', 'b', 'r', 9, 0,
    1585             :   /* 11327 */ 'f', 'i', 'd', 'b', 'r', 9, 0,
    1586             :   /* 11334 */ 'k', 'd', 'b', 'r', 9, 0,
    1587             :   /* 11340 */ 'm', 'd', 'b', 'r', 9, 0,
    1588             :   /* 11346 */ 'l', 'n', 'd', 'b', 'r', 9, 0,
    1589             :   /* 11353 */ 'l', 'p', 'd', 'b', 'r', 9, 0,
    1590             :   /* 11360 */ 's', 'q', 'd', 'b', 'r', 9, 0,
    1591             :   /* 11367 */ 'm', 's', 'd', 'b', 'r', 9, 0,
    1592             :   /* 11374 */ 'l', 't', 'd', 'b', 'r', 9, 0,
    1593             :   /* 11381 */ 'l', 'x', 'd', 'b', 'r', 9, 0,
    1594             :   /* 11388 */ 'm', 'x', 'd', 'b', 'r', 9, 0,
    1595             :   /* 11395 */ 'm', 'a', 'e', 'b', 'r', 9, 0,
    1596             :   /* 11402 */ 'l', 'c', 'e', 'b', 'r', 9, 0,
    1597             :   /* 11409 */ 'l', 'd', 'e', 'b', 'r', 9, 0,
    1598             :   /* 11416 */ 'm', 'd', 'e', 'b', 'r', 9, 0,
    1599             :   /* 11423 */ 'm', 'e', 'e', 'b', 'r', 9, 0,
    1600             :   /* 11430 */ 'c', 'f', 'e', 'b', 'r', 9, 0,
    1601             :   /* 11437 */ 'c', 'l', 'f', 'e', 'b', 'r', 9, 0,
    1602             :   /* 11445 */ 'c', 'g', 'e', 'b', 'r', 9, 0,
    1603             :   /* 11452 */ 'c', 'l', 'g', 'e', 'b', 'r', 9, 0,
    1604             :   /* 11460 */ 'd', 'i', 'e', 'b', 'r', 9, 0,
    1605             :   /* 11467 */ 'f', 'i', 'e', 'b', 'r', 9, 0,
    1606             :   /* 11474 */ 'k', 'e', 'b', 'r', 9, 0,
    1607             :   /* 11480 */ 'l', 'n', 'e', 'b', 'r', 9, 0,
    1608             :   /* 11487 */ 'l', 'p', 'e', 'b', 'r', 9, 0,
    1609             :   /* 11494 */ 's', 'q', 'e', 'b', 'r', 9, 0,
    1610             :   /* 11501 */ 'm', 's', 'e', 'b', 'r', 9, 0,
    1611             :   /* 11508 */ 'l', 't', 'e', 'b', 'r', 9, 0,
    1612             :   /* 11515 */ 'l', 'x', 'e', 'b', 'r', 9, 0,
    1613             :   /* 11522 */ 'c', 'd', 'f', 'b', 'r', 9, 0,
    1614             :   /* 11529 */ 'c', 'e', 'f', 'b', 'r', 9, 0,
    1615             :   /* 11536 */ 'c', 'd', 'l', 'f', 'b', 'r', 9, 0,
    1616             :   /* 11544 */ 'c', 'e', 'l', 'f', 'b', 'r', 9, 0,
    1617             :   /* 11552 */ 'c', 'x', 'l', 'f', 'b', 'r', 9, 0,
    1618             :   /* 11560 */ 'c', 'x', 'f', 'b', 'r', 9, 0,
    1619             :   /* 11567 */ 'c', 'd', 'g', 'b', 'r', 9, 0,
    1620             :   /* 11574 */ 'c', 'e', 'g', 'b', 'r', 9, 0,
    1621             :   /* 11581 */ 'c', 'd', 'l', 'g', 'b', 'r', 9, 0,
    1622             :   /* 11589 */ 'c', 'e', 'l', 'g', 'b', 'r', 9, 0,
    1623             :   /* 11597 */ 'c', 'x', 'l', 'g', 'b', 'r', 9, 0,
    1624             :   /* 11605 */ 'c', 'x', 'g', 'b', 'r', 9, 0,
    1625             :   /* 11612 */ 's', 'l', 'b', 'r', 9, 0,
    1626             :   /* 11618 */ 'a', 'x', 'b', 'r', 9, 0,
    1627             :   /* 11624 */ 'l', 'c', 'x', 'b', 'r', 9, 0,
    1628             :   /* 11631 */ 'l', 'd', 'x', 'b', 'r', 9, 0,
    1629             :   /* 11638 */ 'l', 'e', 'x', 'b', 'r', 9, 0,
    1630             :   /* 11645 */ 'c', 'f', 'x', 'b', 'r', 9, 0,
    1631             :   /* 11652 */ 'c', 'l', 'f', 'x', 'b', 'r', 9, 0,
    1632             :   /* 11660 */ 'c', 'g', 'x', 'b', 'r', 9, 0,
    1633             :   /* 11667 */ 'c', 'l', 'g', 'x', 'b', 'r', 9, 0,
    1634             :   /* 11675 */ 'f', 'i', 'x', 'b', 'r', 9, 0,
    1635             :   /* 11682 */ 'k', 'x', 'b', 'r', 9, 0,
    1636             :   /* 11688 */ 'm', 'x', 'b', 'r', 9, 0,
    1637             :   /* 11694 */ 'l', 'n', 'x', 'b', 'r', 9, 0,
    1638             :   /* 11701 */ 'l', 'p', 'x', 'b', 'r', 9, 0,
    1639             :   /* 11708 */ 's', 'q', 'x', 'b', 'r', 9, 0,
    1640             :   /* 11715 */ 's', 'x', 'b', 'r', 9, 0,
    1641             :   /* 11721 */ 'l', 't', 'x', 'b', 'r', 9, 0,
    1642             :   /* 11728 */ 'b', 'c', 'r', 9, 0,
    1643             :   /* 11733 */ 'l', 'l', 'g', 'c', 'r', 9, 0,
    1644             :   /* 11740 */ 'a', 'l', 'c', 'r', 9, 0,
    1645             :   /* 11746 */ 'l', 'l', 'c', 'r', 9, 0,
    1646             :   /* 11752 */ 'l', 'o', 'c', 'r', 9, 0,
    1647             :   /* 11758 */ 'm', 'a', 'd', 'r', 9, 0,
    1648             :   /* 11764 */ 't', 'b', 'd', 'r', 9, 0,
    1649             :   /* 11770 */ 'l', 'c', 'd', 'r', 9, 0,
    1650             :   /* 11776 */ 'd', 'd', 'r', 9, 0,
    1651             :   /* 11781 */ 't', 'b', 'e', 'd', 'r', 9, 0,
    1652             :   /* 11788 */ 'l', 'e', 'd', 'r', 9, 0,
    1653             :   /* 11794 */ 'c', 'f', 'd', 'r', 9, 0,
    1654             :   /* 11800 */ 'c', 'g', 'd', 'r', 9, 0,
    1655             :   /* 11806 */ 'l', 'g', 'd', 'r', 9, 0,
    1656             :   /* 11812 */ 't', 'h', 'd', 'r', 9, 0,
    1657             :   /* 11818 */ 'f', 'i', 'd', 'r', 9, 0,
    1658             :   /* 11824 */ 'l', 'd', 'r', 9, 0,
    1659             :   /* 11829 */ 'm', 'd', 'r', 9, 0,
    1660             :   /* 11834 */ 'l', 'n', 'd', 'r', 9, 0,
    1661             :   /* 11840 */ 'l', 'p', 'd', 'r', 9, 0,
    1662             :   /* 11846 */ 's', 'q', 'd', 'r', 9, 0,
    1663             :   /* 11852 */ 'l', 'r', 'd', 'r', 9, 0,
    1664             :   /* 11858 */ 'm', 's', 'd', 'r', 9, 0,
    1665             :   /* 11864 */ 'c', 'p', 's', 'd', 'r', 9, 0,
    1666             :   /* 11871 */ 'l', 't', 'd', 'r', 9, 0,
    1667             :   /* 11877 */ 'l', 'x', 'd', 'r', 9, 0,
    1668             :   /* 11883 */ 'm', 'x', 'd', 'r', 9, 0,
    1669             :   /* 11889 */ 'l', 'z', 'd', 'r', 9, 0,
    1670             :   /* 11895 */ 'm', 'a', 'e', 'r', 9, 0,
    1671             :   /* 11901 */ 'b', 'e', 'r', 9, 0,
    1672             :   /* 11906 */ 'l', 'c', 'e', 'r', 9, 0,
    1673             :   /* 11912 */ 't', 'h', 'd', 'e', 'r', 9, 0,
    1674             :   /* 11919 */ 'l', 'd', 'e', 'r', 9, 0,
    1675             :   /* 11925 */ 'm', 'd', 'e', 'r', 9, 0,
    1676             :   /* 11931 */ 'm', 'e', 'e', 'r', 9, 0,
    1677             :   /* 11937 */ 'c', 'f', 'e', 'r', 9, 0,
    1678             :   /* 11943 */ 'c', 'g', 'e', 'r', 9, 0,
    1679             :   /* 11949 */ 'b', 'h', 'e', 'r', 9, 0,
    1680             :   /* 11955 */ 'b', 'n', 'h', 'e', 'r', 9, 0,
    1681             :   /* 11962 */ 'f', 'i', 'e', 'r', 9, 0,
    1682             :   /* 11968 */ 'b', 'l', 'e', 'r', 9, 0,
    1683             :   /* 11974 */ 'b', 'n', 'l', 'e', 'r', 9, 0,
    1684             :   /* 11981 */ 'm', 'e', 'r', 9, 0,
    1685             :   /* 11986 */ 'b', 'n', 'e', 'r', 9, 0,
    1686             :   /* 11992 */ 'l', 'n', 'e', 'r', 9, 0,
    1687             :   /* 11998 */ 'l', 'p', 'e', 'r', 9, 0,
    1688             :   /* 12004 */ 's', 'q', 'e', 'r', 9, 0,
    1689             :   /* 12010 */ 'l', 'r', 'e', 'r', 9, 0,
    1690             :   /* 12016 */ 'm', 's', 'e', 'r', 9, 0,
    1691             :   /* 12022 */ 'l', 't', 'e', 'r', 9, 0,
    1692             :   /* 12028 */ 'l', 'x', 'e', 'r', 9, 0,
    1693             :   /* 12034 */ 'l', 'z', 'e', 'r', 9, 0,
    1694             :   /* 12040 */ 'l', 'c', 'd', 'f', 'r', 9, 0,
    1695             :   /* 12047 */ 'l', 'n', 'd', 'f', 'r', 9, 0,
    1696             :   /* 12054 */ 'l', 'p', 'd', 'f', 'r', 9, 0,
    1697             :   /* 12061 */ 'c', 'e', 'f', 'r', 9, 0,
    1698             :   /* 12067 */ 'a', 'g', 'f', 'r', 9, 0,
    1699             :   /* 12073 */ 'l', 'c', 'g', 'f', 'r', 9, 0,
    1700             :   /* 12080 */ 'a', 'l', 'g', 'f', 'r', 9, 0,
    1701             :   /* 12087 */ 'c', 'l', 'g', 'f', 'r', 9, 0,
    1702             :   /* 12094 */ 'l', 'l', 'g', 'f', 'r', 9, 0,
    1703             :   /* 12101 */ 's', 'l', 'g', 'f', 'r', 9, 0,
    1704             :   /* 12108 */ 'l', 'n', 'g', 'f', 'r', 9, 0,
    1705             :   /* 12115 */ 'l', 'p', 'g', 'f', 'r', 9, 0,
    1706             :   /* 12122 */ 'd', 's', 'g', 'f', 'r', 9, 0,
    1707             :   /* 12129 */ 'm', 's', 'g', 'f', 'r', 9, 0,
    1708             :   /* 12136 */ 'l', 't', 'g', 'f', 'r', 9, 0,
    1709             :   /* 12143 */ 'c', 'x', 'f', 'r', 9, 0,
    1710             :   /* 12149 */ 'a', 'g', 'r', 9, 0,
    1711             :   /* 12154 */ 's', 'l', 'b', 'g', 'r', 9, 0,
    1712             :   /* 12161 */ 'a', 'l', 'c', 'g', 'r', 9, 0,
    1713             :   /* 12168 */ 'l', 'o', 'c', 'g', 'r', 9, 0,
    1714             :   /* 12175 */ 'c', 'd', 'g', 'r', 9, 0,
    1715             :   /* 12181 */ 'l', 'd', 'g', 'r', 9, 0,
    1716             :   /* 12187 */ 'c', 'e', 'g', 'r', 9, 0,
    1717             :   /* 12193 */ 'a', 'l', 'g', 'r', 9, 0,
    1718             :   /* 12199 */ 'c', 'l', 'g', 'r', 9, 0,
    1719             :   /* 12205 */ 'd', 'l', 'g', 'r', 9, 0,
    1720             :   /* 12211 */ 'm', 'l', 'g', 'r', 9, 0,
    1721             :   /* 12217 */ 's', 'l', 'g', 'r', 9, 0,
    1722             :   /* 12223 */ 'l', 'n', 'g', 'r', 9, 0,
    1723             :   /* 12229 */ 'f', 'l', 'o', 'g', 'r', 9, 0,
    1724             :   /* 12236 */ 'l', 'p', 'g', 'r', 9, 0,
    1725             :   /* 12242 */ 'd', 's', 'g', 'r', 9, 0,
    1726             :   /* 12248 */ 'm', 's', 'g', 'r', 9, 0,
    1727             :   /* 12254 */ 'b', 'c', 't', 'g', 'r', 9, 0,
    1728             :   /* 12261 */ 'l', 't', 'g', 'r', 9, 0,
    1729             :   /* 12267 */ 'l', 'r', 'v', 'g', 'r', 9, 0,
    1730             :   /* 12274 */ 'c', 'x', 'g', 'r', 9, 0,
    1731             :   /* 12280 */ 'b', 'h', 'r', 9, 0,
    1732             :   /* 12285 */ 'l', 'o', 'c', 'f', 'h', 'r', 9, 0,
    1733             :   /* 12293 */ 'l', 'l', 'g', 'h', 'r', 9, 0,
    1734             :   /* 12300 */ 'c', 'h', 'h', 'r', 9, 0,
    1735             :   /* 12306 */ 'a', 'h', 'h', 'h', 'r', 9, 0,
    1736             :   /* 12313 */ 'a', 'l', 'h', 'h', 'h', 'r', 9, 0,
    1737             :   /* 12321 */ 's', 'l', 'h', 'h', 'h', 'r', 9, 0,
    1738             :   /* 12329 */ 's', 'h', 'h', 'h', 'r', 9, 0,
    1739             :   /* 12336 */ 'c', 'l', 'h', 'h', 'r', 9, 0,
    1740             :   /* 12343 */ 'b', 'l', 'h', 'r', 9, 0,
    1741             :   /* 12349 */ 'l', 'l', 'h', 'r', 9, 0,
    1742             :   /* 12355 */ 'b', 'n', 'l', 'h', 'r', 9, 0,
    1743             :   /* 12362 */ 'b', 'n', 'h', 'r', 9, 0,
    1744             :   /* 12368 */ 'm', 'a', 'y', 'h', 'r', 9, 0,
    1745             :   /* 12375 */ 'm', 'y', 'h', 'r', 9, 0,
    1746             :   /* 12381 */ 'e', 'p', 'a', 'i', 'r', 9, 0,
    1747             :   /* 12388 */ 'e', 's', 'a', 'i', 'r', 9, 0,
    1748             :   /* 12395 */ 's', 's', 'a', 'i', 'r', 9, 0,
    1749             :   /* 12402 */ 'b', 'a', 'k', 'r', 9, 0,
    1750             :   /* 12408 */ 'b', 'a', 'l', 'r', 9, 0,
    1751             :   /* 12414 */ 'b', 'l', 'r', 9, 0,
    1752             :   /* 12419 */ 'c', 'l', 'r', 9, 0,
    1753             :   /* 12424 */ 'd', 'l', 'r', 9, 0,
    1754             :   /* 12429 */ 'v', 'f', 'l', 'r', 9, 0,
    1755             :   /* 12435 */ 'c', 'h', 'l', 'r', 9, 0,
    1756             :   /* 12441 */ 'a', 'h', 'h', 'l', 'r', 9, 0,
    1757             :   /* 12448 */ 'a', 'l', 'h', 'h', 'l', 'r', 9, 0,
    1758             :   /* 12456 */ 's', 'l', 'h', 'h', 'l', 'r', 9, 0,
    1759             :   /* 12464 */ 's', 'h', 'h', 'l', 'r', 9, 0,
    1760             :   /* 12471 */ 'c', 'l', 'h', 'l', 'r', 9, 0,
    1761             :   /* 12478 */ 'm', 'l', 'r', 9, 0,
    1762             :   /* 12483 */ 'b', 'n', 'l', 'r', 9, 0,
    1763             :   /* 12489 */ 'v', 'l', 'r', 'l', 'r', 9, 0,
    1764             :   /* 12496 */ 'v', 's', 't', 'r', 'l', 'r', 9, 0,
    1765             :   /* 12504 */ 's', 'l', 'r', 9, 0,
    1766             :   /* 12509 */ 'v', 'l', 'r', 9, 0,
    1767             :   /* 12514 */ 'm', 'a', 'y', 'l', 'r', 9, 0,
    1768             :   /* 12521 */ 'm', 'y', 'l', 'r', 9, 0,
    1769             :   /* 12527 */ 'b', 'm', 'r', 9, 0,
    1770             :   /* 12532 */ 'b', 'n', 'm', 'r', 9, 0,
    1771             :   /* 12538 */ 'l', 'n', 'r', 9, 0,
    1772             :   /* 12543 */ 'b', 'o', 'r', 9, 0,
    1773             :   /* 12548 */ 'b', 'n', 'o', 'r', 9, 0,
    1774             :   /* 12554 */ 'b', 'p', 'r', 9, 0,
    1775             :   /* 12559 */ 'l', 'p', 'r', 9, 0,
    1776             :   /* 12564 */ 'b', 'n', 'p', 'r', 9, 0,
    1777             :   /* 12570 */ 'b', 'a', 's', 'r', 9, 0,
    1778             :   /* 12576 */ 's', 'f', 'a', 's', 'r', 9, 0,
    1779             :   /* 12583 */ 'm', 's', 'r', 9, 0,
    1780             :   /* 12588 */ 'b', 'c', 't', 'r', 9, 0,
    1781             :   /* 12594 */ 'e', 'c', 'c', 't', 'r', 9, 0,
    1782             :   /* 12601 */ 's', 'c', 'c', 't', 'r', 9, 0,
    1783             :   /* 12608 */ 'k', 'm', 'c', 't', 'r', 9, 0,
    1784             :   /* 12615 */ 'e', 'p', 'c', 't', 'r', 9, 0,
    1785             :   /* 12622 */ 's', 'p', 'c', 't', 'r', 9, 0,
    1786             :   /* 12629 */ 'q', 'a', 'd', 't', 'r', 9, 0,
    1787             :   /* 12636 */ 'c', 'd', 't', 'r', 9, 0,
    1788             :   /* 12642 */ 'd', 'd', 't', 'r', 9, 0,
    1789             :   /* 12648 */ 'c', 'e', 'd', 't', 'r', 9, 0,
    1790             :   /* 12655 */ 'e', 'e', 'd', 't', 'r', 9, 0,
    1791             :   /* 12662 */ 'i', 'e', 'd', 't', 'r', 9, 0,
    1792             :   /* 12669 */ 'l', 'e', 'd', 't', 'r', 9, 0,
    1793             :   /* 12676 */ 'c', 'f', 'd', 't', 'r', 9, 0,
    1794             :   /* 12683 */ 'c', 'l', 'f', 'd', 't', 'r', 9, 0,
    1795             :   /* 12691 */ 'c', 'g', 'd', 't', 'r', 9, 0,
    1796             :   /* 12698 */ 'c', 'l', 'g', 'd', 't', 'r', 9, 0,
    1797             :   /* 12706 */ 'f', 'i', 'd', 't', 'r', 9, 0,
    1798             :   /* 12713 */ 'k', 'd', 't', 'r', 9, 0,
    1799             :   /* 12719 */ 'm', 'd', 't', 'r', 9, 0,
    1800             :   /* 12725 */ 'r', 'r', 'd', 't', 'r', 9, 0,
    1801             :   /* 12732 */ 'c', 's', 'd', 't', 'r', 9, 0,
    1802             :   /* 12739 */ 'e', 's', 'd', 't', 'r', 9, 0,
    1803             :   /* 12746 */ 'l', 't', 'd', 't', 'r', 9, 0,
    1804             :   /* 12753 */ 'c', 'u', 'd', 't', 'r', 9, 0,
    1805             :   /* 12760 */ 'l', 'x', 'd', 't', 'r', 9, 0,
    1806             :   /* 12767 */ 'l', 'd', 'e', 't', 'r', 9, 0,
    1807             :   /* 12774 */ 'c', 'd', 'f', 't', 'r', 9, 0,
    1808             :   /* 12781 */ 'c', 'd', 'l', 'f', 't', 'r', 9, 0,
    1809             :   /* 12789 */ 'c', 'x', 'l', 'f', 't', 'r', 9, 0,
    1810             :   /* 12797 */ 'c', 'x', 'f', 't', 'r', 9, 0,
    1811             :   /* 12804 */ 'c', 'd', 'g', 't', 'r', 9, 0,
    1812             :   /* 12811 */ 'c', 'd', 'l', 'g', 't', 'r', 9, 0,
    1813             :   /* 12819 */ 'l', 'l', 'g', 't', 'r', 9, 0,
    1814             :   /* 12826 */ 'c', 'x', 'l', 'g', 't', 'r', 9, 0,
    1815             :   /* 12834 */ 'c', 'x', 'g', 't', 'r', 9, 0,
    1816             :   /* 12841 */ 'l', 't', 'r', 9, 0,
    1817             :   /* 12846 */ 't', 'r', 't', 'r', 9, 0,
    1818             :   /* 12852 */ 'c', 'd', 's', 't', 'r', 9, 0,
    1819             :   /* 12859 */ 'v', 'i', 's', 't', 'r', 9, 0,
    1820             :   /* 12866 */ 'c', 'x', 's', 't', 'r', 9, 0,
    1821             :   /* 12873 */ 'c', 'd', 'u', 't', 'r', 9, 0,
    1822             :   /* 12880 */ 'c', 'x', 'u', 't', 'r', 9, 0,
    1823             :   /* 12887 */ 'q', 'a', 'x', 't', 'r', 9, 0,
    1824             :   /* 12894 */ 'c', 'x', 't', 'r', 9, 0,
    1825             :   /* 12900 */ 'l', 'd', 'x', 't', 'r', 9, 0,
    1826             :   /* 12907 */ 'c', 'e', 'x', 't', 'r', 9, 0,
    1827             :   /* 12914 */ 'e', 'e', 'x', 't', 'r', 9, 0,
    1828             :   /* 12921 */ 'i', 'e', 'x', 't', 'r', 9, 0,
    1829             :   /* 12928 */ 'c', 'f', 'x', 't', 'r', 9, 0,
    1830             :   /* 12935 */ 'c', 'l', 'f', 'x', 't', 'r', 9, 0,
    1831             :   /* 12943 */ 'c', 'g', 'x', 't', 'r', 9, 0,
    1832             :   /* 12950 */ 'c', 'l', 'g', 'x', 't', 'r', 9, 0,
    1833             :   /* 12958 */ 'f', 'i', 'x', 't', 'r', 9, 0,
    1834             :   /* 12965 */ 'k', 'x', 't', 'r', 9, 0,
    1835             :   /* 12971 */ 'm', 'x', 't', 'r', 9, 0,
    1836             :   /* 12977 */ 'r', 'r', 'x', 't', 'r', 9, 0,
    1837             :   /* 12984 */ 'c', 's', 'x', 't', 'r', 9, 0,
    1838             :   /* 12991 */ 'e', 's', 'x', 't', 'r', 9, 0,
    1839             :   /* 12998 */ 'l', 't', 'x', 't', 'r', 9, 0,
    1840             :   /* 13005 */ 'c', 'u', 'x', 't', 'r', 9, 0,
    1841             :   /* 13012 */ 'a', 'u', 'r', 9, 0,
    1842             :   /* 13017 */ 's', 'u', 'r', 9, 0,
    1843             :   /* 13022 */ 'l', 'r', 'v', 'r', 9, 0,
    1844             :   /* 13028 */ 'a', 'w', 'r', 9, 0,
    1845             :   /* 13033 */ 's', 'w', 'r', 9, 0,
    1846             :   /* 13038 */ 'a', 'x', 'r', 9, 0,
    1847             :   /* 13043 */ 'l', 'c', 'x', 'r', 9, 0,
    1848             :   /* 13049 */ 'l', 'd', 'x', 'r', 9, 0,
    1849             :   /* 13055 */ 'l', 'e', 'x', 'r', 9, 0,
    1850             :   /* 13061 */ 'c', 'f', 'x', 'r', 9, 0,
    1851             :   /* 13067 */ 'c', 'g', 'x', 'r', 9, 0,
    1852             :   /* 13073 */ 'f', 'i', 'x', 'r', 9, 0,
    1853             :   /* 13079 */ 'l', 'x', 'r', 9, 0,
    1854             :   /* 13084 */ 'm', 'x', 'r', 9, 0,
    1855             :   /* 13089 */ 'l', 'n', 'x', 'r', 9, 0,
    1856             :   /* 13095 */ 'l', 'p', 'x', 'r', 9, 0,
    1857             :   /* 13101 */ 's', 'q', 'x', 'r', 9, 0,
    1858             :   /* 13107 */ 's', 'x', 'r', 9, 0,
    1859             :   /* 13112 */ 'l', 't', 'x', 'r', 9, 0,
    1860             :   /* 13118 */ 'l', 'z', 'x', 'r', 9, 0,
    1861             :   /* 13124 */ 'm', 'a', 'y', 'r', 9, 0,
    1862             :   /* 13130 */ 'm', 'y', 'r', 9, 0,
    1863             :   /* 13135 */ 'b', 'z', 'r', 9, 0,
    1864             :   /* 13140 */ 'b', 'n', 'z', 'r', 9, 0,
    1865             :   /* 13146 */ 'b', 'a', 's', 9, 0,
    1866             :   /* 13151 */ 'l', 'f', 'a', 's', 9, 0,
    1867             :   /* 13157 */ 'b', 'r', 'a', 's', 9, 0,
    1868             :   /* 13163 */ 'v', 's', 't', 'r', 'c', 'b', 's', 9, 0,
    1869             :   /* 13172 */ 'v', 'f', 'c', 'e', 'd', 'b', 's', 9, 0,
    1870             :   /* 13181 */ 'w', 'f', 'c', 'e', 'd', 'b', 's', 9, 0,
    1871             :   /* 13190 */ 'v', 'f', 'c', 'h', 'e', 'd', 'b', 's', 9, 0,
    1872             :   /* 13200 */ 'w', 'f', 'c', 'h', 'e', 'd', 'b', 's', 9, 0,
    1873             :   /* 13210 */ 'v', 'f', 'k', 'h', 'e', 'd', 'b', 's', 9, 0,
    1874             :   /* 13220 */ 'w', 'f', 'k', 'h', 'e', 'd', 'b', 's', 9, 0,
    1875             :   /* 13230 */ 'v', 'f', 'k', 'e', 'd', 'b', 's', 9, 0,
    1876             :   /* 13239 */ 'w', 'f', 'k', 'e', 'd', 'b', 's', 9, 0,
    1877             :   /* 13248 */ 'v', 'f', 'c', 'h', 'd', 'b', 's', 9, 0,
    1878             :   /* 13257 */ 'w', 'f', 'c', 'h', 'd', 'b', 's', 9, 0,
    1879             :   /* 13266 */ 'v', 'f', 'k', 'h', 'd', 'b', 's', 9, 0,
    1880             :   /* 13275 */ 'w', 'f', 'k', 'h', 'd', 'b', 's', 9, 0,
    1881             :   /* 13284 */ 'v', 'f', 'a', 'e', 'b', 's', 9, 0,
    1882             :   /* 13292 */ 'v', 'f', 'e', 'e', 'b', 's', 9, 0,
    1883             :   /* 13300 */ 'v', 'f', 'e', 'n', 'e', 'b', 's', 9, 0,
    1884             :   /* 13309 */ 'v', 'c', 'h', 'b', 's', 9, 0,
    1885             :   /* 13316 */ 'v', 'c', 'h', 'l', 'b', 's', 9, 0,
    1886             :   /* 13324 */ 'v', 'c', 'e', 'q', 'b', 's', 9, 0,
    1887             :   /* 13332 */ 'v', 'i', 's', 't', 'r', 'b', 's', 9, 0,
    1888             :   /* 13341 */ 'v', 'f', 'c', 'e', 's', 'b', 's', 9, 0,
    1889             :   /* 13350 */ 'w', 'f', 'c', 'e', 's', 'b', 's', 9, 0,
    1890             :   /* 13359 */ 'v', 'f', 'c', 'h', 'e', 's', 'b', 's', 9, 0,
    1891             :   /* 13369 */ 'w', 'f', 'c', 'h', 'e', 's', 'b', 's', 9, 0,
    1892             :   /* 13379 */ 'v', 'f', 'k', 'h', 'e', 's', 'b', 's', 9, 0,
    1893             :   /* 13389 */ 'w', 'f', 'k', 'h', 'e', 's', 'b', 's', 9, 0,
    1894             :   /* 13399 */ 'v', 'f', 'k', 'e', 's', 'b', 's', 9, 0,
    1895             :   /* 13408 */ 'w', 'f', 'k', 'e', 's', 'b', 's', 9, 0,
    1896             :   /* 13417 */ 'v', 'f', 'c', 'h', 's', 'b', 's', 9, 0,
    1897             :   /* 13426 */ 'w', 'f', 'c', 'h', 's', 'b', 's', 9, 0,
    1898             :   /* 13435 */ 'v', 'f', 'k', 'h', 's', 'b', 's', 9, 0,
    1899             :   /* 13444 */ 'w', 'f', 'k', 'h', 's', 'b', 's', 9, 0,
    1900             :   /* 13453 */ 'w', 'f', 'c', 'e', 'x', 'b', 's', 9, 0,
    1901             :   /* 13462 */ 'w', 'f', 'c', 'h', 'e', 'x', 'b', 's', 9, 0,
    1902             :   /* 13472 */ 'w', 'f', 'k', 'h', 'e', 'x', 'b', 's', 9, 0,
    1903             :   /* 13482 */ 'w', 'f', 'k', 'e', 'x', 'b', 's', 9, 0,
    1904             :   /* 13491 */ 'w', 'f', 'c', 'h', 'x', 'b', 's', 9, 0,
    1905             :   /* 13500 */ 'w', 'f', 'k', 'h', 'x', 'b', 's', 9, 0,
    1906             :   /* 13509 */ 'v', 's', 't', 'r', 'c', 'z', 'b', 's', 9, 0,
    1907             :   /* 13519 */ 'v', 'f', 'a', 'e', 'z', 'b', 's', 9, 0,
    1908             :   /* 13528 */ 'v', 'f', 'e', 'e', 'z', 'b', 's', 9, 0,
    1909             :   /* 13537 */ 'v', 'f', 'e', 'n', 'e', 'z', 'b', 's', 9, 0,
    1910             :   /* 13547 */ 'm', 'v', 'c', 's', 9, 0,
    1911             :   /* 13553 */ 'c', 'd', 's', 9, 0,
    1912             :   /* 13558 */ 'v', 's', 't', 'r', 'c', 'f', 's', 9, 0,
    1913             :   /* 13567 */ 'v', 'f', 'a', 'e', 'f', 's', 9, 0,
    1914             :   /* 13575 */ 'v', 'f', 'e', 'e', 'f', 's', 9, 0,
    1915             :   /* 13583 */ 'v', 'f', 'e', 'n', 'e', 'f', 's', 9, 0,
    1916             :   /* 13592 */ 'v', 'c', 'h', 'f', 's', 9, 0,
    1917             :   /* 13599 */ 'v', 'c', 'h', 'l', 'f', 's', 9, 0,
    1918             :   /* 13607 */ 'v', 'c', 'e', 'q', 'f', 's', 9, 0,
    1919             :   /* 13615 */ 'v', 'i', 's', 't', 'r', 'f', 's', 9, 0,
    1920             :   /* 13624 */ 'v', 'p', 'k', 's', 'f', 's', 9, 0,
    1921             :   /* 13632 */ 'v', 'p', 'k', 'l', 's', 'f', 's', 9, 0,
    1922             :   /* 13641 */ 'v', 'f', 's', 9, 0,
    1923             :   /* 13646 */ 'v', 's', 't', 'r', 'c', 'z', 'f', 's', 9, 0,
    1924             :   /* 13656 */ 'v', 'f', 'a', 'e', 'z', 'f', 's', 9, 0,
    1925             :   /* 13665 */ 'v', 'f', 'e', 'e', 'z', 'f', 's', 9, 0,
    1926             :   /* 13674 */ 'v', 'f', 'e', 'n', 'e', 'z', 'f', 's', 9, 0,
    1927             :   /* 13684 */ 'v', 'c', 'h', 'g', 's', 9, 0,
    1928             :   /* 13691 */ 'v', 'c', 'h', 'l', 'g', 's', 9, 0,
    1929             :   /* 13699 */ 'v', 'c', 'e', 'q', 'g', 's', 9, 0,
    1930             :   /* 13707 */ 'v', 'p', 'k', 's', 'g', 's', 9, 0,
    1931             :   /* 13715 */ 'v', 'p', 'k', 'l', 's', 'g', 's', 9, 0,
    1932             :   /* 13724 */ 'v', 's', 't', 'r', 'c', 'h', 's', 9, 0,
    1933             :   /* 13733 */ 'v', 'f', 'a', 'e', 'h', 's', 9, 0,
    1934             :   /* 13741 */ 'v', 'f', 'e', 'e', 'h', 's', 9, 0,
    1935             :   /* 13749 */ 'v', 'f', 'e', 'n', 'e', 'h', 's', 9, 0,
    1936             :   /* 13758 */ 'v', 'c', 'h', 'h', 's', 9, 0,
    1937             :   /* 13765 */ 'v', 'c', 'h', 'l', 'h', 's', 9, 0,
    1938             :   /* 13773 */ 'v', 'c', 'e', 'q', 'h', 's', 9, 0,
    1939             :   /* 13781 */ 'v', 'i', 's', 't', 'r', 'h', 's', 9, 0,
    1940             :   /* 13790 */ 'v', 'p', 'k', 's', 'h', 's', 9, 0,
    1941             :   /* 13798 */ 'v', 'p', 'k', 'l', 's', 'h', 's', 9, 0,
    1942             :   /* 13807 */ 'v', 's', 't', 'r', 'c', 'z', 'h', 's', 9, 0,
    1943             :   /* 13817 */ 'v', 'f', 'a', 'e', 'z', 'h', 's', 9, 0,
    1944             :   /* 13826 */ 'v', 'f', 'e', 'e', 'z', 'h', 's', 9, 0,
    1945             :   /* 13835 */ 'v', 'f', 'e', 'n', 'e', 'z', 'h', 's', 9, 0,
    1946             :   /* 13845 */ 'v', 'p', 'k', 's', 9, 0,
    1947             :   /* 13851 */ 'v', 'p', 'k', 'l', 's', 9, 0,
    1948             :   /* 13858 */ 'v', 'f', 'l', 'l', 's', 9, 0,
    1949             :   /* 13865 */ 'w', 'f', 'l', 'l', 's', 9, 0,
    1950             :   /* 13872 */ 'v', 'f', 'm', 's', 9, 0,
    1951             :   /* 13878 */ 'v', 'f', 'n', 'm', 's', 9, 0,
    1952             :   /* 13885 */ 'm', 'v', 'c', 'o', 's', 9, 0,
    1953             :   /* 13892 */ 's', 't', 'c', 'p', 's', 9, 0,
    1954             :   /* 13899 */ 't', 's', 9, 0,
    1955             :   /* 13903 */ 'v', 's', 9, 0,
    1956             :   /* 13907 */ 'l', 'l', 'g', 'f', 'a', 't', 9, 0,
    1957             :   /* 13915 */ 'l', 'g', 'a', 't', 9, 0,
    1958             :   /* 13921 */ 'l', 'f', 'h', 'a', 't', 9, 0,
    1959             :   /* 13928 */ 'l', 'a', 't', 9, 0,
    1960             :   /* 13933 */ 'l', 'l', 'g', 't', 'a', 't', 9, 0,
    1961             :   /* 13941 */ 'b', 'c', 't', 9, 0,
    1962             :   /* 13946 */ 'v', 'p', 'o', 'p', 'c', 't', 9, 0,
    1963             :   /* 13954 */ 'b', 'r', 'c', 't', 9, 0,
    1964             :   /* 13960 */ 't', 'd', 'c', 'd', 't', 9, 0,
    1965             :   /* 13967 */ 't', 'd', 'g', 'd', 't', 9, 0,
    1966             :   /* 13974 */ 's', 'l', 'd', 't', 9, 0,
    1967             :   /* 13980 */ 'c', 'p', 'd', 't', 9, 0,
    1968             :   /* 13986 */ 's', 'r', 'd', 't', 9, 0,
    1969             :   /* 13992 */ 'c', 'z', 'd', 't', 9, 0,
    1970             :   /* 13998 */ 't', 'd', 'c', 'e', 't', 9, 0,
    1971             :   /* 14005 */ 't', 'd', 'g', 'e', 't', 9, 0,
    1972             :   /* 14012 */ 'c', 'l', 'g', 't', 9, 0,
    1973             :   /* 14018 */ 'l', 'l', 'g', 't', 9, 0,
    1974             :   /* 14024 */ 'c', 'i', 't', 9, 0,
    1975             :   /* 14029 */ 'c', 'l', 'f', 'i', 't', 9, 0,
    1976             :   /* 14036 */ 'c', 'g', 'i', 't', 9, 0,
    1977             :   /* 14042 */ 'c', 'l', 'g', 'i', 't', 9, 0,
    1978             :   /* 14049 */ 'c', 'l', 't', 9, 0,
    1979             :   /* 14054 */ 's', 'r', 'n', 'm', 't', 9, 0,
    1980             :   /* 14061 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
    1981             :   /* 14069 */ 't', 'p', 'r', 'o', 't', 9, 0,
    1982             :   /* 14076 */ 't', 'r', 'o', 't', 9, 0,
    1983             :   /* 14082 */ 'c', 'd', 'p', 't', 9, 0,
    1984             :   /* 14088 */ 's', 'p', 't', 9, 0,
    1985             :   /* 14093 */ 's', 't', 'p', 't', 9, 0,
    1986             :   /* 14099 */ 'c', 'x', 'p', 't', 9, 0,
    1987             :   /* 14105 */ 'c', 'r', 't', 9, 0,
    1988             :   /* 14110 */ 'c', 'g', 'r', 't', 9, 0,
    1989             :   /* 14116 */ 'c', 'l', 'g', 'r', 't', 9, 0,
    1990             :   /* 14123 */ 'c', 'l', 'r', 't', 9, 0,
    1991             :   /* 14129 */ 't', 'a', 'b', 'o', 'r', 't', 9, 0,
    1992             :   /* 14137 */ 't', 'r', 't', 9, 0,
    1993             :   /* 14142 */ 'c', 'l', 's', 't', 9, 0,
    1994             :   /* 14148 */ 's', 'r', 's', 't', 9, 0,
    1995             :   /* 14154 */ 'c', 's', 's', 't', 9, 0,
    1996             :   /* 14160 */ 'm', 'v', 's', 't', 9, 0,
    1997             :   /* 14166 */ 't', 'r', 't', 't', 9, 0,
    1998             :   /* 14172 */ 'p', 'g', 'o', 'u', 't', 9, 0,
    1999             :   /* 14179 */ 't', 'd', 'c', 'x', 't', 9, 0,
    2000             :   /* 14186 */ 't', 'd', 'g', 'x', 't', 9, 0,
    2001             :   /* 14193 */ 's', 'l', 'x', 't', 9, 0,
    2002             :   /* 14199 */ 'c', 'p', 'x', 't', 9, 0,
    2003             :   /* 14205 */ 's', 'r', 'x', 't', 9, 0,
    2004             :   /* 14211 */ 'c', 'z', 'x', 't', 9, 0,
    2005             :   /* 14217 */ 'c', 'd', 'z', 't', 9, 0,
    2006             :   /* 14223 */ 'c', 'x', 'z', 't', 9, 0,
    2007             :   /* 14229 */ 'a', 'u', 9, 0,
    2008             :   /* 14233 */ 'c', 'u', 't', 'f', 'u', 9, 0,
    2009             :   /* 14240 */ 'u', 'n', 'p', 'k', 'u', 9, 0,
    2010             :   /* 14247 */ 'c', 'l', 'c', 'l', 'u', 9, 0,
    2011             :   /* 14254 */ 'm', 'v', 'c', 'l', 'u', 9, 0,
    2012             :   /* 14261 */ 's', 'u', 9, 0,
    2013             :   /* 14265 */ 's', 'r', 's', 't', 'u', 9, 0,
    2014             :   /* 14272 */ 'v', 'e', 's', 'r', 'a', 'v', 9, 0,
    2015             :   /* 14280 */ 'v', 'l', 'g', 'v', 9, 0,
    2016             :   /* 14286 */ 'v', 'e', 'r', 'l', 'l', 'v', 9, 0,
    2017             :   /* 14294 */ 'v', 'e', 's', 'r', 'l', 'v', 9, 0,
    2018             :   /* 14302 */ 'v', 'e', 's', 'l', 'v', 9, 0,
    2019             :   /* 14309 */ 'l', 'r', 'v', 9, 0,
    2020             :   /* 14314 */ 's', 't', 'r', 'v', 9, 0,
    2021             :   /* 14320 */ 'a', 'w', 9, 0,
    2022             :   /* 14324 */ 'v', 'm', 'a', 'l', 'h', 'w', 9, 0,
    2023             :   /* 14332 */ 'v', 'm', 'l', 'h', 'w', 9, 0,
    2024             :   /* 14339 */ 'v', 'u', 'p', 'l', 'h', 'w', 9, 0,
    2025             :   /* 14347 */ 's', 't', 'c', 'r', 'w', 9, 0,
    2026             :   /* 14354 */ 'e', 'p', 's', 'w', 9, 0,
    2027             :   /* 14360 */ 'l', 'p', 's', 'w', 9, 0,
    2028             :   /* 14366 */ 'l', 'a', 'x', 9, 0,
    2029             :   /* 14371 */ 'v', 'f', 'm', 'a', 'x', 9, 0,
    2030             :   /* 14378 */ 'e', 'x', 9, 0,
    2031             :   /* 14382 */ 'v', 'm', 'x', 9, 0,
    2032             :   /* 14387 */ 'v', 'n', 'x', 9, 0,
    2033             :   /* 14392 */ 's', 'p', 'x', 9, 0,
    2034             :   /* 14397 */ 's', 't', 'p', 'x', 9, 0,
    2035             :   /* 14403 */ 'w', 'f', 'l', 'r', 'x', 9, 0,
    2036             :   /* 14410 */ 'v', 'x', 9, 0,
    2037             :   /* 14414 */ 'l', 'a', 'y', 9, 0,
    2038             :   /* 14419 */ 'm', 'a', 'y', 9, 0,
    2039             :   /* 14424 */ 'l', 'r', 'a', 'y', 9, 0,
    2040             :   /* 14430 */ 'c', 'v', 'b', 'y', 9, 0,
    2041             :   /* 14436 */ 'i', 'c', 'y', 9, 0,
    2042             :   /* 14441 */ 's', 't', 'c', 'y', 9, 0,
    2043             :   /* 14447 */ 'l', 'd', 'y', 9, 0,
    2044             :   /* 14452 */ 's', 't', 'd', 'y', 9, 0,
    2045             :   /* 14458 */ 'c', 'v', 'd', 'y', 9, 0,
    2046             :   /* 14464 */ 'l', 'a', 'e', 'y', 9, 0,
    2047             :   /* 14470 */ 'l', 'e', 'y', 9, 0,
    2048             :   /* 14475 */ 's', 't', 'e', 'y', 9, 0,
    2049             :   /* 14481 */ 'm', 'f', 'y', 9, 0,
    2050             :   /* 14486 */ 'a', 'h', 'y', 9, 0,
    2051             :   /* 14491 */ 'c', 'h', 'y', 9, 0,
    2052             :   /* 14496 */ 'l', 'h', 'y', 9, 0,
    2053             :   /* 14501 */ 'm', 'h', 'y', 9, 0,
    2054             :   /* 14506 */ 's', 'h', 'y', 9, 0,
    2055             :   /* 14511 */ 's', 't', 'h', 'y', 9, 0,
    2056             :   /* 14517 */ 'c', 'l', 'i', 'y', 9, 0,
    2057             :   /* 14523 */ 'n', 'i', 'y', 9, 0,
    2058             :   /* 14528 */ 'o', 'i', 'y', 9, 0,
    2059             :   /* 14533 */ 'm', 'v', 'i', 'y', 9, 0,
    2060             :   /* 14539 */ 'x', 'i', 'y', 9, 0,
    2061             :   /* 14544 */ 'a', 'l', 'y', 9, 0,
    2062             :   /* 14549 */ 'c', 'l', 'y', 9, 0,
    2063             :   /* 14554 */ 's', 'l', 'y', 9, 0,
    2064             :   /* 14559 */ 'l', 'a', 'm', 'y', 9, 0,
    2065             :   /* 14565 */ 's', 't', 'a', 'm', 'y', 9, 0,
    2066             :   /* 14572 */ 'i', 'c', 'm', 'y', 9, 0,
    2067             :   /* 14578 */ 's', 't', 'c', 'm', 'y', 9, 0,
    2068             :   /* 14585 */ 'c', 'l', 'm', 'y', 9, 0,
    2069             :   /* 14591 */ 's', 't', 'm', 'y', 9, 0,
    2070             :   /* 14597 */ 'n', 'y', 9, 0,
    2071             :   /* 14601 */ 'o', 'y', 9, 0,
    2072             :   /* 14605 */ 'c', 's', 'y', 9, 0,
    2073             :   /* 14610 */ 'c', 'd', 's', 'y', 9, 0,
    2074             :   /* 14616 */ 'm', 's', 'y', 9, 0,
    2075             :   /* 14621 */ 's', 't', 'y', 9, 0,
    2076             :   /* 14626 */ 'x', 'y', 9, 0,
    2077             :   /* 14630 */ 'b', 'z', 9, 0,
    2078             :   /* 14634 */ 'l', 'o', 'c', 'z', 9, 0,
    2079             :   /* 14640 */ 's', 't', 'o', 'c', 'z', 9, 0,
    2080             :   /* 14647 */ 'v', 'l', 'l', 'e', 'z', 9, 0,
    2081             :   /* 14654 */ 'l', 'o', 'c', 'g', 'z', 9, 0,
    2082             :   /* 14661 */ 's', 't', 'o', 'c', 'g', 'z', 9, 0,
    2083             :   /* 14669 */ 'j', 'g', 'z', 9, 0,
    2084             :   /* 14674 */ 'l', 'o', 'c', 'f', 'h', 'z', 9, 0,
    2085             :   /* 14682 */ 's', 't', 'o', 'c', 'f', 'h', 'z', 9, 0,
    2086             :   /* 14691 */ 'b', 'i', 'z', 9, 0,
    2087             :   /* 14696 */ 'l', 'o', 'c', 'h', 'i', 'z', 9, 0,
    2088             :   /* 14704 */ 'l', 'o', 'c', 'g', 'h', 'i', 'z', 9, 0,
    2089             :   /* 14713 */ 'l', 'o', 'c', 'h', 'h', 'i', 'z', 9, 0,
    2090             :   /* 14722 */ 'j', 'z', 9, 0,
    2091             :   /* 14726 */ 'v', 'u', 'p', 'k', 'z', 9, 0,
    2092             :   /* 14733 */ 'v', 'p', 'k', 'z', 9, 0,
    2093             :   /* 14739 */ 'v', 'c', 'l', 'z', 9, 0,
    2094             :   /* 14745 */ 'b', 'n', 'z', 9, 0,
    2095             :   /* 14750 */ 'l', 'o', 'c', 'n', 'z', 9, 0,
    2096             :   /* 14757 */ 's', 't', 'o', 'c', 'n', 'z', 9, 0,
    2097             :   /* 14765 */ 'l', 'o', 'c', 'g', 'n', 'z', 9, 0,
    2098             :   /* 14773 */ 's', 't', 'o', 'c', 'g', 'n', 'z', 9, 0,
    2099             :   /* 14782 */ 'j', 'g', 'n', 'z', 9, 0,
    2100             :   /* 14788 */ 'l', 'o', 'c', 'f', 'h', 'n', 'z', 9, 0,
    2101             :   /* 14797 */ 's', 't', 'o', 'c', 'f', 'h', 'n', 'z', 9, 0,
    2102             :   /* 14807 */ 'b', 'i', 'n', 'z', 9, 0,
    2103             :   /* 14813 */ 'l', 'o', 'c', 'h', 'i', 'n', 'z', 9, 0,
    2104             :   /* 14822 */ 'l', 'o', 'c', 'g', 'h', 'i', 'n', 'z', 9, 0,
    2105             :   /* 14832 */ 'l', 'o', 'c', 'h', 'h', 'i', 'n', 'z', 9, 0,
    2106             :   /* 14842 */ 'j', 'n', 'z', 9, 0,
    2107             :   /* 14847 */ 'l', 'o', 'c', 'r', 'n', 'z', 9, 0,
    2108             :   /* 14855 */ 'l', 'o', 'c', 'g', 'r', 'n', 'z', 9, 0,
    2109             :   /* 14864 */ 'l', 'o', 'c', 'f', 'h', 'r', 'n', 'z', 9, 0,
    2110             :   /* 14874 */ 'l', 'o', 'c', 'r', 'z', 9, 0,
    2111             :   /* 14881 */ 'l', 'o', 'c', 'g', 'r', 'z', 9, 0,
    2112             :   /* 14889 */ 'l', 'o', 'c', 'f', 'h', 'r', 'z', 9, 0,
    2113             :   /* 14898 */ 'v', 'c', 't', 'z', 9, 0,
    2114             :   /* 14904 */ 'm', 'v', 'z', 9, 0,
    2115             :   /* 14909 */ '.', 'i', 'n', 's', 'n', 32, 'e', ',', 0,
    2116             :   /* 14918 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', 'e', ',', 0,
    2117             :   /* 14929 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'r', 'e', ',', 0,
    2118             :   /* 14940 */ '.', 'i', 'n', 's', 'n', 32, 'r', 's', 'e', ',', 0,
    2119             :   /* 14951 */ '.', 'i', 'n', 's', 'n', 32, 's', 's', 'e', ',', 0,
    2120             :   /* 14962 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'x', 'e', ',', 0,
    2121             :   /* 14973 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'r', 'f', ',', 0,
    2122             :   /* 14984 */ '.', 'i', 'n', 's', 'n', 32, 's', 's', 'f', ',', 0,
    2123             :   /* 14995 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'x', 'f', ',', 0,
    2124             :   /* 15006 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', ',', 0,
    2125             :   /* 15016 */ '.', 'i', 'n', 's', 'n', 32, 's', 'i', ',', 0,
    2126             :   /* 15026 */ '.', 'i', 'n', 's', 'n', 32, 'r', 's', 'i', ',', 0,
    2127             :   /* 15037 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', 'l', ',', 0,
    2128             :   /* 15048 */ '.', 'i', 'n', 's', 'n', 32, 's', 'i', 'l', ',', 0,
    2129             :   /* 15059 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'r', ',', 0,
    2130             :   /* 15069 */ '.', 'i', 'n', 's', 'n', 32, 's', ',', 0,
    2131             :   /* 15078 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', 's', ',', 0,
    2132             :   /* 15089 */ '.', 'i', 'n', 's', 'n', 32, 'r', 's', ',', 0,
    2133             :   /* 15099 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'r', 's', ',', 0,
    2134             :   /* 15110 */ '.', 'i', 'n', 's', 'n', 32, 's', 's', ',', 0,
    2135             :   /* 15120 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'i', 'l', 'u', ',', 0,
    2136             :   /* 15132 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'x', ',', 0,
    2137             :   /* 15142 */ '.', 'i', 'n', 's', 'n', 32, 's', 'i', 'y', ',', 0,
    2138             :   /* 15153 */ '.', 'i', 'n', 's', 'n', 32, 'r', 's', 'y', ',', 0,
    2139             :   /* 15164 */ '.', 'i', 'n', 's', 'n', 32, 'r', 'x', 'y', ',', 0,
    2140             :   /* 15175 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'P', 'a', 't', 'c', 'h', 'a', 'b', 'l', 'e', 32, 'R', 'E', 'T', '.', 0,
    2141             :   /* 15206 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'T', 'y', 'p', 'e', 'd', 32, 'E', 'v', 'e', 'n', 't', 32, 'L', 'o', 'g', '.', 0,
    2142             :   /* 15230 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'C', 'u', 's', 't', 'o', 'm', 32, 'E', 'v', 'e', 'n', 't', 32, 'L', 'o', 'g', '.', 0,
    2143             :   /* 15255 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'E', 'n', 't', 'e', 'r', '.', 0,
    2144             :   /* 15278 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'T', 'a', 'i', 'l', 32, 'C', 'a', 'l', 'l', 32, 'E', 'x', 'i', 't', '.', 0,
    2145             :   /* 15301 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'E', 'x', 'i', 't', '.', 0,
    2146             :   /* 15323 */ 's', 'a', 'm', '3', '1', 0,
    2147             :   /* 15329 */ 't', 'r', 'a', 'p', '2', 0,
    2148             :   /* 15335 */ 's', 'a', 'm', '2', '4', 0,
    2149             :   /* 15341 */ 's', 'a', 'm', '6', '4', 0,
    2150             :   /* 15347 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
    2151             :   /* 15360 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
    2152             :   /* 15367 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
    2153             :   /* 15377 */ 'D', 'B', 'G', '_', 'L', 'A', 'B', 'E', 'L', 0,
    2154             :   /* 15387 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
    2155             :   /* 15402 */ 'c', 'i', 'b', 0,
    2156             :   /* 15406 */ 'c', 'g', 'i', 'b', 0,
    2157             :   /* 15411 */ 'c', 'l', 'g', 'i', 'b', 0,
    2158             :   /* 15417 */ 'c', 'l', 'i', 'b', 0,
    2159             :   /* 15422 */ 'p', 'a', 'l', 'b', 0,
    2160             :   /* 15427 */ 'p', 't', 'l', 'b', 0,
    2161             :   /* 15432 */ 'c', 'r', 'b', 0,
    2162             :   /* 15436 */ 'c', 'g', 'r', 'b', 0,
    2163             :   /* 15441 */ 'c', 'l', 'g', 'r', 'b', 0,
    2164             :   /* 15447 */ 'c', 'l', 'r', 'b', 0,
    2165             :   /* 15452 */ 'p', 'c', 'c', 0,
    2166             :   /* 15456 */ 'l', 'o', 'c', 0,
    2167             :   /* 15460 */ 's', 't', 'o', 'c', 0,
    2168             :   /* 15465 */ 't', 'e', 'n', 'd', 0,
    2169             :   /* 15470 */ 'p', 't', 'f', 'f', 0,
    2170             :   /* 15475 */ 's', 'c', 'k', 'p', 'f', 0,
    2171             :   /* 15481 */ 'l', 'o', 'c', 'g', 0,
    2172             :   /* 15486 */ 's', 't', 'o', 'c', 'g', 0,
    2173             :   /* 15492 */ 'j', 'g', 0,
    2174             :   /* 15495 */ 'c', 's', 'c', 'h', 0,
    2175             :   /* 15500 */ 'h', 's', 'c', 'h', 0,
    2176             :   /* 15505 */ 'r', 's', 'c', 'h', 0,
    2177             :   /* 15510 */ 'x', 's', 'c', 'h', 0,
    2178             :   /* 15515 */ 'l', 'o', 'c', 'f', 'h', 0,
    2179             :   /* 15521 */ 's', 't', 'o', 'c', 'f', 'h', 0,
    2180             :   /* 15528 */ 'b', 'i', 0,
    2181             :   /* 15531 */ 'l', 'o', 'c', 'h', 'i', 0,
    2182             :   /* 15537 */ 'l', 'o', 'c', 'g', 'h', 'i', 0,
    2183             :   /* 15544 */ 'l', 'o', 'c', 'h', 'h', 'i', 0,
    2184             :   /* 15551 */ 'c', 'i', 'j', 0,
    2185             :   /* 15555 */ 'c', 'g', 'i', 'j', 0,
    2186             :   /* 15560 */ 'c', 'l', 'g', 'i', 'j', 0,
    2187             :   /* 15566 */ 'c', 'l', 'i', 'j', 0,
    2188             :   /* 15571 */ 'c', 'r', 'j', 0,
    2189             :   /* 15575 */ 'c', 'g', 'r', 'j', 0,
    2190             :   /* 15580 */ 'c', 'l', 'g', 'r', 'j', 0,
    2191             :   /* 15586 */ 'c', 'l', 'r', 'j', 0,
    2192             :   /* 15591 */ 'i', 'p', 'k', 0,
    2193             :   /* 15595 */ 's', 'a', 'l', 0,
    2194             :   /* 15599 */ '#', 32, 'F', 'E', 'n', 't', 'r', 'y', 32, 'c', 'a', 'l', 'l', 0,
    2195             :   /* 15613 */ 't', 'a', 'm', 0,
    2196             :   /* 15617 */ 's', 'c', 'h', 'm', 0,
    2197             :   /* 15622 */ 'p', 'c', 'k', 'm', 'o', 0,
    2198             :   /* 15628 */ 'p', 'f', 'p', 'o', 0,
    2199             :   /* 15633 */ 'r', 'c', 'h', 'p', 0,
    2200             :   /* 15638 */ 'l', 'o', 'c', 'r', 0,
    2201             :   /* 15643 */ 'l', 'o', 'c', 'g', 'r', 0,
    2202             :   /* 15649 */ 'l', 'o', 'c', 'f', 'h', 'r', 0,
    2203             :   /* 15656 */ 'p', 'r', 0,
    2204             :   /* 15659 */ 'c', 'l', 'g', 't', 0,
    2205             :   /* 15664 */ 'c', 'i', 't', 0,
    2206             :   /* 15668 */ 'c', 'l', 'f', 'i', 't', 0,
    2207             :   /* 15674 */ 'c', 'g', 'i', 't', 0,
    2208             :   /* 15679 */ 'c', 'l', 'g', 'i', 't', 0,
    2209             :   /* 15685 */ 'c', 'l', 't', 0,
    2210             :   /* 15689 */ 'u', 'p', 't', 0,
    2211             :   /* 15693 */ 'c', 'r', 't', 0,
    2212             :   /* 15697 */ 'c', 'g', 'r', 't', 0,
    2213             :   /* 15702 */ 'c', 'l', 'g', 'r', 't', 0,
    2214             :   /* 15708 */ 'c', 'l', 'r', 't', 0,
    2215             :   };
    2216             : 
    2217             :   static const uint32_t OpInfo0[] = {
    2218             :     0U, // PHI
    2219             :     0U, // INLINEASM
    2220             :     0U, // CFI_INSTRUCTION
    2221             :     0U, // EH_LABEL
    2222             :     0U, // GC_LABEL
    2223             :     0U, // ANNOTATION_LABEL
    2224             :     0U, // KILL
    2225             :     0U, // EXTRACT_SUBREG
    2226             :     0U, // INSERT_SUBREG
    2227             :     0U, // IMPLICIT_DEF
    2228             :     0U, // SUBREG_TO_REG
    2229             :     0U, // COPY_TO_REGCLASS
    2230             :     15368U,     // DBG_VALUE
    2231             :     15378U,     // DBG_LABEL
    2232             :     0U, // REG_SEQUENCE
    2233             :     0U, // COPY
    2234             :     15361U,     // BUNDLE
    2235             :     15388U,     // LIFETIME_START
    2236             :     15348U,     // LIFETIME_END
    2237             :     0U, // STACKMAP
    2238             :     15600U,     // FENTRY_CALL
    2239             :     0U, // PATCHPOINT
    2240             :     0U, // LOAD_STACK_GUARD
    2241             :     0U, // STATEPOINT
    2242             :     0U, // LOCAL_ESCAPE
    2243             :     0U, // FAULTING_OP
    2244             :     0U, // PATCHABLE_OP
    2245             :     15256U,     // PATCHABLE_FUNCTION_ENTER
    2246             :     15176U,     // PATCHABLE_RET
    2247             :     15302U,     // PATCHABLE_FUNCTION_EXIT
    2248             :     15279U,     // PATCHABLE_TAIL_CALL
    2249             :     15231U,     // PATCHABLE_EVENT_CALL
    2250             :     15207U,     // PATCHABLE_TYPED_EVENT_CALL
    2251             :     0U, // ICALL_BRANCH_FUNNEL
    2252             :     0U, // G_ADD
    2253             :     0U, // G_SUB
    2254             :     0U, // G_MUL
    2255             :     0U, // G_SDIV
    2256             :     0U, // G_UDIV
    2257             :     0U, // G_SREM
    2258             :     0U, // G_UREM
    2259             :     0U, // G_AND
    2260             :     0U, // G_OR
    2261             :     0U, // G_XOR
    2262             :     0U, // G_IMPLICIT_DEF
    2263             :     0U, // G_PHI
    2264             :     0U, // G_FRAME_INDEX
    2265             :     0U, // G_GLOBAL_VALUE
    2266             :     0U, // G_EXTRACT
    2267             :     0U, // G_UNMERGE_VALUES
    2268             :     0U, // G_INSERT
    2269             :     0U, // G_MERGE_VALUES
    2270             :     0U, // G_PTRTOINT
    2271             :     0U, // G_INTTOPTR
    2272             :     0U, // G_BITCAST
    2273             :     0U, // G_INTRINSIC_TRUNC
    2274             :     0U, // G_INTRINSIC_ROUND
    2275             :     0U, // G_LOAD
    2276             :     0U, // G_SEXTLOAD
    2277             :     0U, // G_ZEXTLOAD
    2278             :     0U, // G_STORE
    2279             :     0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
    2280             :     0U, // G_ATOMIC_CMPXCHG
    2281             :     0U, // G_ATOMICRMW_XCHG
    2282             :     0U, // G_ATOMICRMW_ADD
    2283             :     0U, // G_ATOMICRMW_SUB
    2284             :     0U, // G_ATOMICRMW_AND
    2285             :     0U, // G_ATOMICRMW_NAND
    2286             :     0U, // G_ATOMICRMW_OR
    2287             :     0U, // G_ATOMICRMW_XOR
    2288             :     0U, // G_ATOMICRMW_MAX
    2289             :     0U, // G_ATOMICRMW_MIN
    2290             :     0U, // G_ATOMICRMW_UMAX
    2291             :     0U, // G_ATOMICRMW_UMIN
    2292             :     0U, // G_BRCOND
    2293             :     0U, // G_BRINDIRECT
    2294             :     0U, // G_INTRINSIC
    2295             :     0U, // G_INTRINSIC_W_SIDE_EFFECTS
    2296             :     0U, // G_ANYEXT
    2297             :     0U, // G_TRUNC
    2298             :     0U, // G_CONSTANT
    2299             :     0U, // G_FCONSTANT
    2300             :     0U, // G_VASTART
    2301             :     0U, // G_VAARG
    2302             :     0U, // G_SEXT
    2303             :     0U, // G_ZEXT
    2304             :     0U, // G_SHL
    2305             :     0U, // G_LSHR
    2306             :     0U, // G_ASHR
    2307             :     0U, // G_ICMP
    2308             :     0U, // G_FCMP
    2309             :     0U, // G_SELECT
    2310             :     0U, // G_UADDO
    2311             :     0U, // G_UADDE
    2312             :     0U, // G_USUBO
    2313             :     0U, // G_USUBE
    2314             :     0U, // G_SADDO
    2315             :     0U, // G_SADDE
    2316             :     0U, // G_SSUBO
    2317             :     0U, // G_SSUBE
    2318             :     0U, // G_UMULO
    2319             :     0U, // G_SMULO
    2320             :     0U, // G_UMULH
    2321             :     0U, // G_SMULH
    2322             :     0U, // G_FADD
    2323             :     0U, // G_FSUB
    2324             :     0U, // G_FMUL
    2325             :     0U, // G_FMA
    2326             :     0U, // G_FDIV
    2327             :     0U, // G_FREM
    2328             :     0U, // G_FPOW
    2329             :     0U, // G_FEXP
    2330             :     0U, // G_FEXP2
    2331             :     0U, // G_FLOG
    2332             :     0U, // G_FLOG2
    2333             :     0U, // G_FNEG
    2334             :     0U, // G_FPEXT
    2335             :     0U, // G_FPTRUNC
    2336             :     0U, // G_FPTOSI
    2337             :     0U, // G_FPTOUI
    2338             :     0U, // G_SITOFP
    2339             :     0U, // G_UITOFP
    2340             :     0U, // G_FABS
    2341             :     0U, // G_GEP
    2342             :     0U, // G_PTR_MASK
    2343             :     0U, // G_BR
    2344             :     0U, // G_INSERT_VECTOR_ELT
    2345             :     0U, // G_EXTRACT_VECTOR_ELT
    2346             :     0U, // G_SHUFFLE_VECTOR
    2347             :     0U, // G_CTTZ
    2348             :     0U, // G_CTTZ_ZERO_UNDEF
    2349             :     0U, // G_CTLZ
    2350             :     0U, // G_CTLZ_ZERO_UNDEF
    2351             :     0U, // G_CTPOP
    2352             :     0U, // G_BSWAP
    2353             :     0U, // G_ADDRSPACE_CAST
    2354             :     0U, // G_BLOCK_ADDR
    2355             :     0U, // ADJCALLSTACKDOWN
    2356             :     0U, // ADJCALLSTACKUP
    2357             :     0U, // ADJDYNALLOC
    2358             :     0U, // AEXT128
    2359             :     0U, // AFIMux
    2360             :     0U, // AHIMux
    2361             :     0U, // AHIMuxK
    2362             :     0U, // ATOMIC_CMP_SWAPW
    2363             :     0U, // ATOMIC_LOADW_AFI
    2364             :     0U, // ATOMIC_LOADW_AR
    2365             :     0U, // ATOMIC_LOADW_MAX
    2366             :     0U, // ATOMIC_LOADW_MIN
    2367             :     0U, // ATOMIC_LOADW_NILH
    2368             :     0U, // ATOMIC_LOADW_NILHi
    2369             :     0U, // ATOMIC_LOADW_NR
    2370             :     0U, // ATOMIC_LOADW_NRi
    2371             :     0U, // ATOMIC_LOADW_OILH
    2372             :     0U, // ATOMIC_LOADW_OR
    2373             :     0U, // ATOMIC_LOADW_SR
    2374             :     0U, // ATOMIC_LOADW_UMAX
    2375             :     0U, // ATOMIC_LOADW_UMIN
    2376             :     0U, // ATOMIC_LOADW_XILF
    2377             :     0U, // ATOMIC_LOADW_XR
    2378             :     0U, // ATOMIC_LOAD_AFI
    2379             :     0U, // ATOMIC_LOAD_AGFI
    2380             :     0U, // ATOMIC_LOAD_AGHI
    2381             :     0U, // ATOMIC_LOAD_AGR
    2382             :     0U, // ATOMIC_LOAD_AHI
    2383             :     0U, // ATOMIC_LOAD_AR
    2384             :     0U, // ATOMIC_LOAD_MAX_32
    2385             :     0U, // ATOMIC_LOAD_MAX_64
    2386             :     0U, // ATOMIC_LOAD_MIN_32
    2387             :     0U, // ATOMIC_LOAD_MIN_64
    2388             :     0U, // ATOMIC_LOAD_NGR
    2389             :     0U, // ATOMIC_LOAD_NGRi
    2390             :     0U, // ATOMIC_LOAD_NIHF64
    2391             :     0U, // ATOMIC_LOAD_NIHF64i
    2392             :     0U, // ATOMIC_LOAD_NIHH64
    2393             :     0U, // ATOMIC_LOAD_NIHH64i
    2394             :     0U, // ATOMIC_LOAD_NIHL64
    2395             :     0U, // ATOMIC_LOAD_NIHL64i
    2396             :     0U, // ATOMIC_LOAD_NILF
    2397             :     0U, // ATOMIC_LOAD_NILF64
    2398             :     0U, // ATOMIC_LOAD_NILF64i
    2399             :     0U, // ATOMIC_LOAD_NILFi
    2400             :     0U, // ATOMIC_LOAD_NILH
    2401             :     0U, // ATOMIC_LOAD_NILH64
    2402             :     0U, // ATOMIC_LOAD_NILH64i
    2403             :     0U, // ATOMIC_LOAD_NILHi
    2404             :     0U, // ATOMIC_LOAD_NILL
    2405             :     0U, // ATOMIC_LOAD_NILL64
    2406             :     0U, // ATOMIC_LOAD_NILL64i
    2407             :     0U, // ATOMIC_LOAD_NILLi
    2408             :     0U, // ATOMIC_LOAD_NR
    2409             :     0U, // ATOMIC_LOAD_NRi
    2410             :     0U, // ATOMIC_LOAD_OGR
    2411             :     0U, // ATOMIC_LOAD_OIHF64
    2412             :     0U, // ATOMIC_LOAD_OIHH64
    2413             :     0U, // ATOMIC_LOAD_OIHL64
    2414             :     0U, // ATOMIC_LOAD_OILF
    2415             :     0U, // ATOMIC_LOAD_OILF64
    2416             :     0U, // ATOMIC_LOAD_OILH
    2417             :     0U, // ATOMIC_LOAD_OILH64
    2418             :     0U, // ATOMIC_LOAD_OILL
    2419             :     0U, // ATOMIC_LOAD_OILL64
    2420             :     0U, // ATOMIC_LOAD_OR
    2421             :     0U, // ATOMIC_LOAD_SGR
    2422             :     0U, // ATOMIC_LOAD_SR
    2423             :     0U, // ATOMIC_LOAD_UMAX_32
    2424             :     0U, // ATOMIC_LOAD_UMAX_64
    2425             :     0U, // ATOMIC_LOAD_UMIN_32
    2426             :     0U, // ATOMIC_LOAD_UMIN_64
    2427             :     0U, // ATOMIC_LOAD_XGR
    2428             :     0U, // ATOMIC_LOAD_XIHF64
    2429             :     0U, // ATOMIC_LOAD_XILF
    2430             :     0U, // ATOMIC_LOAD_XILF64
    2431             :     0U, // ATOMIC_LOAD_XR
    2432             :     0U, // ATOMIC_SWAPW
    2433             :     0U, // ATOMIC_SWAP_32
    2434             :     0U, // ATOMIC_SWAP_64
    2435             :     0U, // CFIMux
    2436             :     0U, // CGIBCall
    2437             :     0U, // CGIBReturn
    2438             :     0U, // CGRBCall
    2439             :     0U, // CGRBReturn
    2440             :     0U, // CHIMux
    2441             :     0U, // CIBCall
    2442             :     0U, // CIBReturn
    2443             :     0U, // CLCLoop
    2444             :     0U, // CLCSequence
    2445             :     0U, // CLFIMux
    2446             :     0U, // CLGIBCall
    2447             :     0U, // CLGIBReturn
    2448             :     0U, // CLGRBCall
    2449             :     0U, // CLGRBReturn
    2450             :     0U, // CLIBCall
    2451             :     0U, // CLIBReturn
    2452             :     0U, // CLMux
    2453             :     0U, // CLRBCall
    2454             :     0U, // CLRBReturn
    2455             :     0U, // CLSTLoop
    2456             :     0U, // CMux
    2457             :     0U, // CRBCall
    2458             :     0U, // CRBReturn
    2459             :     0U, // CallBASR
    2460             :     0U, // CallBCR
    2461             :     0U, // CallBR
    2462             :     0U, // CallBRASL
    2463             :     0U, // CallBRCL
    2464             :     0U, // CallJG
    2465             :     0U, // CondReturn
    2466             :     0U, // CondStore16
    2467             :     0U, // CondStore16Inv
    2468             :     0U, // CondStore16Mux
    2469             :     0U, // CondStore16MuxInv
    2470             :     0U, // CondStore32
    2471             :     0U, // CondStore32Inv
    2472             :     0U, // CondStore32Mux
    2473             :     0U, // CondStore32MuxInv
    2474             :     0U, // CondStore64
    2475             :     0U, // CondStore64Inv
    2476             :     0U, // CondStore8
    2477             :     0U, // CondStore8Inv
    2478             :     0U, // CondStore8Mux
    2479             :     0U, // CondStore8MuxInv
    2480             :     0U, // CondStoreF32
    2481             :     0U, // CondStoreF32Inv
    2482             :     0U, // CondStoreF64
    2483             :     0U, // CondStoreF64Inv
    2484             :     0U, // CondTrap
    2485             :     0U, // GOT
    2486             :     0U, // IIFMux
    2487             :     0U, // IIHF64
    2488             :     0U, // IIHH64
    2489             :     0U, // IIHL64
    2490             :     0U, // IIHMux
    2491             :     0U, // IILF64
    2492             :     0U, // IILH64
    2493             :     0U, // IILL64
    2494             :     0U, // IILMux
    2495             :     0U, // L128
    2496             :     0U, // LBMux
    2497             :     0U, // LEFR
    2498             :     0U, // LFER
    2499             :     0U, // LHIMux
    2500             :     0U, // LHMux
    2501             :     0U, // LLCMux
    2502             :     0U, // LLCRMux
    2503             :     0U, // LLHMux
    2504             :     0U, // LLHRMux
    2505             :     0U, // LMux
    2506             :     0U, // LOCHIMux
    2507             :     0U, // LOCMux
    2508             :     0U, // LOCRMux
    2509             :     0U, // LRMux
    2510             :     0U, // LTDBRCompare_VecPseudo
    2511             :     0U, // LTEBRCompare_VecPseudo
    2512             :     0U, // LTXBRCompare_VecPseudo
    2513             :     0U, // LX
    2514             :     0U, // MVCLoop
    2515             :     0U, // MVCSequence
    2516             :     0U, // MVSTLoop
    2517             :     0U, // MemBarrier
    2518             :     0U, // NCLoop
    2519             :     0U, // NCSequence
    2520             :     0U, // NIFMux
    2521             :     0U, // NIHF64
    2522             :     0U, // NIHH64
    2523             :     0U, // NIHL64
    2524             :     0U, // NIHMux
    2525             :     0U, // NILF64
    2526             :     0U, // NILH64
    2527             :     0U, // NILL64
    2528             :     0U, // NILMux
    2529             :     0U, // OCLoop
    2530             :     0U, // OCSequence
    2531             :     0U, // OIFMux
    2532             :     0U, // OIHF64
    2533             :     0U, // OIHH64
    2534             :     0U, // OIHL64
    2535             :     0U, // OIHMux
    2536             :     0U, // OILF64
    2537             :     0U, // OILH64
    2538             :     0U, // OILL64
    2539             :     0U, // OILMux
    2540             :     0U, // PAIR128
    2541             :     0U, // RISBHH
    2542             :     0U, // RISBHL
    2543             :     0U, // RISBLH
    2544             :     0U, // RISBLL
    2545             :     0U, // RISBMux
    2546             :     0U, // Return
    2547             :     0U, // SRSTLoop
    2548             :     0U, // ST128
    2549             :     0U, // STCMux
    2550             :     0U, // STHMux
    2551             :     0U, // STMux
    2552             :     0U, // STOCMux
    2553             :     0U, // STX
    2554             :     0U, // Select32
    2555             :     0U, // Select64
    2556             :     0U, // SelectF128
    2557             :     0U, // SelectF32
    2558             :     0U, // SelectF64
    2559             :     0U, // SelectVR128
    2560             :     0U, // SelectVR32
    2561             :     0U, // SelectVR64
    2562             :     0U, // Serialize
    2563             :     0U, // TBEGIN_nofloat
    2564             :     0U, // TLS_GDCALL
    2565             :     0U, // TLS_LDCALL
    2566             :     0U, // TMHH64
    2567             :     0U, // TMHL64
    2568             :     0U, // TMHMux
    2569             :     0U, // TMLH64
    2570             :     0U, // TMLL64
    2571             :     0U, // TMLMux
    2572             :     0U, // Trap
    2573             :     0U, // VL32
    2574             :     0U, // VL64
    2575             :     0U, // VLR32
    2576             :     0U, // VLR64
    2577             :     0U, // VLVGP32
    2578             :     0U, // VST32
    2579             :     0U, // VST64
    2580             :     0U, // XCLoop
    2581             :     0U, // XCSequence
    2582             :     0U, // XIFMux
    2583             :     0U, // XIHF64
    2584             :     0U, // XILF64
    2585             :     0U, // ZEXT128
    2586             :     16430U,     // A
    2587             :     18800U,     // AD
    2588             :     16883U,     // ADB
    2589             :     16804865U,  // ADBR
    2590             :     16805360U,  // ADR
    2591             :     1107325271U,        // ADTR
    2592             :     1107312942U,        // ADTRA
    2593             :     18918U,     // AE
    2594             :     17340U,     // AEB
    2595             :     16804997U,  // AEBR
    2596             :     16805497U,  // AER
    2597             :     50356445U,  // AFI
    2598             :     21882U,     // AG
    2599             :     21267U,     // AGF
    2600             :     50356455U,  // AGFI
    2601             :     16805668U,  // AGFR
    2602             :     22882U,     // AGH
    2603             :     67133752U,  // AGHI
    2604             :     1107321434U,        // AGHIK
    2605             :     16805750U,  // AGR
    2606             :     1107321492U,        // AGRK
    2607             :     83927453U,  // AGSI
    2608             :     22627U,     // AH
    2609             :     1107324947U,        // AHHHR
    2610             :     1107325082U,        // AHHLR
    2611             :     67133740U,  // AHI
    2612             :     1107321428U,        // AHIK
    2613             :     30871U,     // AHY
    2614             :     50354711U,  // AIH
    2615             :     25333U,     // AL
    2616             :     18663U,     // ALC
    2617             :     22006U,     // ALCG
    2618             :     16805762U,  // ALCGR
    2619             :     16805341U,  // ALCR
    2620             :     100688143U, // ALFI
    2621             :     22190U,     // ALG
    2622             :     21284U,     // ALGF
    2623             :     100688115U, // ALGFI
    2624             :     16805681U,  // ALGFR
    2625             :     1107321441U,        // ALGHSIK
    2626             :     16805794U,  // ALGR
    2627             :     1107321498U,        // ALGRK
    2628             :     83927459U,  // ALGSI
    2629             :     1107324954U,        // ALHHHR
    2630             :     1107325089U,        // ALHHLR
    2631             :     1107321450U,        // ALHSIK
    2632             :     16806010U,  // ALR
    2633             :     1107321542U,        // ALRK
    2634             :     83927510U,  // ALSI
    2635             :     50354776U,  // ALSIH
    2636             :     50358418U,  // ALSIHN
    2637             :     30929U,     // ALY
    2638             :     117500432U, // AP
    2639             :     16804837U,  // AR
    2640             :     1107321487U,        // ARK
    2641             :     83927448U,  // ASI
    2642             :     30614U,     // AU
    2643             :     16806613U,  // AUR
    2644             :     30705U,     // AW
    2645             :     16806629U,  // AWR
    2646             :     16805219U,  // AXBR
    2647             :     16806639U,  // AXR
    2648             :     1107325529U,        // AXTR
    2649             :     1107312994U,        // AXTRA
    2650             :     30800U,     // AY
    2651             :     65971U,     // B
    2652             :     33583219U,  // BAKR
    2653             :     134243065U, // BAL
    2654             :     33583225U,  // BALR
    2655             :     134247259U, // BAS
    2656             :     33583387U,  // BASR
    2657             :     33581165U,  // BASSM
    2658             :     68087U,     // BAsmE
    2659             :     71804U,     // BAsmH
    2660             :     68217U,     // BAsmHE
    2661             :     74502U,     // BAsmL
    2662             :     69053U,     // BAsmLE
    2663             :     72358U,     // BAsmLH
    2664             :     75526U,     // BAsmM
    2665             :     69792U,     // BAsmNE
    2666             :     73228U,     // BAsmNH
    2667             :     68461U,     // BAsmNHE
    2668             :     74853U,     // BAsmNL
    2669             :     69300U,     // BAsmNLE
    2670             :     72653U,     // BAsmNLH
    2671             :     75675U,     // BAsmNM
    2672             :     76101U,     // BAsmNO
    2673             :     76473U,     // BAsmNP
    2674             :     80282U,     // BAsmNZ
    2675             :     75984U,     // BAsmO
    2676             :     76318U,     // BAsmP
    2677             :     80167U,     // BAsmZ
    2678             :     621613U,    // BC
    2679             :     1149082U,   // BCAsm
    2680             :     1670189U,   // BCR
    2681             :     153202129U, // BCRAsm
    2682             :     30326U,     // BCT
    2683             :     22475U,     // BCTG
    2684             :     16805855U,  // BCTGR
    2685             :     16806189U,  // BCTR
    2686             :     73919U,     // BI
    2687             :     68933U,     // BIAsmE
    2688             :     72223U,     // BIAsmH
    2689             :     68360U,     // BIAsmHE
    2690             :     74698U,     // BIAsmL
    2691             :     69193U,     // BIAsmLE
    2692             :     72499U,     // BIAsmLH
    2693             :     75619U,     // BIAsmM
    2694             :     69918U,     // BIAsmNE
    2695             :     73347U,     // BIAsmNH
    2696             :     68595U,     // BIAsmNHE
    2697             :     74972U,     // BIAsmNL
    2698             :     69434U,     // BIAsmNLE
    2699             :     72787U,     // BIAsmNLH
    2700             :     75737U,     // BIAsmNM
    2701             :     76163U,     // BIAsmNO
    2702             :     76535U,     // BIAsmNP
    2703             :     80344U,     // BIAsmNZ
    2704             :     76038U,     // BIAsmO
    2705             :     76422U,     // BIAsmP
    2706             :     80228U,     // BIAsmZ
    2707             :     621737U,    // BIC
    2708             :     1149126U,   // BICAsm
    2709             :     2317986625U,        // BPP
    2710             :     3391728483U,        // BPRP
    2711             :     3173379U,   // BR
    2712             :     184578918U, // BRAS
    2713             :     184575582U, // BRASL
    2714             :     3174014U,   // BRAsmE
    2715             :     3174393U,   // BRAsmH
    2716             :     3174062U,   // BRAsmHE
    2717             :     3174527U,   // BRAsmL
    2718             :     3174081U,   // BRAsmLE
    2719             :     3174456U,   // BRAsmLH
    2720             :     3174640U,   // BRAsmM
    2721             :     3174099U,   // BRAsmNE
    2722             :     3174475U,   // BRAsmNH
    2723             :     3174068U,   // BRAsmNHE
    2724             :     3174596U,   // BRAsmNL
    2725             :     3174087U,   // BRAsmNLE
    2726             :     3174468U,   // BRAsmNLH
    2727             :     3174645U,   // BRAsmNM
    2728             :     3174661U,   // BRAsmNO
    2729             :     3174677U,   // BRAsmNP
    2730             :     3175253U,   // BRAsmNZ
    2731             :     3174656U,   // BRAsmO
    2732             :     3174667U,   // BRAsmP
    2733             :     3175248U,   // BRAsmZ
    2734             :     201948354U, // BRC
    2735             :     153717047U, // BRCAsm
    2736             :     201948293U, // BRCL
    2737             :     153723733U, // BRCLAsm
    2738             :     201356931U, // BRCT
    2739             :     201349088U, // BRCTG
    2740             :     201351128U, // BRCTH
    2741             :     1090543724U,        // BRXH
    2742             :     1090541188U,        // BRXHG
    2743             :     1090539666U,        // BRXLE
    2744             :     1090541341U,        // BRXLG
    2745             :     33571219U,  // BSA
    2746             :     33576858U,  // BSG
    2747             :     33581139U,  // BSM
    2748             :     1090543713U,        // BXH
    2749             :     1090541182U,        // BXHG
    2750             :     1090539660U,        // BXLE
    2751             :     1090541109U,        // BXLEG
    2752             :     134236295U, // C
    2753             :     134236532U, // CD
    2754             :     134234659U, // CDB
    2755             :     33582088U,  // CDBR
    2756             :     33582339U,  // CDFBR
    2757             :     218120388U, // CDFBRA
    2758             :     33582858U,  // CDFR
    2759             :     218132967U, // CDFTR
    2760             :     33582384U,  // CDGBR
    2761             :     218120412U, // CDGBRA
    2762             :     33582992U,  // CDGR
    2763             :     33583621U,  // CDGTR
    2764             :     218120530U, // CDGTRA
    2765             :     218131729U, // CDLFBR
    2766             :     218132974U, // CDLFTR
    2767             :     218131774U, // CDLGBR
    2768             :     218133004U, // CDLGTR
    2769             :     234911491U, // CDPT
    2770             :     33582588U,  // CDR
    2771             :     1090548978U,        // CDS
    2772             :     1090541476U,        // CDSG
    2773             :     33583669U,  // CDSTR
    2774             :     1090550035U,        // CDSY
    2775             :     33583453U,  // CDTR
    2776             :     33583690U,  // CDUTR
    2777             :     234911626U, // CDZT
    2778             :     134236726U, // CE
    2779             :     134235081U, // CEB
    2780             :     33582220U,  // CEBR
    2781             :     33583465U,  // CEDTR
    2782             :     33582346U,  // CEFBR
    2783             :     218120396U, // CEFBRA
    2784             :     33582878U,  // CEFR
    2785             :     33582391U,  // CEGBR
    2786             :     218120420U, // CEGBRA
    2787             :     33583004U,  // CEGR
    2788             :     218131737U, // CELFBR
    2789             :     218131782U, // CELGBR
    2790             :     33582724U,  // CER
    2791             :     33583724U,  // CEXTR
    2792             :     3180720U,   // CFC
    2793             :     218131483U, // CFDBR
    2794             :     218120340U, // CFDBRA
    2795             :     218131987U, // CFDR
    2796             :     218132869U, // CFDTR
    2797             :     218131623U, // CFEBR
    2798             :     218120364U, // CFEBRA
    2799             :     218132130U, // CFER
    2800             :     251683042U, // CFI
    2801             :     218131838U, // CFXBR
    2802             :     218120452U, // CFXBRA
    2803             :     218133254U, // CFXR
    2804             :     218133121U, // CFXTR
    2805             :     134239717U, // CG
    2806             :     218131498U, // CGDBR
    2807             :     218120348U, // CGDBRA
    2808             :     218131993U, // CGDR
    2809             :     218132884U, // CGDTR
    2810             :     218120508U, // CGDTRA
    2811             :     218131638U, // CGEBR
    2812             :     218120372U, // CGEBRA
    2813             :     218132136U, // CGER
    2814             :     134239000U, // CGF
    2815             :     251683053U, // CGFI
    2816             :     33582891U,  // CGFR
    2817             :     268461514U, // CGFRL
    2818             :     134240617U, // CGH
    2819             :     285237568U, // CGHI
    2820             :     268461574U, // CGHRL
    2821             :     67150264U,  // CGHSI
    2822             :     305789999U, // CGIB
    2823             :     1392526511U,        // CGIBAsm
    2824             :     2466269691U,        // CGIBAsmE
    2825             :     2466273408U,        // CGIBAsmH
    2826             :     2466269822U,        // CGIBAsmHE
    2827             :     2466276106U,        // CGIBAsmL
    2828             :     2466270658U,        // CGIBAsmLE
    2829             :     2466273963U,        // CGIBAsmLH
    2830             :     2466271397U,        // CGIBAsmNE
    2831             :     2466274833U,        // CGIBAsmNH
    2832             :     2466270067U,        // CGIBAsmNHE
    2833             :     2466276458U,        // CGIBAsmNL
    2834             :     2466270906U,        // CGIBAsmNLE
    2835             :     2466274259U,        // CGIBAsmNLH
    2836             :     339344580U, // CGIJ
    2837             :     1392534010U,        // CGIJAsm
    2838             :     3540012399U,        // CGIJAsmE
    2839             :     3540015717U,        // CGIJAsmH
    2840             :     3540011826U,        // CGIJAsmHE
    2841             :     3540018159U,        // CGIJAsmL
    2842             :     3540012659U,        // CGIJAsmLE
    2843             :     3540015990U,        // CGIJAsmLH
    2844             :     3540013384U,        // CGIJAsmNE
    2845             :     3540016813U,        // CGIJAsmNH
    2846             :     3540012066U,        // CGIJAsmNHE
    2847             :     3540018438U,        // CGIJAsmNL
    2848             :     3540012905U,        // CGIJAsmNLE
    2849             :     3540016258U,        // CGIJAsmNLH
    2850             :     4324667U,   // CGIT
    2851             :     1358984917U,        // CGITAsm
    2852             :     285233731U, // CGITAsmE
    2853             :     285237236U, // CGITAsmH
    2854             :     285232397U, // CGITAsmHE
    2855             :     285238957U, // CGITAsmL
    2856             :     285233236U, // CGITAsmLE
    2857             :     285236625U, // CGITAsmLH
    2858             :     285233594U, // CGITAsmNE
    2859             :     285237023U, // CGITAsmNH
    2860             :     285232283U, // CGITAsmNHE
    2861             :     285238648U, // CGITAsmNL
    2862             :     285233122U, // CGITAsmNLE
    2863             :     285236482U, // CGITAsmNLH
    2864             :     33582980U,  // CGR
    2865             :     3391224909U,        // CGRB
    2866             :     1107314075U,        // CGRBAsm
    2867             :     1107315223U,        // CGRBAsmE
    2868             :     1107318945U,        // CGRBAsmH
    2869             :     1107315358U,        // CGRBAsmHE
    2870             :     1107321638U,        // CGRBAsmL
    2871             :     1107316194U,        // CGRBAsmLE
    2872             :     1107319499U,        // CGRBAsmLH
    2873             :     1107316933U,        // CGRBAsmNE
    2874             :     1107320369U,        // CGRBAsmNH
    2875             :     1107315607U,        // CGRBAsmNHE
    2876             :     1107321994U,        // CGRBAsmNL
    2877             :     1107316446U,        // CGRBAsmNLE
    2878             :     1107319799U,        // CGRBAsmNLH
    2879             :     169999576U, // CGRJ
    2880             :     1107321362U,        // CGRJAsm
    2881             :     1107316107U,        // CGRJAsmE
    2882             :     1107319425U,        // CGRJAsmH
    2883             :     1107315538U,        // CGRJAsmHE
    2884             :     1107321867U,        // CGRJAsmL
    2885             :     1107316371U,        // CGRJAsmLE
    2886             :     1107319702U,        // CGRJAsmLH
    2887             :     1107317096U,        // CGRJAsmNE
    2888             :     1107320525U,        // CGRJAsmNH
    2889             :     1107315782U,        // CGRJAsmNHE
    2890             :     1107322150U,        // CGRJAsmNL
    2891             :     1107316621U,        // CGRJAsmNLE
    2892             :     1107319974U,        // CGRJAsmNLH
    2893             :     268461539U, // CGRL
    2894             :     153222482U, // CGRT
    2895             :     1107326751U,        // CGRTAsm
    2896             :     33575524U,  // CGRTAsmE
    2897             :     33579023U,  // CGRTAsmH
    2898             :     33574188U,  // CGRTAsmHE
    2899             :     33580744U,  // CGRTAsmL
    2900             :     33575027U,  // CGRTAsmLE
    2901             :     33578416U,  // CGRTAsmLH
    2902             :     33575385U,  // CGRTAsmNE
    2903             :     33578814U,  // CGRTAsmNH
    2904             :     33574078U,  // CGRTAsmNHE
    2905             :     33580439U,  // CGRTAsmNL
    2906             :     33574917U,  // CGRTAsmNLE
    2907             :     33578277U,  // CGRTAsmNLH
    2908             :     218131853U, // CGXBR
    2909             :     218120460U, // CGXBRA
    2910             :     218133260U, // CGXR
    2911             :     218133136U, // CGXTR
    2912             :     218120560U, // CGXTRA
    2913             :     134240442U, // CH
    2914             :     134239092U, // CHF
    2915             :     33583117U,  // CHHR
    2916             :     67150279U,  // CHHSI
    2917             :     285237555U, // CHI
    2918             :     33583252U,  // CHLR
    2919             :     268461559U, // CHRL
    2920             :     67150250U,  // CHSI
    2921             :     134248604U, // CHY
    2922             :     305789995U, // CIB
    2923             :     1392526499U,        // CIBAsm
    2924             :     2466269685U,        // CIBAsmE
    2925             :     2466273402U,        // CIBAsmH
    2926             :     2466269815U,        // CIBAsmHE
    2927             :     2466276100U,        // CIBAsmL
    2928             :     2466270651U,        // CIBAsmLE
    2929             :     2466273956U,        // CIBAsmLH
    2930             :     2466271390U,        // CIBAsmNE
    2931             :     2466274826U,        // CIBAsmNH
    2932             :     2466270059U,        // CIBAsmNHE
    2933             :     2466276451U,        // CIBAsmNL
    2934             :     2466270898U,        // CIBAsmNLE
    2935             :     2466274251U,        // CIBAsmNLH
    2936             :     251681316U, // CIH
    2937             :     339344576U, // CIJ
    2938             :     1392534005U,        // CIJAsm
    2939             :     3540012393U,        // CIJAsmE
    2940             :     3540015711U,        // CIJAsmH
    2941             :     3540011819U,        // CIJAsmHE
    2942             :     3540018153U,        // CIJAsmL
    2943             :     3540012652U,        // CIJAsmLE
    2944             :     3540015983U,        // CIJAsmLH
    2945             :     3540013377U,        // CIJAsmNE
    2946             :     3540016806U,        // CIJAsmNH
    2947             :     3540012058U,        // CIJAsmNHE
    2948             :     3540018431U,        // CIJAsmNL
    2949             :     3540012897U,        // CIJAsmNLE
    2950             :     3540016250U,        // CIJAsmNLH
    2951             :     4324657U,   // CIT
    2952             :     1358984905U,        // CITAsm
    2953             :     285233717U, // CITAsmE
    2954             :     285237222U, // CITAsmH
    2955             :     285232381U, // CITAsmHE
    2956             :     285238943U, // CITAsmL
    2957             :     285233220U, // CITAsmLE
    2958             :     285236609U, // CITAsmLH
    2959             :     285233578U, // CITAsmNE
    2960             :     285237007U, // CITAsmNH
    2961             :     285232265U, // CITAsmNHE
    2962             :     285238632U, // CITAsmNL
    2963             :     285233104U, // CITAsmNLE
    2964             :     285236464U, // CITAsmNLH
    2965             :     33581145U,  // CKSM
    2966             :     134243134U, // CL
    2967             :     302041324U, // CLC
    2968             :     33579842U,  // CLCL
    2969             :     1107316219U,        // CLCLE
    2970             :     1107326888U,        // CLCLU
    2971             :     218131490U, // CLFDBR
    2972             :     218132876U, // CLFDTR
    2973             :     218131630U, // CLFEBR
    2974             :     352362928U, // CLFHSI
    2975             :     369123605U, // CLFI
    2976             :     4848949U,   // CLFIT
    2977             :     1459648206U,        // CLFITAsm
    2978             :     385897019U, // CLFITAsmE
    2979             :     385900524U, // CLFITAsmH
    2980             :     385895684U, // CLFITAsmHE
    2981             :     385902245U, // CLFITAsmL
    2982             :     385896523U, // CLFITAsmLE
    2983             :     385899912U, // CLFITAsmLH
    2984             :     385896881U, // CLFITAsmNE
    2985             :     385900310U, // CLFITAsmNH
    2986             :     385895569U, // CLFITAsmNHE
    2987             :     385901935U, // CLFITAsmNL
    2988             :     385896408U, // CLFITAsmNLE
    2989             :     385899768U, // CLFITAsmNLH
    2990             :     218131845U, // CLFXBR
    2991             :     218133128U, // CLFXTR
    2992             :     134239933U, // CLG
    2993             :     218131505U, // CLGDBR
    2994             :     218132891U, // CLGDTR
    2995             :     218131645U, // CLGEBR
    2996             :     134239018U, // CLGF
    2997             :     369123578U, // CLGFI
    2998             :     33582904U,  // CLGFR
    2999             :     268461521U, // CLGFRL
    3000             :     268461581U, // CLGHRL
    3001             :     352362943U, // CLGHSI
    3002             :     307362868U, // CLGIB
    3003             :     1476412597U,        // CLGIBAsm
    3004             :     2550155778U,        // CLGIBAsmE
    3005             :     2550159495U,        // CLGIBAsmH
    3006             :     2550155910U,        // CLGIBAsmHE
    3007             :     2550162193U,        // CLGIBAsmL
    3008             :     2550156746U,        // CLGIBAsmLE
    3009             :     2550160051U,        // CLGIBAsmLH
    3010             :     2550157485U,        // CLGIBAsmNE
    3011             :     2550160921U,        // CLGIBAsmNH
    3012             :     2550156156U,        // CLGIBAsmNHE
    3013             :     2550162546U,        // CLGIBAsmNL
    3014             :     2550156995U,        // CLGIBAsmNLE
    3015             :     2550160348U,        // CLGIBAsmNLH
    3016             :     340917449U, // CLGIJ
    3017             :     1476420096U,        // CLGIJAsm
    3018             :     3623898486U,        // CLGIJAsmE
    3019             :     3623901804U,        // CLGIJAsmH
    3020             :     3623897914U,        // CLGIJAsmHE
    3021             :     3623904246U,        // CLGIJAsmL
    3022             :     3623898747U,        // CLGIJAsmLE
    3023             :     3623902078U,        // CLGIJAsmLH
    3024             :     3623899472U,        // CLGIJAsmNE
    3025             :     3623902901U,        // CLGIJAsmNH
    3026             :     3623898155U,        // CLGIJAsmNHE
    3027             :     3623904526U,        // CLGIJAsmNL
    3028             :     3623898994U,        // CLGIJAsmNLE
    3029             :     3623902347U,        // CLGIJAsmNLH
    3030             :     4848960U,   // CLGIT
    3031             :     1459648219U,        // CLGITAsm
    3032             :     385897034U, // CLGITAsmE
    3033             :     385900539U, // CLGITAsmH
    3034             :     385895701U, // CLGITAsmHE
    3035             :     385902260U, // CLGITAsmL
    3036             :     385896540U, // CLGITAsmLE
    3037             :     385899929U, // CLGITAsmLH
    3038             :     385896898U, // CLGITAsmNE
    3039             :     385900327U, // CLGITAsmNH
    3040             :     385895588U, // CLGITAsmNHE
    3041             :     385901952U, // CLGITAsmNL
    3042             :     385896427U, // CLGITAsmNLE
    3043             :     385899787U, // CLGITAsmNLH
    3044             :     33583016U,  // CLGR
    3045             :     3391224914U,        // CLGRB
    3046             :     1107314081U,        // CLGRBAsm
    3047             :     1107315230U,        // CLGRBAsmE
    3048             :     1107318952U,        // CLGRBAsmH
    3049             :     1107315366U,        // CLGRBAsmHE
    3050             :     1107321645U,        // CLGRBAsmL
    3051             :     1107316202U,        // CLGRBAsmLE
    3052             :     1107319507U,        // CLGRBAsmLH
    3053             :     1107316941U,        // CLGRBAsmNE
    3054             :     1107320377U,        // CLGRBAsmNH
    3055             :     1107315616U,        // CLGRBAsmNHE
    3056             :     1107322002U,        // CLGRBAsmNL
    3057             :     1107316455U,        // CLGRBAsmNLE
    3058             :     1107319808U,        // CLGRBAsmNLH
    3059             :     169999581U, // CLGRJ
    3060             :     1107321368U,        // CLGRJAsm
    3061             :     1107316114U,        // CLGRJAsmE
    3062             :     1107319432U,        // CLGRJAsmH
    3063             :     1107315546U,        // CLGRJAsmHE
    3064             :     1107321874U,        // CLGRJAsmL
    3065             :     1107316379U,        // CLGRJAsmLE
    3066             :     1107319710U,        // CLGRJAsmLH
    3067             :     1107317104U,        // CLGRJAsmNE
    3068             :     1107320533U,        // CLGRJAsmNH
    3069             :     1107315791U,        // CLGRJAsmNHE
    3070             :     1107322158U,        // CLGRJAsmNL
    3071             :     1107316630U,        // CLGRJAsmNLE
    3072             :     1107319983U,        // CLGRJAsmNLH
    3073             :     268461545U, // CLGRL
    3074             :     153222487U, // CLGRT
    3075             :     1107326757U,        // CLGRTAsm
    3076             :     33575531U,  // CLGRTAsmE
    3077             :     33579030U,  // CLGRTAsmH
    3078             :     33574196U,  // CLGRTAsmHE
    3079             :     33580751U,  // CLGRTAsmL
    3080             :     33575035U,  // CLGRTAsmLE
    3081             :     33578424U,  // CLGRTAsmLH
    3082             :     33575393U,  // CLGRTAsmNE
    3083             :     33578822U,  // CLGRTAsmNH
    3084             :     33574087U,  // CLGRTAsmNHE
    3085             :     33580447U,  // CLGRTAsmNL
    3086             :     33574926U,  // CLGRTAsmNLE
    3087             :     33578286U,  // CLGRTAsmNLH
    3088             :     146732U,    // CLGT
    3089             :     1493202621U,        // CLGTAsm
    3090             :     436228654U, // CLGTAsmE
    3091             :     436232159U, // CLGTAsmH
    3092             :     436227317U, // CLGTAsmHE
    3093             :     436233880U, // CLGTAsmL
    3094             :     436228156U, // CLGTAsmLE
    3095             :     436231545U, // CLGTAsmLH
    3096             :     436228514U, // CLGTAsmNE
    3097             :     436231943U, // CLGTAsmNH
    3098             :     436227200U, // CLGTAsmNHE
    3099             :     436233568U, // CLGTAsmNL
    3100             :     436228039U, // CLGTAsmNLE
    3101             :     436231399U, // CLGTAsmNLH
    3102             :     218131860U, // CLGXBR
    3103             :     218133143U, // CLGXTR
    3104             :     134239136U, // CLHF
    3105             :     33583153U,  // CLHHR
    3106             :     352362958U, // CLHHSI
    3107             :     33583288U,  // CLHLR
    3108             :     268461597U, // CLHRL
    3109             :     453026168U, // CLI
    3110             :     307362874U, // CLIB
    3111             :     1476412604U,        // CLIBAsm
    3112             :     2550155786U,        // CLIBAsmE
    3113             :     2550159503U,        // CLIBAsmH
    3114             :     2550155919U,        // CLIBAsmHE
    3115             :     2550162201U,        // CLIBAsmL
    3116             :     2550156755U,        // CLIBAsmLE
    3117             :     2550160060U,        // CLIBAsmLH
    3118             :     2550157494U,        // CLIBAsmNE
    3119             :     2550160930U,        // CLIBAsmNH
    3120             :     2550156166U,        // CLIBAsmNHE
    3121             :     2550162555U,        // CLIBAsmNL
    3122             :     2550157005U,        // CLIBAsmNLE
    3123             :     2550160358U,        // CLIBAsmNLH
    3124             :     369121866U, // CLIH
    3125             :     340917455U, // CLIJ
    3126             :     1476420103U,        // CLIJAsm
    3127             :     3623898494U,        // CLIJAsmE
    3128             :     3623901812U,        // CLIJAsmH
    3129             :     3623897923U,        // CLIJAsmHE
    3130             :     3623904254U,        // CLIJAsmL
    3131             :     3623898756U,        // CLIJAsmLE
    3132             :     3623902087U,        // CLIJAsmLH
    3133             :     3623899481U,        // CLIJAsmNE
    3134             :     3623902910U,        // CLIJAsmNH
    3135             :     3623898165U,        // CLIJAsmNHE
    3136             :     3623904535U,        // CLIJAsmNL
    3137             :     3623899004U,        // CLIJAsmNLE
    3138             :     3623902357U,        // CLIJAsmNLH
    3139             :     453032118U, // CLIY
    3140             :     2365613969U,        // CLM
    3141             :     2365611506U,        // CLMH
    3142             :     2365618426U,        // CLMY
    3143             :     33583236U,  // CLR
    3144             :     3391224920U,        // CLRB
    3145             :     1107314088U,        // CLRBAsm
    3146             :     1107315238U,        // CLRBAsmE
    3147             :     1107318960U,        // CLRBAsmH
    3148             :     1107315375U,        // CLRBAsmHE
    3149             :     1107321653U,        // CLRBAsmL
    3150             :     1107316211U,        // CLRBAsmLE
    3151             :     1107319516U,        // CLRBAsmLH
    3152             :     1107316950U,        // CLRBAsmNE
    3153             :     1107320386U,        // CLRBAsmNH
    3154             :     1107315626U,        // CLRBAsmNHE
    3155             :     1107322011U,        // CLRBAsmNL
    3156             :     1107316465U,        // CLRBAsmNLE
    3157             :     1107319818U,        // CLRBAsmNLH
    3158             :     169999587U, // CLRJ
    3159             :     1107321375U,        // CLRJAsm
    3160             :     1107316122U,        // CLRJAsmE
    3161             :     1107319440U,        // CLRJAsmH
    3162             :     1107315555U,        // CLRJAsmHE
    3163             :     1107321882U,        // CLRJAsmL
    3164             :     1107316388U,        // CLRJAsmLE
    3165             :     1107319719U,        // CLRJAsmLH
    3166             :     1107317113U,        // CLRJAsmNE
    3167             :     1107320542U,        // CLRJAsmNH
    3168             :     1107315801U,        // CLRJAsmNHE
    3169             :     1107322167U,        // CLRJAsmNL
    3170             :     1107316640U,        // CLRJAsmNLE
    3171             :     1107319993U,        // CLRJAsmNLH
    3172             :     268461618U, // CLRL
    3173             :     153222493U, // CLRT
    3174             :     1107326764U,        // CLRTAsm
    3175             :     33575539U,  // CLRTAsmE
    3176             :     33579038U,  // CLRTAsmH
    3177             :     33574205U,  // CLRTAsmHE
    3178             :     33580759U,  // CLRTAsmL
    3179             :     33575044U,  // CLRTAsmLE
    3180             :     33578433U,  // CLRTAsmLH
    3181             :     33575402U,  // CLRTAsmNE
    3182             :     33578831U,  // CLRTAsmNH
    3183             :     33574097U,  // CLRTAsmNHE
    3184             :     33580456U,  // CLRTAsmNL
    3185             :     33574936U,  // CLRTAsmNLE
    3186             :     33578296U,  // CLRTAsmNLH
    3187             :     33584959U,  // CLST
    3188             :     146758U,    // CLT
    3189             :     1493202658U,        // CLTAsm
    3190             :     436228690U, // CLTAsmE
    3191             :     436232195U, // CLTAsmH
    3192             :     436227358U, // CLTAsmHE
    3193             :     436233916U, // CLTAsmL
    3194             :     436228197U, // CLTAsmLE
    3195             :     436231586U, // CLTAsmLH
    3196             :     436228555U, // CLTAsmNE
    3197             :     436231984U, // CLTAsmNH
    3198             :     436227246U, // CLTAsmNHE
    3199             :     436233609U, // CLTAsmNL
    3200             :     436228085U, // CLTAsmNLE
    3201             :     436231445U, // CLTAsmNLH
    3202             :     134248662U, // CLY
    3203             :     33573205U,  // CMPSC
    3204             :     117500452U, // CP
    3205             :     234911389U, // CPDT
    3206             :     1090547289U,        // CPSDRdd
    3207             :     1090547289U,        // CPSDRds
    3208             :     1090547289U,        // CPSDRsd
    3209             :     1090547289U,        // CPSDRss
    3210             :     234911608U, // CPXT
    3211             :     33571240U,  // CPYA
    3212             :     33582546U,  // CR
    3213             :     3391224905U,        // CRB
    3214             :     1107314070U,        // CRBAsm
    3215             :     1107315217U,        // CRBAsmE
    3216             :     1107318939U,        // CRBAsmH
    3217             :     1107315351U,        // CRBAsmHE
    3218             :     1107321632U,        // CRBAsmL
    3219             :     1107316187U,        // CRBAsmLE
    3220             :     1107319492U,        // CRBAsmLH
    3221             :     1107316926U,        // CRBAsmNE
    3222             :     1107320362U,        // CRBAsmNH
    3223             :     1107315599U,        // CRBAsmNHE
    3224             :     1107321987U,        // CRBAsmNL
    3225             :     1107316438U,        // CRBAsmNLE
    3226             :     1107319791U,        // CRBAsmNLH
    3227             :     1090540071U,        // CRDTE
    3228             :     1090540071U,        // CRDTEOpt
    3229             :     169999572U, // CRJ
    3230             :     1107321357U,        // CRJAsm
    3231             :     1107316101U,        // CRJAsmE
    3232             :     1107319419U,        // CRJAsmH
    3233             :     1107315531U,        // CRJAsmHE
    3234             :     1107321861U,        // CRJAsmL
    3235             :     1107316364U,        // CRJAsmLE
    3236             :     1107319695U,        // CRJAsmLH
    3237             :     1107317089U,        // CRJAsmNE
    3238             :     1107320518U,        // CRJAsmNH
    3239             :     1107315774U,        // CRJAsmNHE
    3240             :     1107322143U,        // CRJAsmNL
    3241             :     1107316613U,        // CRJAsmNLE
    3242             :     1107319966U,        // CRJAsmNLH
    3243             :     268461502U, // CRL
    3244             :     153222478U, // CRT
    3245             :     1107326746U,        // CRTAsm
    3246             :     33575518U,  // CRTAsmE
    3247             :     33579017U,  // CRTAsmH
    3248             :     33574181U,  // CRTAsmHE
    3249             :     33580738U,  // CRTAsmL
    3250             :     33575020U,  // CRTAsmLE
    3251             :     33578409U,  // CRTAsmLH
    3252             :     33575378U,  // CRTAsmNE
    3253             :     33578807U,  // CRTAsmNH
    3254             :     33574070U,  // CRTAsmNHE
    3255             :     33580432U,  // CRTAsmNL
    3256             :     33574909U,  // CRTAsmNLE
    3257             :     33578269U,  // CRTAsmNLH
    3258             :     1090548974U,        // CS
    3259             :     15496U,     // CSCH
    3260             :     1107325373U,        // CSDTR
    3261             :     1090541471U,        // CSG
    3262             :     16804730U,  // CSP
    3263             :     16799602U,  // CSPG
    3264             :     1543550795U,        // CSST
    3265             :     1107325625U,        // CSXTR
    3266             :     1090550030U,        // CSY
    3267             :     1107312653U,        // CU12
    3268             :     33570829U,  // CU12Opt
    3269             :     1107312665U,        // CU14
    3270             :     33570841U,  // CU14Opt
    3271             :     1107312641U,        // CU21
    3272             :     33570817U,  // CU21Opt
    3273             :     1107312671U,        // CU24
    3274             :     33570847U,  // CU24Opt
    3275             :     33570823U,  // CU41
    3276             :     33570835U,  // CU42
    3277             :     33583570U,  // CUDTR
    3278             :     33575451U,  // CUSE
    3279             :     1107326874U,        // CUTFU
    3280             :     33585050U,  // CUTFUOpt
    3281             :     1107318025U,        // CUUTF
    3282             :     33576201U,  // CUUTFOpt
    3283             :     33583822U,  // CUXTR
    3284             :     18258U,     // CVB
    3285             :     21980U,     // CVBG
    3286             :     30815U,     // CVBY
    3287             :     134236629U, // CVD
    3288             :     134239772U, // CVDG
    3289             :     134248571U, // CVDY
    3290             :     33582442U,  // CXBR
    3291             :     33582377U,  // CXFBR
    3292             :     218120404U, // CXFBRA
    3293             :     33582960U,  // CXFR
    3294             :     218132990U, // CXFTR
    3295             :     33582422U,  // CXGBR
    3296             :     218120428U, // CXGBRA
    3297             :     33583091U,  // CXGR
    3298             :     33583651U,  // CXGTR
    3299             :     218120538U, // CXGTRA
    3300             :     218131745U, // CXLFBR
    3301             :     218132982U, // CXLFTR
    3302             :     218131790U, // CXLGBR
    3303             :     218133019U, // CXLGTR
    3304             :     234911508U, // CXPT
    3305             :     33583861U,  // CXR
    3306             :     33583683U,  // CXSTR
    3307             :     33583711U,  // CXTR
    3308             :     33583697U,  // CXUTR
    3309             :     234911632U, // CXZT
    3310             :     134248550U, // CY
    3311             :     234911401U, // CZDT
    3312             :     234911620U, // CZXT
    3313             :     18801U,     // D
    3314             :     18808U,     // DD
    3315             :     16960U,     // DDB
    3316             :     16804878U,  // DDBR
    3317             :     16805377U,  // DDR
    3318             :     1107325283U,        // DDTR
    3319             :     1107312949U,        // DDTRA
    3320             :     19023U,     // DE
    3321             :     17360U,     // DEB
    3322             :     16805011U,  // DEBR
    3323             :     16805515U,  // DER
    3324             :     1107318148U,        // DIAG
    3325             :     1107323961U,        // DIDBR
    3326             :     1107324101U,        // DIEBR
    3327             :     25443U,     // DL
    3328             :     22212U,     // DLG
    3329             :     16805806U,  // DLGR
    3330             :     16806025U,  // DLR
    3331             :     117500472U, // DP
    3332             :     16805361U,  // DR
    3333             :     22437U,     // DSG
    3334             :     21324U,     // DSGF
    3335             :     16805723U,  // DSGFR
    3336             :     16805843U,  // DSGR
    3337             :     16805233U,  // DXBR
    3338             :     16806651U,  // DXR
    3339             :     1107325542U,        // DXTR
    3340             :     1107313001U,        // DXTRA
    3341             :     33582052U,  // EAR
    3342             :     1107318142U,        // ECAG
    3343             :     33583411U,  // ECCTR
    3344             :     33570901U,  // ECPGA
    3345             :     1543542737U,        // ECTG
    3346             :     302041470U, // ED
    3347             :     302047870U, // EDMK
    3348             :     33583472U,  // EEDTR
    3349             :     33583731U,  // EEXTR
    3350             :     3164446U,   // EFPC
    3351             :     3174494U,   // EPAIR
    3352             :     3173353U,   // EPAR
    3353             :     33583432U,  // EPCTR
    3354             :     33585171U,  // EPSW
    3355             :     33576508U,  // EREG
    3356             :     33576527U,  // EREGG
    3357             :     3174501U,   // ESAIR
    3358             :     3173359U,   // ESAR
    3359             :     33583556U,  // ESDTR
    3360             :     3162173U,   // ESEA
    3361             :     33571224U,  // ESTA
    3362             :     33583808U,  // ESXTR
    3363             :     3164588U,   // ETND
    3364             :     134248491U, // EX
    3365             :     268461656U, // EXRL
    3366             :     218131520U, // FIDBR
    3367             :     218120356U, // FIDBRA
    3368             :     33582635U,  // FIDR
    3369             :     218132899U, // FIDTR
    3370             :     218131660U, // FIEBR
    3371             :     218120380U, // FIEBRA
    3372             :     33582779U,  // FIER
    3373             :     218131868U, // FIXBR
    3374             :     218120468U, // FIXBRA
    3375             :     33583890U,  // FIXR
    3376             :     218133151U, // FIXTR
    3377             :     33583046U,  // FLOGR
    3378             :     33582630U,  // HDR
    3379             :     33582767U,  // HER
    3380             :     15501U,     // HSCH
    3381             :     3164293U,   // IAC
    3382             :     18631U,     // IC
    3383             :     18631U,     // IC32
    3384             :     30821U,     // IC32Y
    3385             :     486565654U, // ICM
    3386             :     486563280U, // ICMH
    3387             :     486570221U, // ICMY
    3388             :     30821U,     // ICY
    3389             :     1090540065U,        // IDTE
    3390             :     1090540065U,        // IDTEOpt
    3391             :     1090548087U,        // IEDTR
    3392             :     1090548346U,        // IEXTR
    3393             :     369120121U, // IIHF
    3394             :     352344513U, // IIHH
    3395             :     352347051U, // IIHL
    3396             :     369120266U, // IILF
    3397             :     352344918U, // IILH
    3398             :     352347175U, // IILL
    3399             :     15592U,     // IPK
    3400             :     3172386U,   // IPM
    3401             :     1107317336U,        // IPTE
    3402             :     1107317336U,        // IPTEOpt
    3403             :     33575512U,  // IPTEOptOpt
    3404             :     33580810U,  // IRBM
    3405             :     16797096U,  // ISKE
    3406             :     16802541U,  // IVSK
    3407             :     3308094U,   // InsnE
    3408             :     1579334303U,        // InsnRI
    3409             :     505608775U, // InsnRIE
    3410             :     3726834366U,        // InsnRIL
    3411             :     2653092625U,        // InsnRILU
    3412             :     3726834407U,        // InsnRIS
    3413             :     5929684U,   // InsnRR
    3414             :     505592402U, // InsnRRE
    3415             :     505592446U, // InsnRRF
    3416             :     505608956U, // InsnRRS
    3417             :     505592562U, // InsnRS
    3418             :     505608797U, // InsnRSE
    3419             :     505608883U, // InsnRSI
    3420             :     505609010U, // InsnRSY
    3421             :     2653076253U,        // InsnRX
    3422             :     2653092467U,        // InsnRXE
    3423             :     505608852U, // InsnRXF
    3424             :     2653092669U,        // InsnRXY
    3425             :     157465310U, // InsnS
    3426             :     509786793U, // InsnSI
    3427             :     1583545033U,        // InsnSIL
    3428             :     2657286951U,        // InsnSIY
    3429             :     7011079U,   // InsnSS
    3430             :     3731028584U,        // InsnSSE
    3431             :     3731028617U,        // InsnSSF
    3432             :     205303U,    // J
    3433             :     200043U,    // JAsmE
    3434             :     203361U,    // JAsmH
    3435             :     199469U,    // JAsmHE
    3436             :     205803U,    // JAsmL
    3437             :     200302U,    // JAsmLE
    3438             :     203633U,    // JAsmLH
    3439             :     206729U,    // JAsmM
    3440             :     201027U,    // JAsmNE
    3441             :     204456U,    // JAsmNH
    3442             :     199708U,    // JAsmNHE
    3443             :     206081U,    // JAsmNL
    3444             :     200547U,    // JAsmNLE
    3445             :     203900U,    // JAsmNLH
    3446             :     206844U,    // JAsmNM
    3447             :     207270U,    // JAsmNO
    3448             :     207642U,    // JAsmNP
    3449             :     211451U,    // JAsmNZ
    3450             :     207141U,    // JAsmO
    3451             :     207531U,    // JAsmP
    3452             :     211331U,    // JAsmZ
    3453             :     202402U,    // JG
    3454             :     199282U,    // JGAsmE
    3455             :     203133U,    // JGAsmH
    3456             :     199407U,    // JGAsmHE
    3457             :     205704U,    // JGAsmL
    3458             :     200240U,    // JGAsmLE
    3459             :     203531U,    // JGAsmLH
    3460             :     206664U,    // JGAsmM
    3461             :     200965U,    // JGAsmNE
    3462             :     204394U,    // JGAsmNH
    3463             :     199639U,    // JGAsmNHE
    3464             :     206019U,    // JGAsmNL
    3465             :     200478U,    // JGAsmNLE
    3466             :     203831U,    // JGAsmNLH
    3467             :     206784U,    // JGAsmNM
    3468             :     207210U,    // JGAsmNO
    3469             :     207582U,    // JGAsmNP
    3470             :     211391U,    // JGAsmNZ
    3471             :     207088U,    // JGAsmO
    3472             :     207465U,    // JGAsmP
    3473             :     211278U,    // JGAsmZ
    3474             :     134234878U, // KDB
    3475             :     33582151U,  // KDBR
    3476             :     33583530U,  // KDTR
    3477             :     134235119U, // KEB
    3478             :     33582291U,  // KEBR
    3479             :     3361184U,   // KIMD
    3480             :     3361190U,   // KLMD
    3481             :     33580941U,  // KM
    3482             :     1090535547U,        // KMA
    3483             :     3360906U,   // KMAC
    3484             :     33573115U,  // KMC
    3485             :     1090548033U,        // KMCTR
    3486             :     33576078U,  // KMF
    3487             :     33581371U,  // KMO
    3488             :     33582499U,  // KXBR
    3489             :     33583782U,  // KXTR
    3490             :     134243062U, // L
    3491             :     134234218U, // LA
    3492             :     1107312684U,        // LAA
    3493             :     1107318136U,        // LAAG
    3494             :     1107321587U,        // LAAL
    3495             :     1107318444U,        // LAALG
    3496             :     134236650U, // LAE
    3497             :     134248577U, // LAEY
    3498             :     1107322617U,        // LAM
    3499             :     1107327200U,        // LAMY
    3500             :     1107323013U,        // LAN
    3501             :     1107318603U,        // LANG
    3502             :     1107323077U,        // LAO
    3503             :     1107318615U,        // LAOG
    3504             :     268461494U, // LARL
    3505             :     469805940U, // LASP
    3506             :     134248041U, // LAT
    3507             :     1107327007U,        // LAX
    3508             :     1107318845U,        // LAXG
    3509             :     134248527U, // LAY
    3510             :     134235341U, // LB
    3511             :     134240406U, // LBH
    3512             :     33582430U,  // LBR
    3513             :     1207976394U,        // LCBB
    3514             :     3188342U,   // LCCTL
    3515             :     33582087U,  // LCDBR
    3516             :     33582857U,  // LCDFR
    3517             :     33582857U,  // LCDFR_32
    3518             :     33582587U,  // LCDR
    3519             :     33582219U,  // LCEBR
    3520             :     33582723U,  // LCER
    3521             :     33582890U,  // LCGFR
    3522             :     33582979U,  // LCGR
    3523             :     33582558U,  // LCR
    3524             :     1107322493U,        // LCTL
    3525             :     1107318543U,        // LCTLG
    3526             :     33582441U,  // LCXBR
    3527             :     33583860U,  // LCXR
    3528             :     134236572U, // LD
    3529             :     134236750U, // LDE
    3530             :     134236750U, // LDE32
    3531             :     134235087U, // LDEB
    3532             :     33582226U,  // LDEBR
    3533             :     33582736U,  // LDER
    3534             :     1107325408U,        // LDETR
    3535             :     33582998U,  // LDGR
    3536             :     33582641U,  // LDR
    3537             :     33582641U,  // LDR32
    3538             :     33582448U,  // LDXBR
    3539             :     218120436U, // LDXBRA
    3540             :     33583866U,  // LDXR
    3541             :     218133093U, // LDXTR
    3542             :     134248560U, // LDY
    3543             :     134237623U, // LE
    3544             :     33582100U,  // LEDBR
    3545             :     218120332U, // LEDBRA
    3546             :     33582605U,  // LEDR
    3547             :     218132862U, // LEDTR
    3548             :     33582786U,  // LER
    3549             :     33582455U,  // LEXBR
    3550             :     218120444U, // LEXBRA
    3551             :     33583872U,  // LEXR
    3552             :     134248583U, // LEY
    3553             :     3191648U,   // LFAS
    3554             :     134240599U, // LFH
    3555             :     134248034U, // LFHAT
    3556             :     3180836U,   // LFPC
    3557             :     134239919U, // LG
    3558             :     134248028U, // LGAT
    3559             :     134235208U, // LGB
    3560             :     33582400U,  // LGBR
    3561             :     33582623U,  // LGDR
    3562             :     134239013U, // LGF
    3563             :     251683060U, // LGFI
    3564             :     33582898U,  // LGFR
    3565             :     268461522U, // LGFRL
    3566             :     134239830U, // LGG
    3567             :     134240643U, // LGH
    3568             :     285237574U, // LGHI
    3569             :     33583111U,  // LGHR
    3570             :     268461582U, // LGHRL
    3571             :     33583011U,  // LGR
    3572             :     268461546U, // LGRL
    3573             :     134236483U, // LGSC
    3574             :     134240928U, // LH
    3575             :     134240733U, // LHH
    3576             :     285237608U, // LHI
    3577             :     33583161U,  // LHR
    3578             :     268461598U, // LHRL
    3579             :     134248609U, // LHY
    3580             :     134236401U, // LLC
    3581             :     134240458U, // LLCH
    3582             :     33582563U,  // LLCR
    3583             :     134236346U, // LLGC
    3584             :     33582550U,  // LLGCR
    3585             :     134239024U, // LLGF
    3586             :     134248020U, // LLGFAT
    3587             :     33582911U,  // LLGFR
    3588             :     268461529U, // LLGFRL
    3589             :     134240170U, // LLGFSG
    3590             :     134240642U, // LLGH
    3591             :     33583110U,  // LLGHR
    3592             :     268461589U, // LLGHRL
    3593             :     134248131U, // LLGT
    3594             :     134248046U, // LLGTAT
    3595             :     33583636U,  // LLGTR
    3596             :     134241202U, // LLH
    3597             :     134240738U, // LLHH
    3598             :     33583166U,  // LLHR
    3599             :     268461604U, // LLHRL
    3600             :     369120127U, // LLIHF
    3601             :     385898951U, // LLIHH
    3602             :     385901489U, // LLIHL
    3603             :     369120272U, // LLILF
    3604             :     385899356U, // LLILH
    3605             :     385901613U, // LLILL
    3606             :     134239044U, // LLZRGF
    3607             :     1107322770U,        // LM
    3608             :     1107315111U,        // LMD
    3609             :     1107318585U,        // LMG
    3610             :     1107320307U,        // LMH
    3611             :     1107327227U,        // LMY
    3612             :     33582163U,  // LNDBR
    3613             :     33582864U,  // LNDFR
    3614             :     33582864U,  // LNDFR_32
    3615             :     33582651U,  // LNDR
    3616             :     33582297U,  // LNEBR
    3617             :     33582809U,  // LNER
    3618             :     33582925U,  // LNGFR
    3619             :     33583040U,  // LNGR
    3620             :     33583355U,  // LNR
    3621             :     33582511U,  // LNXBR
    3622             :     33583906U,  // LNXR
    3623             :     244833U,    // LOC
    3624             :     1543522574U,        // LOCAsm
    3625             :     469781056U, // LOCAsmE
    3626             :     469784790U, // LOCAsmH
    3627             :     469781182U, // LOCAsmHE
    3628             :     469787464U, // LOCAsmL
    3629             :     469782018U, // LOCAsmLE
    3630             :     469785323U, // LOCAsmLH
    3631             :     469788443U, // LOCAsmM
    3632             :     469782750U, // LOCAsmNE
    3633             :     469786186U, // LOCAsmNH
    3634             :     469781427U, // LOCAsmNHE
    3635             :     469787811U, // LOCAsmNL
    3636             :     469782266U, // LOCAsmNLE
    3637             :     469785619U, // LOCAsmNLH
    3638             :     469788576U, // LOCAsmNM
    3639             :     469789002U, // LOCAsmNO
    3640             :     469789374U, // LOCAsmNP
    3641             :     469793183U, // LOCAsmNZ
    3642             :     469788884U, // LOCAsmO
    3643             :     469789218U, // LOCAsmP
    3644             :     469793067U, // LOCAsmZ
    3645             :     244892U,    // LOCFH
    3646             :     1543526728U,        // LOCFHAsm
    3647             :     469781197U, // LOCFHAsmE
    3648             :     469785008U, // LOCFHAsmH
    3649             :     469781237U, // LOCFHAsmHE
    3650             :     469787546U, // LOCFHAsmL
    3651             :     469782070U, // LOCFHAsmLE
    3652             :     469785376U, // LOCFHAsmLH
    3653             :     469788498U, // LOCFHAsmM
    3654             :     469782795U, // LOCFHAsmNE
    3655             :     469786224U, // LOCFHAsmNH
    3656             :     469781470U, // LOCFHAsmNHE
    3657             :     469787849U, // LOCFHAsmNL
    3658             :     469782309U, // LOCFHAsmNLE
    3659             :     469785662U, // LOCFHAsmNLH
    3660             :     469788614U, // LOCFHAsmNM
    3661             :     469789040U, // LOCFHAsmNO
    3662             :     469789412U, // LOCFHAsmNP
    3663             :     469793221U, // LOCFHAsmNZ
    3664             :     469788917U, // LOCFHAsmO
    3665             :     469789301U, // LOCFHAsmP
    3666             :     469793107U, // LOCFHAsmZ
    3667             :     7601442U,   // LOCFHR
    3668             :     1090547710U,        // LOCFHRAsm
    3669             :     16798214U,  // LOCFHRAsmE
    3670             :     16801700U,  // LOCFHRAsmH
    3671             :     16796907U,  // LOCFHRAsmHE
    3672             :     16803325U,  // LOCFHRAsmL
    3673             :     16797746U,  // LOCFHRAsmLE
    3674             :     16801113U,  // LOCFHRAsmLH
    3675             :     16803914U,  // LOCFHRAsmM
    3676             :     16798104U,  // LOCFHRAsmNE
    3677             :     16801533U,  // LOCFHRAsmNH
    3678             :     16796789U,  // LOCFHRAsmNHE
    3679             :     16803158U,  // LOCFHRAsmNL
    3680             :     16797628U,  // LOCFHRAsmNLE
    3681             :     16800988U,  // LOCFHRAsmNLH
    3682             :     16803858U,  // LOCFHRAsmNM
    3683             :     16804290U,  // LOCFHRAsmNO
    3684             :     16804656U,  // LOCFHRAsmNP
    3685             :     16808465U,  // LOCFHRAsmNZ
    3686             :     16804339U,  // LOCFHRAsmO
    3687             :     16804698U,  // LOCFHRAsmP
    3688             :     16808490U,  // LOCFHRAsmZ
    3689             :     244858U,    // LOCG
    3690             :     1543525890U,        // LOCGAsm
    3691             :     469781091U, // LOCGAsmE
    3692             :     469784935U, // LOCGAsmH
    3693             :     469781214U, // LOCGAsmHE
    3694             :     469787513U, // LOCGAsmL
    3695             :     469782047U, // LOCGAsmLE
    3696             :     469785338U, // LOCGAsmLH
    3697             :     469788473U, // LOCGAsmM
    3698             :     469782772U, // LOCGAsmNE
    3699             :     469786201U, // LOCGAsmNH
    3700             :     469781444U, // LOCGAsmNHE
    3701             :     469787826U, // LOCGAsmNL
    3702             :     469782283U, // LOCGAsmNLE
    3703             :     469785636U, // LOCGAsmNLH
    3704             :     469788591U, // LOCGAsmNM
    3705             :     469789017U, // LOCGAsmNO
    3706             :     469789389U, // LOCGAsmNP
    3707             :     469793198U, // LOCGAsmNZ
    3708             :     469788897U, // LOCGAsmO
    3709             :     469789268U, // LOCGAsmP
    3710             :     469793087U, // LOCGAsmZ
    3711             :     8125618U,   // LOCGHI
    3712             :     1140875582U,        // LOCGHIAsm
    3713             :     67128658U,  // LOCGHIAsmE
    3714             :     67131960U,  // LOCGHIAsmH
    3715             :     67128087U,  // LOCGHIAsmHE
    3716             :     67134423U,  // LOCGHIAsmL
    3717             :     67128920U,  // LOCGHIAsmLE
    3718             :     67132226U,  // LOCGHIAsmLH
    3719             :     67135344U,  // LOCGHIAsmM
    3720             :     67129645U,  // LOCGHIAsmNE
    3721             :     67133074U,  // LOCGHIAsmNH
    3722             :     67128324U,  // LOCGHIAsmNHE
    3723             :     67134699U,  // LOCGHIAsmNL
    3724             :     67129163U,  // LOCGHIAsmNLE
    3725             :     67132516U,  // LOCGHIAsmNLH
    3726             :     67135464U,  // LOCGHIAsmNM
    3727             :     67135890U,  // LOCGHIAsmNO
    3728             :     67136262U,  // LOCGHIAsmNP
    3729             :     67140071U,  // LOCGHIAsmNZ
    3730             :     67135763U,  // LOCGHIAsmO
    3731             :     67136147U,  // LOCGHIAsmP
    3732             :     67139953U,  // LOCGHIAsmZ
    3733             :     7601436U,   // LOCGR
    3734             :     1090547593U,        // LOCGRAsm
    3735             :     16798206U,  // LOCGRAsmE
    3736             :     16801692U,  // LOCGRAsmH
    3737             :     16796898U,  // LOCGRAsmHE
    3738             :     16803297U,  // LOCGRAsmL
    3739             :     16797737U,  // LOCGRAsmLE
    3740             :     16801104U,  // LOCGRAsmLH
    3741             :     16803906U,  // LOCGRAsmM
    3742             :     16798095U,  // LOCGRAsmNE
    3743             :     16801524U,  // LOCGRAsmNH
    3744             :     16796779U,  // LOCGRAsmNHE
    3745             :     16803149U,  // LOCGRAsmNL
    3746             :     16797618U,  // LOCGRAsmNLE
    3747             :     16800978U,  // LOCGRAsmNLH
    3748             :     16803849U,  // LOCGRAsmNM
    3749             :     16804281U,  // LOCGRAsmNO
    3750             :     16804647U,  // LOCGRAsmNP
    3751             :     16808456U,  // LOCGRAsmNZ
    3752             :     16804331U,  // LOCGRAsmO
    3753             :     16804690U,  // LOCGRAsmP
    3754             :     16808482U,  // LOCGRAsmZ
    3755             :     8125625U,   // LOCHHI
    3756             :     1140875609U,        // LOCHHIAsm
    3757             :     67128667U,  // LOCHHIAsmE
    3758             :     67131969U,  // LOCHHIAsmH
    3759             :     67128097U,  // LOCHHIAsmHE
    3760             :     67134432U,  // LOCHHIAsmL
    3761             :     67128930U,  // LOCHHIAsmLE
    3762             :     67132236U,  // LOCHHIAsmLH
    3763             :     67135353U,  // LOCHHIAsmM
    3764             :     67129655U,  // LOCHHIAsmNE
    3765             :     67133084U,  // LOCHHIAsmNH
    3766             :     67128335U,  // LOCHHIAsmNHE
    3767             :     67134709U,  // LOCHHIAsmNL
    3768             :     67129174U,  // LOCHHIAsmNLE
    3769             :     67132527U,  // LOCHHIAsmNLH
    3770             :     67135474U,  // LOCHHIAsmNM
    3771             :     67135900U,  // LOCHHIAsmNO
    3772             :     67136272U,  // LOCHHIAsmNP
    3773             :     67140081U,  // LOCHHIAsmNZ
    3774             :     67135772U,  // LOCHHIAsmO
    3775             :     67136156U,  // LOCHHIAsmP
    3776             :     67139962U,  // LOCHHIAsmZ
    3777             :     8125612U,   // LOCHI
    3778             :     1140875569U,        // LOCHIAsm
    3779             :     67128650U,  // LOCHIAsmE
    3780             :     67131952U,  // LOCHIAsmH
    3781             :     67128078U,  // LOCHIAsmHE
    3782             :     67134415U,  // LOCHIAsmL
    3783             :     67128911U,  // LOCHIAsmLE
    3784             :     67132217U,  // LOCHIAsmLH
    3785             :     67135336U,  // LOCHIAsmM
    3786             :     67129636U,  // LOCHIAsmNE
    3787             :     67133065U,  // LOCHIAsmNH
    3788             :     67128314U,  // LOCHIAsmNHE
    3789             :     67134690U,  // LOCHIAsmNL
    3790             :     67129153U,  // LOCHIAsmNLE
    3791             :     67132506U,  // LOCHIAsmNLH
    3792             :     67135455U,  // LOCHIAsmNM
    3793             :     67135881U,  // LOCHIAsmNO
    3794             :     67136253U,  // LOCHIAsmNP
    3795             :     67140062U,  // LOCHIAsmNZ
    3796             :     67135755U,  // LOCHIAsmO
    3797             :     67136139U,  // LOCHIAsmP
    3798             :     67139945U,  // LOCHIAsmZ
    3799             :     7601431U,   // LOCR
    3800             :     1090547177U,        // LOCRAsm
    3801             :     16798199U,  // LOCRAsmE
    3802             :     16801685U,  // LOCRAsmH
    3803             :     16796890U,  // LOCRAsmHE
    3804             :     16803260U,  // LOCRAsmL
    3805             :     16797729U,  // LOCRAsmLE
    3806             :     16801096U,  // LOCRAsmLH
    3807             :     16803884U,  // LOCRAsmM
    3808             :     16798087U,  // LOCRAsmNE
    3809             :     16801516U,  // LOCRAsmNH
    3810             :     16796770U,  // LOCRAsmNHE
    3811             :     16803141U,  // LOCRAsmNL
    3812             :     16797609U,  // LOCRAsmNLE
    3813             :     16800969U,  // LOCRAsmNLH
    3814             :     16803841U,  // LOCRAsmNM
    3815             :     16804273U,  // LOCRAsmNO
    3816             :     16804639U,  // LOCRAsmNP
    3817             :     16808448U,  // LOCRAsmNZ
    3818             :     16804317U,  // LOCRAsmO
    3819             :     16804683U,  // LOCRAsmP
    3820             :     16808475U,  // LOCRAsmZ
    3821             :     3188355U,   // LPCTL
    3822             :     1509968306U,        // LPD
    3823             :     33582170U,  // LPDBR
    3824             :     33582871U,  // LPDFR
    3825             :     33582871U,  // LPDFR_32
    3826             :     1509971477U,        // LPDG
    3827             :     33582657U,  // LPDR
    3828             :     33582304U,  // LPEBR
    3829             :     33582815U,  // LPER
    3830             :     33582932U,  // LPGFR
    3831             :     33583053U,  // LPGR
    3832             :     3189574U,   // LPP
    3833             :     134245326U, // LPQ
    3834             :     33583376U,  // LPR
    3835             :     3192857U,   // LPSW
    3836             :     3183237U,   // LPSWE
    3837             :     1107312707U,        // LPTEA
    3838             :     33582518U,  // LPXBR
    3839             :     33583912U,  // LPXR
    3840             :     33583227U,  // LR
    3841             :     134234396U, // LRA
    3842             :     134239640U, // LRAG
    3843             :     134248537U, // LRAY
    3844             :     33582669U,  // LRDR
    3845             :     33582827U,  // LRER
    3846             :     268461619U, // LRL
    3847             :     134248422U, // LRV
    3848             :     134240304U, // LRVG
    3849             :     33583084U,  // LRVGR
    3850             :     134242388U, // LRVH
    3851             :     33583839U,  // LRVR
    3852             :     3188362U,   // LSCTL
    3853             :     134248163U, // LT
    3854             :     33582191U,  // LTDBR
    3855             :     33582191U,  // LTDBRCompare
    3856             :     33582688U,  // LTDR
    3857             :     33583563U,  // LTDTR
    3858             :     33582325U,  // LTEBR
    3859             :     33582325U,  // LTEBRCompare
    3860             :     33582839U,  // LTER
    3861             :     134240238U, // LTG
    3862             :     134239064U, // LTGF
    3863             :     33582953U,  // LTGFR
    3864             :     33583078U,  // LTGR
    3865             :     33583658U,  // LTR
    3866             :     33582538U,  // LTXBR
    3867             :     33582538U,  // LTXBRCompare
    3868             :     33583929U,  // LTXR
    3869             :     33583815U,  // LTXTR
    3870             :     33571206U,  // LURA
    3871             :     33576365U,  // LURAG
    3872             :     134236634U, // LXD
    3873             :     134235054U, // LXDB
    3874             :     33582198U,  // LXDBR
    3875             :     33582694U,  // LXDR
    3876             :     1107325401U,        // LXDTR
    3877             :     134238860U, // LXE
    3878             :     134235178U, // LXEB
    3879             :     33582332U,  // LXEBR
    3880             :     33582845U,  // LXER
    3881             :     33583896U,  // LXR
    3882             :     134248658U, // LY
    3883             :     3174002U,   // LZDR
    3884             :     3174147U,   // LZER
    3885             :     134239457U, // LZRF
    3886             :     134240148U, // LZRG
    3887             :     3175231U,   // LZXR
    3888             :     26363U,     // M
    3889             :     1090537839U,        // MAD
    3890             :     1090535937U,        // MADB
    3891             :     1090546688U,        // MADBR
    3892             :     1090547183U,        // MADR
    3893             :     1090537968U,        // MAE
    3894             :     1090536386U,        // MAEB
    3895             :     1090546820U,        // MAEBR
    3896             :     1090547320U,        // MAER
    3897             :     1090549844U,        // MAY
    3898             :     1090543730U,        // MAYH
    3899             :     1090547793U,        // MAYHR
    3900             :     1090545390U,        // MAYL
    3901             :     1090547939U,        // MAYLR
    3902             :     1090548549U,        // MAYR
    3903             :     453019900U, // MC
    3904             :     18850U,     // MD
    3905             :     17164U,     // MDB
    3906             :     16804941U,  // MDBR
    3907             :     19027U,     // MDE
    3908             :     17372U,     // MDEB
    3909             :     16805017U,  // MDEBR
    3910             :     16805526U,  // MDER
    3911             :     16805430U,  // MDR
    3912             :     1107325360U,        // MDTR
    3913             :     1107312964U,        // MDTRA
    3914             :     20634U,     // ME
    3915             :     19038U,     // MEE
    3916             :     17385U,     // MEEB
    3917             :     16805024U,  // MEEBR
    3918             :     16805532U,  // MEER
    3919             :     16805582U,  // MER
    3920             :     30866U,     // MFY
    3921             :     22311U,     // MG
    3922             :     22923U,     // MGH
    3923             :     67133772U,  // MGHI
    3924             :     1107321512U,        // MGRK
    3925             :     24018U,     // MH
    3926             :     67133805U,  // MHI
    3927             :     30886U,     // MHY
    3928             :     25695U,     // ML
    3929             :     22246U,     // MLG
    3930             :     16805812U,  // MLGR
    3931             :     16806079U,  // MLR
    3932             :     117500597U, // MP
    3933             :     16806129U,  // MR
    3934             :     30259U,     // MS
    3935             :     18768U,     // MSC
    3936             :     3184875U,   // MSCH
    3937             :     1090537930U,        // MSD
    3938             :     1090536316U,        // MSDB
    3939             :     1090546792U,        // MSDBR
    3940             :     1090547283U,        // MSDR
    3941             :     1090540054U,        // MSE
    3942             :     1090536477U,        // MSEB
    3943             :     1090546926U,        // MSEBR
    3944             :     1090547441U,        // MSER
    3945             :     50356513U,  // MSFI
    3946             :     22465U,     // MSG
    3947             :     18624U,     // MSGC
    3948             :     21330U,     // MSGF
    3949             :     50356488U,  // MSGFI
    3950             :     16805730U,  // MSGFR
    3951             :     16805849U,  // MSGR
    3952             :     1107314904U,        // MSGRKC
    3953             :     16806184U,  // MSR
    3954             :     1107314912U,        // MSRKC
    3955             :     3162526U,   // MSTA
    3956             :     31001U,     // MSY
    3957             :     302041441U, // MVC
    3958             :     469803592U, // MVCDK
    3959             :     302049434U, // MVCIN
    3960             :     270914U,    // MVCK
    3961             :     33579867U,  // MVCL
    3962             :     1107316241U,        // MVCLE
    3963             :     1107326895U,        // MVCLU
    3964             :     1543550526U,        // MVCOS
    3965             :     272943U,    // MVCP
    3966             :     275692U,    // MVCS
    3967             :     469803750U, // MVCSK
    3968             :     67150162U,  // MVGHI
    3969             :     67150177U,  // MVHHI
    3970             :     67150194U,  // MVHI
    3971             :     453026284U, // MVI
    3972             :     453032134U, // MVIY
    3973             :     302049472U, // MVN
    3974             :     117500425U, // MVO
    3975             :     33576824U,  // MVPG
    3976             :     33584977U,  // MVST
    3977             :     302053945U, // MVZ
    3978             :     16805289U,  // MXBR
    3979             :     18911U,     // MXD
    3980             :     17332U,     // MXDB
    3981             :     16804989U,  // MXDBR
    3982             :     16805484U,  // MXDR
    3983             :     16806685U,  // MXR
    3984             :     1107325612U,        // MXTR
    3985             :     1107313016U,        // MXTRA
    3986             :     1107327202U,        // MY
    3987             :     1107320952U,        // MYH
    3988             :     1107325016U,        // MYHR
    3989             :     1107322612U,        // MYL
    3990             :     1107325162U,        // MYLR
    3991             :     1107325771U,        // MYR
    3992             :     26759U,     // N
    3993             :     302041349U, // NC
    3994             :     22349U,     // NG
    3995             :     16805825U,  // NGR
    3996             :     1107321518U,        // NGRK
    3997             :     453026173U, // NI
    3998             :     8495285U,   // NIAI
    3999             :     100684678U, // NIHF
    4000             :     352344526U, // NIHH
    4001             :     352347064U, // NIHL
    4002             :     100684823U, // NILF
    4003             :     352344931U, // NILH
    4004             :     352347188U, // NILL
    4005             :     453032124U, // NIY
    4006             :     16806140U,  // NR
    4007             :     1107321554U,        // NRK
    4008             :     134240243U, // NTSTG
    4009             :     30982U,     // NY
    4010             :     26823U,     // O
    4011             :     302041359U, // OC
    4012             :     22361U,     // OG
    4013             :     16805832U,  // OGR
    4014             :     1107321524U,        // OGRK
    4015             :     453026177U, // OI
    4016             :     100684684U, // OIHF
    4017             :     352344532U, // OIHH
    4018             :     352347070U, // OIHL
    4019             :     100684829U, // OILF
    4020             :     352344937U, // OILH
    4021             :     352347194U, // OILL
    4022             :     453032129U, // OIY
    4023             :     16806145U,  // OR
    4024             :     1107321559U,        // ORK
    4025             :     30986U,     // OY
    4026             :     117498417U, // PACK
    4027             :     15423U,     // PALB
    4028             :     3180832U,   // PC
    4029             :     15453U,     // PCC
    4030             :     15623U,     // PCKMO
    4031             :     1149314U,   // PFD
    4032             :     153724355U, // PFDRL
    4033             :     3363962U,   // PFMF
    4034             :     15629U,     // PFPO
    4035             :     33581225U,  // PGIN
    4036             :     33584989U,  // PGOUT
    4037             :     520126558U, // PKA
    4038             :     520140707U, // PKU
    4039             :     1509976374U,        // PLO
    4040             :     33584878U,  // POPCNT
    4041             :     1107312775U,        // PPA
    4042             :     33581483U,  // PPNO
    4043             :     15657U,     // PR
    4044             :     33581516U,  // PRNO
    4045             :     33584901U,  // PT
    4046             :     3167492U,   // PTF
    4047             :     15471U,     // PTFF
    4048             :     33579495U,  // PTI
    4049             :     15428U,     // PTLB
    4050             :     1107325270U,        // QADTR
    4051             :     1107325528U,        // QAXTR
    4052             :     3187089U,   // QCTRI
    4053             :     3187164U,   // QSI
    4054             :     15634U,     // RCHP
    4055             :     1090540991U,        // RISBG
    4056             :     1090540991U,        // RISBG32
    4057             :     1090545802U,        // RISBGN
    4058             :     1090541161U,        // RISBHG
    4059             :     1090541235U,        // RISBLG
    4060             :     1107321935U,        // RLL
    4061             :     1107318490U,        // RLLG
    4062             :     1090540998U,        // RNSBG
    4063             :     1090541005U,        // ROSBG
    4064             :     3189582U,   // RP
    4065             :     33573421U,  // RRBE
    4066             :     33580816U,  // RRBM
    4067             :     1107325366U,        // RRDTR
    4068             :     1107325618U,        // RRXTR
    4069             :     15506U,     // RSCH
    4070             :     1090541012U,        // RXSBG
    4071             :     29533U,     // S
    4072             :     3180688U,   // SAC
    4073             :     3183270U,   // SACF
    4074             :     15596U,     // SAL
    4075             :     15336U,     // SAM24
    4076             :     15324U,     // SAM31
    4077             :     15342U,     // SAM64
    4078             :     33582064U,  // SAR
    4079             :     33583418U,  // SCCTR
    4080             :     15618U,     // SCHM
    4081             :     3187255U,   // SCK
    4082             :     3180747U,   // SCKC
    4083             :     15476U,     // SCKPF
    4084             :     18891U,     // SD
    4085             :     17262U,     // SDB
    4086             :     16804969U,  // SDBR
    4087             :     16805460U,  // SDR
    4088             :     1107325374U,        // SDTR
    4089             :     1107312971U,        // SDTRA
    4090             :     21015U,     // SE
    4091             :     17438U,     // SEB
    4092             :     16805103U,  // SEBR
    4093             :     16805618U,  // SER
    4094             :     3174689U,   // SFASR
    4095             :     3164458U,   // SFPC
    4096             :     22427U,     // SG
    4097             :     21325U,     // SGF
    4098             :     16805724U,  // SGFR
    4099             :     22928U,     // SGH
    4100             :     16805844U,  // SGR
    4101             :     1107321530U,        // SGRK
    4102             :     24510U,     // SH
    4103             :     1107324970U,        // SHHHR
    4104             :     1107325105U,        // SHHLR
    4105             :     30891U,     // SHY
    4106             :     3181924U,   // SIE
    4107             :     3178575U,   // SIGA
    4108             :     1107323491U,        // SIGP
    4109             :     26209U,     // SL
    4110             :     469778537U, // SLA
    4111             :     1107318154U,        // SLAG
    4112             :     1107321381U,        // SLAK
    4113             :     17699U,     // SLB
    4114             :     21945U,     // SLBG
    4115             :     16805755U,  // SLBGR
    4116             :     16805213U,  // SLBR
    4117             :     469778481U, // SLDA
    4118             :     469787489U, // SLDL
    4119             :     1107326615U,        // SLDT
    4120             :     100688155U, // SLFI
    4121             :     22275U,     // SLG
    4122             :     21302U,     // SLGF
    4123             :     100688129U, // SLGFI
    4124             :     16805702U,  // SLGFR
    4125             :     16805818U,  // SLGR
    4126             :     1107321505U,        // SLGRK
    4127             :     1107324962U,        // SLHHHR
    4128             :     1107325097U,        // SLHHLR
    4129             :     469787732U, // SLL
    4130             :     1107318496U,        // SLLG
    4131             :     1107321458U,        // SLLK
    4132             :     16806105U,  // SLR
    4133             :     1107321548U,        // SLRK
    4134             :     1107326834U,        // SLXT
    4135             :     30939U,     // SLY
    4136             :     117500790U, // SP
    4137             :     33583439U,  // SPCTR
    4138             :     3178595U,   // SPKA
    4139             :     3172391U,   // SPM
    4140             :     3192585U,   // SPT
    4141             :     3192889U,   // SPX
    4142             :     134236599U, // SQD
    4143             :     134234974U, // SQDB
    4144             :     33582177U,  // SQDBR
    4145             :     33582663U,  // SQDR
    4146             :     134238706U, // SQE
    4147             :     134235159U, // SQEB
    4148             :     33582311U,  // SQEBR
    4149             :     33582821U,  // SQER
    4150             :     33582525U,  // SQXBR
    4151             :     33583918U,  // SQXR
    4152             :     16806173U,  // SR
    4153             :     469778723U, // SRA
    4154             :     1107318176U,        // SRAG
    4155             :     1107321387U,        // SRAK
    4156             :     469778487U, // SRDA
    4157             :     469787495U, // SRDL
    4158             :     1107326627U,        // SRDT
    4159             :     1107321564U,        // SRK
    4160             :     469788230U, // SRL
    4161             :     1107318523U,        // SRLG
    4162             :     1107321464U,        // SRLK
    4163             :     3188764U,   // SRNM
    4164             :     3179850U,   // SRNMB
    4165             :     3192551U,   // SRNMT
    4166             :     1375791978U,        // SRP
    4167             :     33584965U,  // SRST
    4168             :     33585082U,  // SRSTU
    4169             :     1107326846U,        // SRXT
    4170             :     3174508U,   // SSAIR
    4171             :     3173365U,   // SSAR
    4172             :     3184881U,   // SSCH
    4173             :     1107316142U,        // SSKE
    4174             :     33574318U,  // SSKEOpt
    4175             :     3188847U,   // SSM
    4176             :     134248257U, // ST
    4177             :     1107322622U,        // STAM
    4178             :     1107327206U,        // STAMY
    4179             :     3189262U,   // STAP
    4180             :     134236508U, // STC
    4181             :     134240510U, // STCH
    4182             :     3187260U,   // STCK
    4183             :     3180753U,   // STCKC
    4184             :     3181985U,   // STCKE
    4185             :     3183584U,   // STCKF
    4186             :     2365613864U,        // STCM
    4187             :     2365611478U,        // STCMH
    4188             :     2365618419U,        // STCMY
    4189             :     3192389U,   // STCPS
    4190             :     3192844U,   // STCRW
    4191             :     1107318759U,        // STCTG
    4192             :     1107322513U,        // STCTL
    4193             :     134248554U, // STCY
    4194             :     134236623U, // STD
    4195             :     134248565U, // STDY
    4196             :     134238848U, // STE
    4197             :     134248588U, // STEY
    4198             :     134240604U, // STFH
    4199             :     3187571U,   // STFL
    4200             :     3182104U,   // STFLE
    4201             :     3180848U,   // STFPC
    4202             :     134240245U, // STG
    4203             :     268461552U, // STGRL
    4204             :     134236489U, // STGSC
    4205             :     134242341U, // STH
    4206             :     134240785U, // STHH
    4207             :     268461611U, // STHRL
    4208             :     134248624U, // STHY
    4209             :     3189301U,   // STIDP
    4210             :     1107322997U,        // STM
    4211             :     1107318590U,        // STMG
    4212             :     1107320312U,        // STMH
    4213             :     1107327232U,        // STMY
    4214             :     453027935U, // STNSM
    4215             :     157547621U, // STOC
    4216             :     1509968147U,        // STOCAsm
    4217             :     436226630U, // STOCAsmE
    4218             :     436230364U, // STOCAsmH
    4219             :     436226757U, // STOCAsmHE
    4220             :     436233038U, // STOCAsmL
    4221             :     436227593U, // STOCAsmLE
    4222             :     436230898U, // STOCAsmLH
    4223             :     436234017U, // STOCAsmM
    4224             :     436228325U, // STOCAsmNE
    4225             :     436231761U, // STOCAsmNH
    4226             :     436227003U, // STOCAsmNHE
    4227             :     436233386U, // STOCAsmNL
    4228             :     436227842U, // STOCAsmNLE
    4229             :     436231195U, // STOCAsmNLH
    4230             :     436234151U, // STOCAsmNM
    4231             :     436234577U, // STOCAsmNO
    4232             :     436234949U, // STOCAsmNP
    4233             :     436238758U, // STOCAsmNZ
    4234             :     436234458U, // STOCAsmO
    4235             :     436234792U, // STOCAsmP
    4236             :     436238641U, // STOCAsmZ
    4237             :     157547682U, // STOCFH
    4238             :     1509972303U,        // STOCFHAsm
    4239             :     436226773U, // STOCFHAsmE
    4240             :     436230584U, // STOCFHAsmH
    4241             :     436226814U, // STOCFHAsmHE
    4242             :     436233122U, // STOCFHAsmL
    4243             :     436227647U, // STOCFHAsmLE
    4244             :     436230953U, // STOCFHAsmLH
    4245             :     436234074U, // STOCFHAsmM
    4246             :     436228372U, // STOCFHAsmNE
    4247             :     436231801U, // STOCFHAsmNH
    4248             :     436227048U, // STOCFHAsmNHE
    4249             :     436233426U, // STOCFHAsmNL
    4250             :     436227887U, // STOCFHAsmNLE
    4251             :     436231240U, // STOCFHAsmNLH
    4252             :     436234191U, // STOCFHAsmNM
    4253             :     436234617U, // STOCFHAsmNO
    4254             :     436234989U, // STOCFHAsmNP
    4255             :     436238798U, // STOCFHAsmNZ
    4256             :     436234493U, // STOCFHAsmO
    4257             :     436234877U, // STOCFHAsmP
    4258             :     436238683U, // STOCFHAsmZ
    4259             :     157547647U, // STOCG
    4260             :     1509971464U,        // STOCGAsm
    4261             :     436226666U, // STOCGAsmE
    4262             :     436230510U, // STOCGAsmH
    4263             :     436226790U, // STOCGAsmHE
    4264             :     436233088U, // STOCGAsmL
    4265             :     436227623U, // STOCGAsmLE
    4266             :     436230914U, // STOCGAsmLH
    4267             :     436234048U, // STOCGAsmM
    4268             :     436228348U, // STOCGAsmNE
    4269             :     436231777U, // STOCGAsmNH
    4270             :     436227021U, // STOCGAsmNHE
    4271             :     436233402U, // STOCGAsmNL
    4272             :     436227860U, // STOCGAsmNLE
    4273             :     436231213U, // STOCGAsmNLH
    4274             :     436234167U, // STOCGAsmNM
    4275             :     436234593U, // STOCGAsmNO
    4276             :     436234965U, // STOCGAsmNP
    4277             :     436238774U, // STOCGAsmNZ
    4278             :     436234472U, // STOCGAsmO
    4279             :     436234843U, // STOCGAsmP
    4280             :     436238662U, // STOCGAsmZ
    4281             :     453027942U, // STOSM
    4282             :     134245331U, // STPQ
    4283             :     3192590U,   // STPT
    4284             :     3192894U,   // STPX
    4285             :     469800358U, // STRAG
    4286             :     268461650U, // STRL
    4287             :     134248427U, // STRV
    4288             :     134240310U, // STRVG
    4289             :     134242394U, // STRVH
    4290             :     3184887U,   // STSCH
    4291             :     3187169U,   // STSI
    4292             :     33571212U,  // STURA
    4293             :     33576845U,  // STURG
    4294             :     134248734U, // STY
    4295             :     30646U,     // SU
    4296             :     16806618U,  // SUR
    4297             :     280934U,    // SVC
    4298             :     30741U,     // SW
    4299             :     16806634U,  // SWR
    4300             :     16805316U,  // SXBR
    4301             :     16806708U,  // SXR
    4302             :     1107325626U,        // SXTR
    4303             :     1107313023U,        // SXTRA
    4304             :     30991U,     // SY
    4305             :     3192626U,   // TABORT
    4306             :     15614U,     // TAM
    4307             :     33582075U,  // TAR
    4308             :     33572676U,  // TB
    4309             :     218131957U, // TBDR
    4310             :     218131974U, // TBEDR
    4311             :     352364705U, // TBEGIN
    4312             :     352356608U, // TBEGINC
    4313             :     134234680U, // TCDB
    4314             :     134235080U, // TCEB
    4315             :     134236063U, // TCXB
    4316             :     134248073U, // TDCDT
    4317             :     134248111U, // TDCET
    4318             :     134248292U, // TDCXT
    4319             :     134248080U, // TDGDT
    4320             :     134248118U, // TDGET
    4321             :     134248299U, // TDGXT
    4322             :     15466U,     // TEND
    4323             :     33582729U,  // THDER
    4324             :     33582629U,  // THDR
    4325             :     453027958U, // TM
    4326             :     385898999U, // TMHH
    4327             :     385901508U, // TMHL
    4328             :     385899455U, // TMLH
    4329             :     385901632U, // TMLL
    4330             :     453032193U, // TMY
    4331             :     3206027U,   // TP
    4332             :     3187084U,   // TPI
    4333             :     469808886U, // TPROT
    4334             :     302051631U, // TR
    4335             :     1107315251U,        // TRACE
    4336             :     1107318242U,        // TRACG
    4337             :     15330U,     // TRAP2
    4338             :     3178533U,   // TRAP4
    4339             :     33575441U,  // TRE
    4340             :     1107323351U,        // TROO
    4341             :     33581527U,  // TROOOpt
    4342             :     1107326717U,        // TROT
    4343             :     33584893U,  // TROTOpt
    4344             :     302053178U, // TRT
    4345             :     419648122U, // TRTE
    4346             :     3363450U,   // TRTEOpt
    4347             :     1107323395U,        // TRTO
    4348             :     33581571U,  // TRTOOpt
    4349             :     302051887U, // TRTR
    4350             :     419648015U, // TRTRE
    4351             :     3363343U,   // TRTREOpt
    4352             :     1107326807U,        // TRTT
    4353             :     33584983U,  // TRTTOpt
    4354             :     3192396U,   // TS
    4355             :     3184888U,   // TSCH
    4356             :     117498500U, // UNPK
    4357             :     302039132U, // UNPKA
    4358             :     302053281U, // UNPKU
    4359             :     15690U,     // UPT
    4360             :     1107313060U,        // VA
    4361             :     1107313093U,        // VAB
    4362             :     1107314837U,        // VAC
    4363             :     1107314846U,        // VACC
    4364             :     1107313110U,        // VACCB
    4365             :     1107314852U,        // VACCC
    4366             :     1107323809U,        // VACCCQ
    4367             :     1107317420U,        // VACCF
    4368             :     1107318249U,        // VACCG
    4369             :     1107318967U,        // VACCH
    4370             :     1107323802U,        // VACCQ
    4371             :     1107323796U,        // VACQ
    4372             :     1107317409U,        // VAF
    4373             :     1107318196U,        // VAG
    4374             :     1107318901U,        // VAH
    4375             :     1107323412U,        // VAP
    4376             :     1107323791U,        // VAQ
    4377             :     1107318787U,        // VAVG
    4378             :     1107313749U,        // VAVGB
    4379             :     1107317598U,        // VAVGF
    4380             :     1107318363U,        // VAVGG
    4381             :     1107319189U,        // VAVGH
    4382             :     1107321741U,        // VAVGL
    4383             :     1107313880U,        // VAVGLB
    4384             :     1107317755U,        // VAVGLF
    4385             :     1107318473U,        // VAVGLG
    4386             :     1107319569U,        // VAVGLH
    4387             :     1107322931U,        // VBPERM
    4388             :     1107318287U,        // VCDG
    4389             :     1107313712U,        // VCDGB
    4390             :     1107318466U,        // VCDLG
    4391             :     1107313733U,        // VCDLGB
    4392             :     1107323817U,        // VCEQ
    4393             :     1107314063U,        // VCEQB
    4394             :     1107325965U,        // VCEQBS
    4395             :     1107317962U,        // VCEQF
    4396             :     1107326248U,        // VCEQFS
    4397             :     1107318654U,        // VCEQG
    4398             :     1107326340U,        // VCEQGS
    4399             :     1107320718U,        // VCEQH
    4400             :     1107326414U,        // VCEQHS
    4401             :     1107315084U,        // VCGD
    4402             :     1107313310U,        // VCGDB
    4403             :     1107319044U,        // VCH
    4404             :     1107313770U,        // VCHB
    4405             :     1107325950U,        // VCHBS
    4406             :     1107317619U,        // VCHF
    4407             :     1107326233U,        // VCHFS
    4408             :     1107318385U,        // VCHG
    4409             :     1107326325U,        // VCHGS
    4410             :     1107319210U,        // VCHH
    4411             :     1107326399U,        // VCHHS
    4412             :     1107321748U,        // VCHL
    4413             :     1107313888U,        // VCHLB
    4414             :     1107325957U,        // VCHLBS
    4415             :     1107317763U,        // VCHLF
    4416             :     1107326240U,        // VCHLFS
    4417             :     1107318481U,        // VCHLG
    4418             :     1107326332U,        // VCHLGS
    4419             :     1107319577U,        // VCHLH
    4420             :     1107326406U,        // VCHLHS
    4421             :     1107322968U,        // VCKSM
    4422             :     1107315090U,        // VCLGD
    4423             :     1107313324U,        // VCLGDB
    4424             :     1107327380U,        // VCLZ
    4425             :     33572983U,  // VCLZB
    4426             :     33576298U,  // VCLZF
    4427             :     33577041U,  // VCLZG
    4428             :     33579175U,  // VCLZH
    4429             :     1107323440U,        // VCP
    4430             :     1107327539U,        // VCTZ
    4431             :     33572990U,  // VCTZB
    4432             :     33576305U,  // VCTZF
    4433             :     33577048U,  // VCTZG
    4434             :     33579182U,  // VCTZH
    4435             :     1107314513U,        // VCVB
    4436             :     1107318235U,        // VCVBG
    4437             :     1107315156U,        // VCVD
    4438             :     1107318299U,        // VCVDG
    4439             :     1107323458U,        // VDP
    4440             :     1107314859U,        // VEC
    4441             :     33571293U,  // VECB
    4442             :     33575603U,  // VECF
    4443             :     33576432U,  // VECG
    4444             :     33577150U,  // VECH
    4445             :     1107321660U,        // VECL
    4446             :     33572049U,  // VECLB
    4447             :     33575924U,  // VECLF
    4448             :     33576635U,  // VECLG
    4449             :     33577700U,  // VECLH
    4450             :     1090545538U,        // VERIM
    4451             :     1090536770U,        // VERIMB
    4452             :     1090540678U,        // VERIMF
    4453             :     1090541361U,        // VERIMG
    4454             :     1090543082U,        // VERIMH
    4455             :     1107321933U,        // VERLL
    4456             :     1107313903U,        // VERLLB
    4457             :     1107317809U,        // VERLLF
    4458             :     1107318488U,        // VERLLG
    4459             :     1107319735U,        // VERLLH
    4460             :     1107326927U,        // VERLLV
    4461             :     1107314526U,        // VERLLVB
    4462             :     1107318048U,        // VERLLVF
    4463             :     1107318800U,        // VERLLVG
    4464             :     1107320890U,        // VERLLVH
    4465             :     1107322469U,        // VESL
    4466             :     1107313953U,        // VESLB
    4467             :     1107317852U,        // VESLF
    4468             :     1107318529U,        // VESLG
    4469             :     1107320178U,        // VESLH
    4470             :     1107326943U,        // VESLV
    4471             :     1107314544U,        // VESLVB
    4472             :     1107318066U,        // VESLVF
    4473             :     1107318818U,        // VESLVG
    4474             :     1107320908U,        // VESLVH
    4475             :     1107312929U,        // VESRA
    4476             :     1107313078U,        // VESRAB
    4477             :     1107317401U,        // VESRAF
    4478             :     1107318174U,        // VESRAG
    4479             :     1107318893U,        // VESRAH
    4480             :     1107326913U,        // VESRAV
    4481             :     1107314504U,        // VESRAVB
    4482             :     1107318032U,        // VESRAVF
    4483             :     1107318778U,        // VESRAVG
    4484             :     1107320874U,        // VESRAVH
    4485             :     1107322436U,        // VESRL
    4486             :     1107313938U,        // VESRLB
    4487             :     1107317844U,        // VESRLF
    4488             :     1107318521U,        // VESRLG
    4489             :     1107320170U,        // VESRLH
    4490             :     1107326935U,        // VESRLV
    4491             :     1107314535U,        // VESRLVB
    4492             :     1107318057U,        // VESRLVF
    4493             :     1107318809U,        // VESRLVG
    4494             :     1107320899U,        // VESRLVH
    4495             :     1107312714U,        // VFA
    4496             :     1107313137U,        // VFADB
    4497             :     1107315172U,        // VFAE
    4498             :     1107313594U,        // VFAEB
    4499             :     1107325925U,        // VFAEBS
    4500             :     1107317447U,        // VFAEF
    4501             :     1107326208U,        // VFAEFS
    4502             :     1107319049U,        // VFAEH
    4503             :     1107326374U,        // VFAEHS
    4504             :     1107314774U,        // VFAEZB
    4505             :     1107326160U,        // VFAEZBS
    4506             :     1107318089U,        // VFAEZF
    4507             :     1107326297U,        // VFAEZFS
    4508             :     1107320966U,        // VFAEZH
    4509             :     1107326458U,        // VFAEZHS
    4510             :     1107314102U,        // VFASB
    4511             :     1107315258U,        // VFCE
    4512             :     1107313228U,        // VFCEDB
    4513             :     1107325813U,        // VFCEDBS
    4514             :     1107314187U,        // VFCESB
    4515             :     1107325982U,        // VFCESBS
    4516             :     1107318980U,        // VFCH
    4517             :     1107313340U,        // VFCHDB
    4518             :     1107325889U,        // VFCHDBS
    4519             :     1107315383U,        // VFCHE
    4520             :     1107313244U,        // VFCHEDB
    4521             :     1107325831U,        // VFCHEDBS
    4522             :     1107314203U,        // VFCHESB
    4523             :     1107326000U,        // VFCHESBS
    4524             :     1107314255U,        // VFCHSB
    4525             :     1107326058U,        // VFCHSBS
    4526             :     1107315079U,        // VFD
    4527             :     1107313214U,        // VFDDB
    4528             :     1107314173U,        // VFDSB
    4529             :     1107315288U,        // VFEE
    4530             :     1107313634U,        // VFEEB
    4531             :     1107325933U,        // VFEEBS
    4532             :     1107317468U,        // VFEEF
    4533             :     1107326216U,        // VFEEFS
    4534             :     1107319063U,        // VFEEH
    4535             :     1107326382U,        // VFEEHS
    4536             :     1107314782U,        // VFEEZB
    4537             :     1107326169U,        // VFEEZBS
    4538             :     1107318097U,        // VFEEZF
    4539             :     1107326306U,        // VFEEZFS
    4540             :     1107320974U,        // VFEEZH
    4541             :     1107326467U,        // VFEEZHS
    4542             :     1107316973U,        // VFENE
    4543             :     1107313679U,        // VFENEB
    4544             :     1107325941U,        // VFENEBS
    4545             :     1107317508U,        // VFENEF
    4546             :     1107326224U,        // VFENEFS
    4547             :     1107319097U,        // VFENEH
    4548             :     1107326390U,        // VFENEHS
    4549             :     1107314798U,        // VFENEZB
    4550             :     1107326178U,        // VFENEZBS
    4551             :     1107318113U,        // VFENEZF
    4552             :     1107326315U,        // VFENEZFS
    4553             :     1107320990U,        // VFENEZH
    4554             :     1107326476U,        // VFENEZHS
    4555             :     1107321127U,        // VFI
    4556             :     1107313390U,        // VFIDB
    4557             :     1107314305U,        // VFISB
    4558             :     1107313280U,        // VFKEDB
    4559             :     1107325871U,        // VFKEDBS
    4560             :     1107314239U,        // VFKESB
    4561             :     1107326040U,        // VFKESBS
    4562             :     1107313356U,        // VFKHDB
    4563             :     1107325907U,        // VFKHDBS
    4564             :     1107313262U,        // VFKHEDB
    4565             :     1107325851U,        // VFKHEDBS
    4566             :     1107314221U,        // VFKHESB
    4567             :     1107326020U,        // VFKHESBS
    4568             :     1107314271U,        // VFKHSB
    4569             :     1107326076U,        // VFKHSBS
    4570             :     33571368U,  // VFLCDB
    4571             :     33572333U,  // VFLCSB
    4572             :     1107321889U,        // VFLL
    4573             :     33584675U,  // VFLLS
    4574             :     33571626U,  // VFLNDB
    4575             :     33572534U,  // VFLNSB
    4576             :     33571660U,  // VFLPDB
    4577             :     33572568U,  // VFLPSB
    4578             :     1107325070U,        // VFLR
    4579             :     1107315132U,        // VFLRD
    4580             :     1107322676U,        // VFM
    4581             :     1107312757U,        // VFMA
    4582             :     1107313151U,        // VFMADB
    4583             :     1107314116U,        // VFMASB
    4584             :     1107327012U,        // VFMAX
    4585             :     1107313564U,        // VFMAXDB
    4586             :     1107314477U,        // VFMAXSB
    4587             :     1107313418U,        // VFMDB
    4588             :     1107323055U,        // VFMIN
    4589             :     1107313432U,        // VFMINDB
    4590             :     1107314340U,        // VFMINSB
    4591             :     1107326513U,        // VFMS
    4592             :     1107314326U,        // VFMSB
    4593             :     1107313530U,        // VFMSDB
    4594             :     1107314438U,        // VFMSSB
    4595             :     1107312768U,        // VFNMA
    4596             :     1107313167U,        // VFNMADB
    4597             :     1107314132U,        // VFNMASB
    4598             :     1107326519U,        // VFNMS
    4599             :     1107313546U,        // VFNMSDB
    4600             :     1107314454U,        // VFNMSSB
    4601             :     1107323388U,        // VFPSO
    4602             :     1107313466U,        // VFPSODB
    4603             :     1107314374U,        // VFPSOSB
    4604             :     1107326282U,        // VFS
    4605             :     1107313516U,        // VFSDB
    4606             :     1107323865U,        // VFSQ
    4607             :     33571676U,  // VFSQDB
    4608             :     33572584U,  // VFSQSB
    4609             :     1107314424U,        // VFSSB
    4610             :     1107321040U,        // VFTCI
    4611             :     1107313372U,        // VFTCIDB
    4612             :     1107314287U,        // VFTCISB
    4613             :     385902340U, // VGBM
    4614             :     3758117603U,        // VGEF
    4615             :     536892969U, // VGEG
    4616             :     1107322670U,        // VGFM
    4617             :     1107312750U,        // VGFMA
    4618             :     1107313070U,        // VGFMAB
    4619             :     1107317393U,        // VGFMAF
    4620             :     1107318160U,        // VGFMAG
    4621             :     1107318879U,        // VGFMAH
    4622             :     1107313973U,        // VGFMB
    4623             :     1107317875U,        // VGFMF
    4624             :     1107318564U,        // VGFMG
    4625             :     1107320285U,        // VGFMH
    4626             :     1476421453U,        // VGM
    4627             :     1476412732U,        // VGMB
    4628             :     1476416640U,        // VGMF
    4629             :     1476417323U,        // VGMG
    4630             :     1476419044U,        // VGMH
    4631             :     1107325500U,        // VISTR
    4632             :     1107314094U,        // VISTRB
    4633             :     33584149U,  // VISTRBS
    4634             :     1107317977U,        // VISTRF
    4635             :     33584432U,  // VISTRFS
    4636             :     1107320755U,        // VISTRH
    4637             :     33584598U,  // VISTRHS
    4638             :     134244068U, // VL
    4639             :     1207976400U,        // VLBB
    4640             :     1107314934U,        // VLC
    4641             :     33571299U,  // VLCB
    4642             :     33575609U,  // VLCF
    4643             :     33576444U,  // VLCG
    4644             :     33577168U,  // VLCH
    4645             :     1107315277U,        // VLDE
    4646             :     33571790U,  // VLDEB
    4647             :     1073759235U,        // VLEB
    4648             :     1107315068U,        // VLED
    4649             :     1107313296U,        // VLEDB
    4650             :     1073763064U,        // VLEF
    4651             :     1073763887U,        // VLEG
    4652             :     1073764653U,        // VLEH
    4653             :     1140868264U,        // VLEIB
    4654             :     1140872145U,        // VLEIF
    4655             :     1140872851U,        // VLEIG
    4656             :     1140873769U,        // VLEIH
    4657             :     1107326921U,        // VLGV
    4658             :     1107314519U,        // VLGVB
    4659             :     1107318041U,        // VLGVF
    4660             :     1107318793U,        // VLGVG
    4661             :     1107320883U,        // VLGVH
    4662             :     1459645093U,        // VLIP
    4663             :     1107321945U,        // VLL
    4664             :     1207990584U,        // VLLEZ
    4665             :     134236262U, // VLLEZB
    4666             :     134239577U, // VLLEZF
    4667             :     134240329U, // VLLEZG
    4668             :     134242454U, // VLLEZH
    4669             :     134239338U, // VLLEZLF
    4670             :     1107322774U,        // VLM
    4671             :     1107323567U,        // VLP
    4672             :     33572233U,  // VLPB
    4673             :     33576132U,  // VLPF
    4674             :     33576812U,  // VLPG
    4675             :     33578882U,  // VLPH
    4676             :     33583326U,  // VLR
    4677             :     1207986759U,        // VLREP
    4678             :     134235514U, // VLREPB
    4679             :     134239413U, // VLREPF
    4680             :     134240093U, // VLREPG
    4681             :     134242163U, // VLREPH
    4682             :     1509975608U,        // VLRL
    4683             :     1107325130U,        // VLRLR
    4684             :     1090541610U,        // VLVG
    4685             :     1090536540U,        // VLVGB
    4686             :     1090540389U,        // VLVGF
    4687             :     1090541154U,        // VLVGG
    4688             :     1090541980U,        // VLVGH
    4689             :     1107323502U,        // VLVGP
    4690             :     1107315183U,        // VMAE
    4691             :     1107313601U,        // VMAEB
    4692             :     1107317454U,        // VMAEF
    4693             :     1107319056U,        // VMAEH
    4694             :     1107318887U,        // VMAH
    4695             :     1107313763U,        // VMAHB
    4696             :     1107317612U,        // VMAHF
    4697             :     1107319203U,        // VMAHH
    4698             :     1107321598U,        // VMAL
    4699             :     1107313866U,        // VMALB
    4700             :     1107316148U,        // VMALE
    4701             :     1107313652U,        // VMALEB
    4702             :     1107317481U,        // VMALEF
    4703             :     1107319070U,        // VMALEH
    4704             :     1107317741U,        // VMALF
    4705             :     1107319453U,        // VMALH
    4706             :     1107313776U,        // VMALHB
    4707             :     1107317656U,        // VMALHF
    4708             :     1107319258U,        // VMALHH
    4709             :     1107326965U,        // VMALHW
    4710             :     1107323177U,        // VMALO
    4711             :     1107314021U,        // VMALOB
    4712             :     1107317920U,        // VMALOF
    4713             :     1107320670U,        // VMALOH
    4714             :     1107323082U,        // VMAO
    4715             :     1107314014U,        // VMAOB
    4716             :     1107317913U,        // VMAOF
    4717             :     1107320663U,        // VMAOH
    4718             :     1107316889U,        // VME
    4719             :     1107313673U,        // VMEB
    4720             :     1107317502U,        // VMEF
    4721             :     1107319091U,        // VMEH
    4722             :     1107320325U,        // VMH
    4723             :     1107313799U,        // VMHB
    4724             :     1107317685U,        // VMHF
    4725             :     1107319293U,        // VMHH
    4726             :     1107321950U,        // VML
    4727             :     1107313911U,        // VMLB
    4728             :     1107316396U,        // VMLE
    4729             :     1107313660U,        // VMLEB
    4730             :     1107317489U,        // VMLEF
    4731             :     1107319078U,        // VMLEH
    4732             :     1107317817U,        // VMLF
    4733             :     1107319749U,        // VMLH
    4734             :     1107313784U,        // VMLHB
    4735             :     1107317670U,        // VMLHF
    4736             :     1107319272U,        // VMLHH
    4737             :     1107326973U,        // VMLHW
    4738             :     1107323184U,        // VMLO
    4739             :     1107314029U,        // VMLOB
    4740             :     1107317928U,        // VMLOF
    4741             :     1107320678U,        // VMLOH
    4742             :     1107323062U,        // VMN
    4743             :     1107314008U,        // VMNB
    4744             :     1107317907U,        // VMNF
    4745             :     1107318609U,        // VMNG
    4746             :     1107320550U,        // VMNH
    4747             :     1107322175U,        // VMNL
    4748             :     1107313917U,        // VMNLB
    4749             :     1107317823U,        // VMNLF
    4750             :     1107318507U,        // VMNLG
    4751             :     1107320002U,        // VMNLH
    4752             :     1107323200U,        // VMO
    4753             :     1107314036U,        // VMOB
    4754             :     1107317935U,        // VMOF
    4755             :     1107320685U,        // VMOH
    4756             :     1107323572U,        // VMP
    4757             :     1107320749U,        // VMRH
    4758             :     1107313812U,        // VMRHB
    4759             :     1107317698U,        // VMRHF
    4760             :     1107318391U,        // VMRHG
    4761             :     1107319306U,        // VMRHH
    4762             :     1107322430U,        // VMRL
    4763             :     1107313931U,        // VMRLB
    4764             :     1107317837U,        // VMRLF
    4765             :     1107318514U,        // VMRLG
    4766             :     1107320163U,        // VMRLH
    4767             :     1107322475U,        // VMSL
    4768             :     1107318536U,        // VMSLG
    4769             :     1107323775U,        // VMSP
    4770             :     1107327023U,        // VMX
    4771             :     1107314684U,        // VMXB
    4772             :     1107318074U,        // VMXF
    4773             :     1107318851U,        // VMXG
    4774             :     1107320934U,        // VMXH
    4775             :     1107322600U,        // VMXL
    4776             :     1107313966U,        // VMXLB
    4777             :     1107317859U,        // VMXLF
    4778             :     1107318550U,        // VMXLG
    4779             :     1107320265U,        // VMXLH
    4780             :     1107323073U,        // VN
    4781             :     1107314953U,        // VNC
    4782             :     1107323067U,        // VNN
    4783             :     1107323346U,        // VNO
    4784             :     1107327028U,        // VNX
    4785             :     1107323402U,        // VO
    4786             :     1107314969U,        // VOC
    4787             :     3166593U,   // VONE
    4788             :     1107321047U,        // VPDI
    4789             :     1107322939U,        // VPERM
    4790             :     1107321482U,        // VPK
    4791             :     1107317735U,        // VPKF
    4792             :     1107318438U,        // VPKG
    4793             :     1107319447U,        // VPKH
    4794             :     1107326492U,        // VPKLS
    4795             :     1107317998U,        // VPKLSF
    4796             :     1107326273U,        // VPKLSFS
    4797             :     1107318713U,        // VPKLSG
    4798             :     1107326356U,        // VPKLSGS
    4799             :     1107320770U,        // VPKLSH
    4800             :     1107326439U,        // VPKLSHS
    4801             :     1107326486U,        // VPKS
    4802             :     1107317991U,        // VPKSF
    4803             :     1107326265U,        // VPKSFS
    4804             :     1107318706U,        // VPKSG
    4805             :     1107326348U,        // VPKSGS
    4806             :     1107320763U,        // VPKSH
    4807             :     1107326431U,        // VPKSHS
    4808             :     1509980558U,        // VPKZ
    4809             :     1107326587U,        // VPOPCT
    4810             :     33572671U,  // VPOPCTB
    4811             :     33576187U,  // VPOPCTF
    4812             :     33576919U,  // VPOPCTG
    4813             :     33578959U,  // VPOPCTH
    4814             :     1107323706U,        // VPSOP
    4815             :     1107323470U,        // VREP
    4816             :     1107314050U,        // VREPB
    4817             :     1107317949U,        // VREPF
    4818             :     1107318629U,        // VREPG
    4819             :     1107320699U,        // VREPH
    4820             :     1358979461U,        // VREPI
    4821             :     285230274U, // VREPIB
    4822             :     285234136U, // VREPIF
    4823             :     285234842U, // VREPIG
    4824             :     285235792U, // VREPIH
    4825             :     1107323759U,        // VRP
    4826             :     1107326544U,        // VS
    4827             :     1107314472U,        // VSB
    4828             :     1107321019U,        // VSBCBI
    4829             :     1107323823U,        // VSBCBIQ
    4830             :     1107321034U,        // VSBI
    4831             :     1107323840U,        // VSBIQ
    4832             :     1107321027U,        // VSCBI
    4833             :     1107313819U,        // VSCBIB
    4834             :     1107317705U,        // VSCBIF
    4835             :     1107318411U,        // VSCBIG
    4836             :     1107319324U,        // VSCBIH
    4837             :     1107323832U,        // VSCBIQ
    4838             :     2701152981U,        // VSCEF
    4839             :     3774895650U,        // VSCEG
    4840             :     1107323452U,        // VSDP
    4841             :     1107318338U,        // VSEG
    4842             :     33571902U,  // VSEGB
    4843             :     33575709U,  // VSEGF
    4844             :     33577334U,  // VSEGH
    4845             :     1107321709U,        // VSEL
    4846             :     1107318006U,        // VSF
    4847             :     1107318726U,        // VSG
    4848             :     1107320778U,        // VSH
    4849             :     1107322481U,        // VSL
    4850             :     1107313960U,        // VSLB
    4851             :     1107313411U,        // VSLDB
    4852             :     1107323781U,        // VSP
    4853             :     1107323871U,        // VSQ
    4854             :     1107312936U,        // VSRA
    4855             :     1107313086U,        // VSRAB
    4856             :     1107322443U,        // VSRL
    4857             :     1107313946U,        // VSRLB
    4858             :     1107323753U,        // VSRP
    4859             :     134248274U, // VST
    4860             :     1207976995U,        // VSTEB
    4861             :     1207980812U,        // VSTEF
    4862             :     1207981640U,        // VSTEG
    4863             :     1207982401U,        // VSTEH
    4864             :     1107322590U,        // VSTL
    4865             :     1107322996U,        // VSTM
    4866             :     1107315004U,        // VSTRC
    4867             :     1107313129U,        // VSTRCB
    4868             :     1107325804U,        // VSTRCBS
    4869             :     1107317439U,        // VSTRCF
    4870             :     1107326199U,        // VSTRCFS
    4871             :     1107319011U,        // VSTRCH
    4872             :     1107326365U,        // VSTRCHS
    4873             :     1107314765U,        // VSTRCZB
    4874             :     1107326150U,        // VSTRCZBS
    4875             :     1107318080U,        // VSTRCZF
    4876             :     1107326287U,        // VSTRCZFS
    4877             :     1107320957U,        // VSTRCZH
    4878             :     1107326448U,        // VSTRCZHS
    4879             :     1509975633U,        // VSTRL
    4880             :     1107325137U,        // VSTRLR
    4881             :     1107323007U,        // VSUM
    4882             :     1107314001U,        // VSUMB
    4883             :     1107318596U,        // VSUMG
    4884             :     1107317564U,        // VSUMGF
    4885             :     1107319176U,        // VSUMGH
    4886             :     1107320318U,        // VSUMH
    4887             :     1107323847U,        // VSUMQ
    4888             :     1107317969U,        // VSUMQF
    4889             :     1107318661U,        // VSUMQG
    4890             :     33581178U,  // VTM
    4891             :     3173258U,   // VTP
    4892             :     1107320712U,        // VUPH
    4893             :     33571981U,  // VUPHB
    4894             :     33575867U,  // VUPHF
    4895             :     33577475U,  // VUPHH
    4896             :     1509980551U,        // VUPKZ
    4897             :     1107322288U,        // VUPL
    4898             :     33572100U,  // VUPLB
    4899             :     33576006U,  // VUPLF
    4900             :     1107320129U,        // VUPLH
    4901             :     33571967U,  // VUPLHB
    4902             :     33575853U,  // VUPLHF
    4903             :     33577455U,  // VUPLHH
    4904             :     33585156U,  // VUPLHW
    4905             :     1107321926U,        // VUPLL
    4906             :     33572071U,  // VUPLLB
    4907             :     33575977U,  // VUPLLF
    4908             :     33577903U,  // VUPLLH
    4909             :     1107327051U,        // VX
    4910             :     3172836U,   // VZERO
    4911             :     1107313719U,        // WCDGB
    4912             :     1107313741U,        // WCDLGB
    4913             :     1107313317U,        // WCGDB
    4914             :     1107313332U,        // WCLGDB
    4915             :     1107313144U,        // WFADB
    4916             :     1107314109U,        // WFASB
    4917             :     1107314552U,        // WFAXB
    4918             :     1107314869U,        // WFC
    4919             :     33571361U,  // WFCDB
    4920             :     1107313236U,        // WFCEDB
    4921             :     1107325822U,        // WFCEDBS
    4922             :     1107314195U,        // WFCESB
    4923             :     1107325991U,        // WFCESBS
    4924             :     1107314604U,        // WFCEXB
    4925             :     1107326094U,        // WFCEXBS
    4926             :     1107313348U,        // WFCHDB
    4927             :     1107325898U,        // WFCHDBS
    4928             :     1107313253U,        // WFCHEDB
    4929             :     1107325841U,        // WFCHEDBS
    4930             :     1107314212U,        // WFCHESB
    4931             :     1107326010U,        // WFCHESBS
    4932             :     1107314612U,        // WFCHEXB
    4933             :     1107326103U,        // WFCHEXBS
    4934             :     1107314263U,        // WFCHSB
    4935             :     1107326067U,        // WFCHSBS
    4936             :     1107314638U,        // WFCHXB
    4937             :     1107326132U,        // WFCHXBS
    4938             :     33572326U,  // WFCSB
    4939             :     33572752U,  // WFCXB
    4940             :     1107313221U,        // WFDDB
    4941             :     1107314180U,        // WFDSB
    4942             :     1107314597U,        // WFDXB
    4943             :     1107313397U,        // WFIDB
    4944             :     1107314312U,        // WFISB
    4945             :     1107314663U,        // WFIXB
    4946             :     1107321423U,        // WFK
    4947             :     33571580U,  // WFKDB
    4948             :     1107313288U,        // WFKEDB
    4949             :     1107325880U,        // WFKEDBS
    4950             :     1107314247U,        // WFKESB
    4951             :     1107326049U,        // WFKESBS
    4952             :     1107314630U,        // WFKEXB
    4953             :     1107326123U,        // WFKEXBS
    4954             :     1107313364U,        // WFKHDB
    4955             :     1107325916U,        // WFKHDBS
    4956             :     1107313271U,        // WFKHEDB
    4957             :     1107325861U,        // WFKHEDBS
    4958             :     1107314230U,        // WFKHESB
    4959             :     1107326030U,        // WFKHESBS
    4960             :     1107314621U,        // WFKHEXB
    4961             :     1107326113U,        // WFKHEXBS
    4962             :     1107314279U,        // WFKHSB
    4963             :     1107326085U,        // WFKHSBS
    4964             :     1107314646U,        // WFKHXB
    4965             :     1107326141U,        // WFKHXBS
    4966             :     33572495U,  // WFKSB
    4967             :     33572846U,  // WFKXB
    4968             :     33571376U,  // WFLCDB
    4969             :     33572341U,  // WFLCSB
    4970             :     33572759U,  // WFLCXB
    4971             :     33573273U,  // WFLLD
    4972             :     33584682U,  // WFLLS
    4973             :     33571634U,  // WFLNDB
    4974             :     33572542U,  // WFLNSB
    4975             :     33572875U,  // WFLNXB
    4976             :     33571668U,  // WFLPDB
    4977             :     33572576U,  // WFLPSB
    4978             :     33572892U,  // WFLPXB
    4979             :     1107315139U,        // WFLRD
    4980             :     1107327044U,        // WFLRX
    4981             :     1107313159U,        // WFMADB
    4982             :     1107314124U,        // WFMASB
    4983             :     1107314559U,        // WFMAXB
    4984             :     1107313573U,        // WFMAXDB
    4985             :     1107314486U,        // WFMAXSB
    4986             :     1107314756U,        // WFMAXXB
    4987             :     1107313425U,        // WFMDB
    4988             :     1107313441U,        // WFMINDB
    4989             :     1107314349U,        // WFMINSB
    4990             :     1107314690U,        // WFMINXB
    4991             :     1107314333U,        // WFMSB
    4992             :     1107313538U,        // WFMSDB
    4993             :     1107314446U,        // WFMSSB
    4994             :     1107314739U,        // WFMSXB
    4995             :     1107314677U,        // WFMXB
    4996             :     1107313176U,        // WFNMADB
    4997             :     1107314141U,        // WFNMASB
    4998             :     1107314567U,        // WFNMAXB
    4999             :     1107313555U,        // WFNMSDB
    5000             :     1107314463U,        // WFNMSSB
    5001             :     1107314747U,        // WFNMSXB
    5002             :     1107313475U,        // WFPSODB
    5003             :     1107314383U,        // WFPSOSB
    5004             :     1107314707U,        // WFPSOXB
    5005             :     1107313523U,        // WFSDB
    5006             :     33571684U,  // WFSQDB
    5007             :     33572592U,  // WFSQSB
    5008             :     33572900U,  // WFSQXB
    5009             :     1107314431U,        // WFSSB
    5010             :     1107314732U,        // WFSXB
    5011             :     1107313381U,        // WFTCIDB
    5012             :     1107314296U,        // WFTCISB
    5013             :     1107314654U,        // WFTCIXB
    5014             :     33571797U,  // WLDEB
    5015             :     1107313303U,        // WLEDB
    5016             :     30753U,     // X
    5017             :     302041451U, // XC
    5018             :     22591U,     // XG
    5019             :     16805876U,  // XGR
    5020             :     1107321536U,        // XGRK
    5021             :     453026289U, // XI
    5022             :     100684690U, // XIHF
    5023             :     100684835U, // XILF
    5024             :     453032140U, // XIY
    5025             :     16806640U,  // XR
    5026             :     1107321569U,        // XRK
    5027             :     15511U,     // XSCH
    5028             :     31011U,     // XY
    5029             :     117500441U, // ZAP
    5030             :   };
    5031             : 
    5032             :   static const uint16_t OpInfo1[] = {
    5033             :     0U, // PHI
    5034             :     0U, // INLINEASM
    5035             :     0U, // CFI_INSTRUCTION
    5036             :     0U, // EH_LABEL
    5037             :     0U, // GC_LABEL
    5038             :     0U, // ANNOTATION_LABEL
    5039             :     0U, // KILL
    5040             :     0U, // EXTRACT_SUBREG
    5041             :     0U, // INSERT_SUBREG
    5042             :     0U, // IMPLICIT_DEF
    5043             :     0U, // SUBREG_TO_REG
    5044             :     0U, // COPY_TO_REGCLASS
    5045             :     0U, // DBG_VALUE
    5046             :     0U, // DBG_LABEL
    5047             :     0U, // REG_SEQUENCE
    5048             :     0U, // COPY
    5049             :     0U, // BUNDLE
    5050             :     0U, // LIFETIME_START
    5051             :     0U, // LIFETIME_END
    5052             :     0U, // STACKMAP
    5053             :     0U, // FENTRY_CALL
    5054             :     0U, // PATCHPOINT
    5055             :     0U, // LOAD_STACK_GUARD
    5056             :     0U, // STATEPOINT
    5057             :     0U, // LOCAL_ESCAPE
    5058             :     0U, // FAULTING_OP
    5059             :     0U, // PATCHABLE_OP
    5060             :     0U, // PATCHABLE_FUNCTION_ENTER
    5061             :     0U, // PATCHABLE_RET
    5062             :     0U, // PATCHABLE_FUNCTION_EXIT
    5063             :     0U, // PATCHABLE_TAIL_CALL
    5064             :     0U, // PATCHABLE_EVENT_CALL
    5065             :     0U, // PATCHABLE_TYPED_EVENT_CALL
    5066             :     0U, // ICALL_BRANCH_FUNNEL
    5067             :     0U, // G_ADD
    5068             :     0U, // G_SUB
    5069             :     0U, // G_MUL
    5070             :     0U, // G_SDIV
    5071             :     0U, // G_UDIV
    5072             :     0U, // G_SREM
    5073             :     0U, // G_UREM
    5074             :     0U, // G_AND
    5075             :     0U, // G_OR
    5076             :     0U, // G_XOR
    5077             :     0U, // G_IMPLICIT_DEF
    5078             :     0U, // G_PHI
    5079             :     0U, // G_FRAME_INDEX
    5080             :     0U, // G_GLOBAL_VALUE
    5081             :     0U, // G_EXTRACT
    5082             :     0U, // G_UNMERGE_VALUES
    5083             :     0U, // G_INSERT
    5084             :     0U, // G_MERGE_VALUES
    5085             :     0U, // G_PTRTOINT
    5086             :     0U, // G_INTTOPTR
    5087             :     0U, // G_BITCAST
    5088             :     0U, // G_INTRINSIC_TRUNC
    5089             :     0U, // G_INTRINSIC_ROUND
    5090             :     0U, // G_LOAD
    5091             :     0U, // G_SEXTLOAD
    5092             :     0U, // G_ZEXTLOAD
    5093             :     0U, // G_STORE
    5094             :     0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
    5095             :     0U, // G_ATOMIC_CMPXCHG
    5096             :     0U, // G_ATOMICRMW_XCHG
    5097             :     0U, // G_ATOMICRMW_ADD
    5098             :     0U, // G_ATOMICRMW_SUB
    5099             :     0U, // G_ATOMICRMW_AND
    5100             :     0U, // G_ATOMICRMW_NAND
    5101             :     0U, // G_ATOMICRMW_OR
    5102             :     0U, // G_ATOMICRMW_XOR
    5103             :     0U, // G_ATOMICRMW_MAX
    5104             :     0U, // G_ATOMICRMW_MIN
    5105             :     0U, // G_ATOMICRMW_UMAX
    5106             :     0U, // G_ATOMICRMW_UMIN
    5107             :     0U, // G_BRCOND
    5108             :     0U, // G_BRINDIRECT
    5109             :     0U, // G_INTRINSIC
    5110             :     0U, // G_INTRINSIC_W_SIDE_EFFECTS
    5111             :     0U, // G_ANYEXT
    5112             :     0U, // G_TRUNC
    5113             :     0U, // G_CONSTANT
    5114             :     0U, // G_FCONSTANT
    5115             :     0U, // G_VASTART
    5116             :     0U, // G_VAARG
    5117             :     0U, // G_SEXT
    5118             :     0U, // G_ZEXT
    5119             :     0U, // G_SHL
    5120             :     0U, // G_LSHR
    5121             :     0U, // G_ASHR
    5122             :     0U, // G_ICMP
    5123             :     0U, // G_FCMP
    5124             :     0U, // G_SELECT
    5125             :     0U, // G_UADDO
    5126             :     0U, // G_UADDE
    5127             :     0U, // G_USUBO
    5128             :     0U, // G_USUBE
    5129             :     0U, // G_SADDO
    5130             :     0U, // G_SADDE
    5131             :     0U, // G_SSUBO
    5132             :     0U, // G_SSUBE
    5133             :     0U, // G_UMULO
    5134             :     0U, // G_SMULO
    5135             :     0U, // G_UMULH
    5136             :     0U, // G_SMULH
    5137             :     0U, // G_FADD
    5138             :     0U, // G_FSUB
    5139             :     0U, // G_FMUL
    5140             :     0U, // G_FMA
    5141             :     0U, // G_FDIV
    5142             :     0U, // G_FREM
    5143             :     0U, // G_FPOW
    5144             :     0U, // G_FEXP
    5145             :     0U, // G_FEXP2
    5146             :     0U, // G_FLOG
    5147             :     0U, // G_FLOG2
    5148             :     0U, // G_FNEG
    5149             :     0U, // G_FPEXT
    5150             :     0U, // G_FPTRUNC
    5151             :     0U, // G_FPTOSI
    5152             :     0U, // G_FPTOUI
    5153             :     0U, // G_SITOFP
    5154             :     0U, // G_UITOFP
    5155             :     0U, // G_FABS
    5156             :     0U, // G_GEP
    5157             :     0U, // G_PTR_MASK
    5158             :     0U, // G_BR
    5159             :     0U, // G_INSERT_VECTOR_ELT
    5160             :     0U, // G_EXTRACT_VECTOR_ELT
    5161             :     0U, // G_SHUFFLE_VECTOR
    5162             :     0U, // G_CTTZ
    5163             :     0U, // G_CTTZ_ZERO_UNDEF
    5164             :     0U, // G_CTLZ
    5165             :     0U, // G_CTLZ_ZERO_UNDEF
    5166             :     0U, // G_CTPOP
    5167             :     0U, // G_BSWAP
    5168             :     0U, // G_ADDRSPACE_CAST
    5169             :     0U, // G_BLOCK_ADDR
    5170             :     0U, // ADJCALLSTACKDOWN
    5171             :     0U, // ADJCALLSTACKUP
    5172             :     0U, // ADJDYNALLOC
    5173             :     0U, // AEXT128
    5174             :     0U, // AFIMux
    5175             :     0U, // AHIMux
    5176             :     0U, // AHIMuxK
    5177             :     0U, // ATOMIC_CMP_SWAPW
    5178             :     0U, // ATOMIC_LOADW_AFI
    5179             :     0U, // ATOMIC_LOADW_AR
    5180             :     0U, // ATOMIC_LOADW_MAX
    5181             :     0U, // ATOMIC_LOADW_MIN
    5182             :     0U, // ATOMIC_LOADW_NILH
    5183             :     0U, // ATOMIC_LOADW_NILHi
    5184             :     0U, // ATOMIC_LOADW_NR
    5185             :     0U, // ATOMIC_LOADW_NRi
    5186             :     0U, // ATOMIC_LOADW_OILH
    5187             :     0U, // ATOMIC_LOADW_OR
    5188             :     0U, // ATOMIC_LOADW_SR
    5189             :     0U, // ATOMIC_LOADW_UMAX
    5190             :     0U, // ATOMIC_LOADW_UMIN
    5191             :     0U, // ATOMIC_LOADW_XILF
    5192             :     0U, // ATOMIC_LOADW_XR
    5193             :     0U, // ATOMIC_LOAD_AFI
    5194             :     0U, // ATOMIC_LOAD_AGFI
    5195             :     0U, // ATOMIC_LOAD_AGHI
    5196             :     0U, // ATOMIC_LOAD_AGR
    5197             :     0U, // ATOMIC_LOAD_AHI
    5198             :     0U, // ATOMIC_LOAD_AR
    5199             :     0U, // ATOMIC_LOAD_MAX_32
    5200             :     0U, // ATOMIC_LOAD_MAX_64
    5201             :     0U, // ATOMIC_LOAD_MIN_32
    5202             :     0U, // ATOMIC_LOAD_MIN_64
    5203             :     0U, // ATOMIC_LOAD_NGR
    5204             :     0U, // ATOMIC_LOAD_NGRi
    5205             :     0U, // ATOMIC_LOAD_NIHF64
    5206             :     0U, // ATOMIC_LOAD_NIHF64i
    5207             :     0U, // ATOMIC_LOAD_NIHH64
    5208             :     0U, // ATOMIC_LOAD_NIHH64i
    5209             :     0U, // ATOMIC_LOAD_NIHL64
    5210             :     0U, // ATOMIC_LOAD_NIHL64i
    5211             :     0U, // ATOMIC_LOAD_NILF
    5212             :     0U, // ATOMIC_LOAD_NILF64
    5213             :     0U, // ATOMIC_LOAD_NILF64i
    5214             :     0U, // ATOMIC_LOAD_NILFi
    5215             :     0U, // ATOMIC_LOAD_NILH
    5216             :     0U, // ATOMIC_LOAD_NILH64
    5217             :     0U, // ATOMIC_LOAD_NILH64i
    5218             :     0U, // ATOMIC_LOAD_NILHi
    5219             :     0U, // ATOMIC_LOAD_NILL
    5220             :     0U, // ATOMIC_LOAD_NILL64
    5221             :     0U, // ATOMIC_LOAD_NILL64i
    5222             :     0U, // ATOMIC_LOAD_NILLi
    5223             :     0U, // ATOMIC_LOAD_NR
    5224             :     0U, // ATOMIC_LOAD_NRi
    5225             :     0U, // ATOMIC_LOAD_OGR
    5226             :     0U, // ATOMIC_LOAD_OIHF64
    5227             :     0U, // ATOMIC_LOAD_OIHH64
    5228             :     0U, // ATOMIC_LOAD_OIHL64
    5229             :     0U, // ATOMIC_LOAD_OILF
    5230             :     0U, // ATOMIC_LOAD_OILF64
    5231             :     0U, // ATOMIC_LOAD_OILH
    5232             :     0U, // ATOMIC_LOAD_OILH64
    5233             :     0U, // ATOMIC_LOAD_OILL
    5234             :     0U, // ATOMIC_LOAD_OILL64
    5235             :     0U, // ATOMIC_LOAD_OR
    5236             :     0U, // ATOMIC_LOAD_SGR
    5237             :     0U, // ATOMIC_LOAD_SR
    5238             :     0U, // ATOMIC_LOAD_UMAX_32
    5239             :     0U, // ATOMIC_LOAD_UMAX_64
    5240             :     0U, // ATOMIC_LOAD_UMIN_32
    5241             :     0U, // ATOMIC_LOAD_UMIN_64
    5242             :     0U, // ATOMIC_LOAD_XGR
    5243             :     0U, // ATOMIC_LOAD_XIHF64
    5244             :     0U, // ATOMIC_LOAD_XILF
    5245             :     0U, // ATOMIC_LOAD_XILF64
    5246             :     0U, // ATOMIC_LOAD_XR
    5247             :     0U, // ATOMIC_SWAPW
    5248             :     0U, // ATOMIC_SWAP_32
    5249             :     0U, // ATOMIC_SWAP_64
    5250             :     0U, // CFIMux
    5251             :     0U, // CGIBCall
    5252             :     0U, // CGIBReturn
    5253             :     0U, // CGRBCall
    5254             :     0U, // CGRBReturn
    5255             :     0U, // CHIMux
    5256             :     0U, // CIBCall
    5257             :     0U, // CIBReturn
    5258             :     0U, // CLCLoop
    5259             :     0U, // CLCSequence
    5260             :     0U, // CLFIMux
    5261             :     0U, // CLGIBCall
    5262             :     0U, // CLGIBReturn
    5263             :     0U, // CLGRBCall
    5264             :     0U, // CLGRBReturn
    5265             :     0U, // CLIBCall
    5266             :     0U, // CLIBReturn
    5267             :     0U, // CLMux
    5268             :     0U, // CLRBCall
    5269             :     0U, // CLRBReturn
    5270             :     0U, // CLSTLoop
    5271             :     0U, // CMux
    5272             :     0U, // CRBCall
    5273             :     0U, // CRBReturn
    5274             :     0U, // CallBASR
    5275             :     0U, // CallBCR
    5276             :     0U, // CallBR
    5277             :     0U, // CallBRASL
    5278             :     0U, // CallBRCL
    5279             :     0U, // CallJG
    5280             :     0U, // CondReturn
    5281             :     0U, // CondStore16
    5282             :     0U, // CondStore16Inv
    5283             :     0U, // CondStore16Mux
    5284             :     0U, // CondStore16MuxInv
    5285             :     0U, // CondStore32
    5286             :     0U, // CondStore32Inv
    5287             :     0U, // CondStore32Mux
    5288             :     0U, // CondStore32MuxInv
    5289             :     0U, // CondStore64
    5290             :     0U, // CondStore64Inv
    5291             :     0U, // CondStore8
    5292             :     0U, // CondStore8Inv
    5293             :     0U, // CondStore8Mux
    5294             :     0U, // CondStore8MuxInv
    5295             :     0U, // CondStoreF32
    5296             :     0U, // CondStoreF32Inv
    5297             :     0U, // CondStoreF64
    5298             :     0U, // CondStoreF64Inv
    5299             :     0U, // CondTrap
    5300             :     0U, // GOT
    5301             :     0U, // IIFMux
    5302             :     0U, // IIHF64
    5303             :     0U, // IIHH64
    5304             :     0U, // IIHL64
    5305             :     0U, // IIHMux
    5306             :     0U, // IILF64
    5307             :     0U, // IILH64
    5308             :     0U, // IILL64
    5309             :     0U, // IILMux
    5310             :     0U, // L128
    5311             :     0U, // LBMux
    5312             :     0U, // LEFR
    5313             :     0U, // LFER
    5314             :     0U, // LHIMux
    5315             :     0U, // LHMux
    5316             :     0U, // LLCMux
    5317             :     0U, // LLCRMux
    5318             :     0U, // LLHMux
    5319             :     0U, // LLHRMux
    5320             :     0U, // LMux
    5321             :     0U, // LOCHIMux
    5322             :     0U, // LOCMux
    5323             :     0U, // LOCRMux
    5324             :     0U, // LRMux
    5325             :     0U, // LTDBRCompare_VecPseudo
    5326             :     0U, // LTEBRCompare_VecPseudo
    5327             :     0U, // LTXBRCompare_VecPseudo
    5328             :     0U, // LX
    5329             :     0U, // MVCLoop
    5330             :     0U, // MVCSequence
    5331             :     0U, // MVSTLoop
    5332             :     0U, // MemBarrier
    5333             :     0U, // NCLoop
    5334             :     0U, // NCSequence
    5335             :     0U, // NIFMux
    5336             :     0U, // NIHF64
    5337             :     0U, // NIHH64
    5338             :     0U, // NIHL64
    5339             :     0U, // NIHMux
    5340             :     0U, // NILF64
    5341             :     0U, // NILH64
    5342             :     0U, // NILL64
    5343             :     0U, // NILMux
    5344             :     0U, // OCLoop
    5345             :     0U, // OCSequence
    5346             :     0U, // OIFMux
    5347             :     0U, // OIHF64
    5348             :     0U, // OIHH64
    5349             :     0U, // OIHL64
    5350             :     0U, // OIHMux
    5351             :     0U, // OILF64
    5352             :     0U, // OILH64
    5353             :     0U, // OILL64
    5354             :     0U, // OILMux
    5355             :     0U, // PAIR128
    5356             :     0U, // RISBHH
    5357             :     0U, // RISBHL
    5358             :     0U, // RISBLH
    5359             :     0U, // RISBLL
    5360             :     0U, // RISBMux
    5361             :     0U, // Return
    5362             :     0U, // SRSTLoop
    5363             :     0U, // ST128
    5364             :     0U, // STCMux
    5365             :     0U, // STHMux
    5366             :     0U, // STMux
    5367             :     0U, // STOCMux
    5368             :     0U, // STX
    5369             :     0U, // Select32
    5370             :     0U, // Select64
    5371             :     0U, // SelectF128
    5372             :     0U, // SelectF32
    5373             :     0U, // SelectF64
    5374             :     0U, // SelectVR128
    5375             :     0U, // SelectVR32
    5376             :     0U, // SelectVR64
    5377             :     0U, // Serialize
    5378             :     0U, // TBEGIN_nofloat
    5379             :     0U, // TLS_GDCALL
    5380             :     0U, // TLS_LDCALL
    5381             :     0U, // TMHH64
    5382             :     0U, // TMHL64
    5383             :     0U, // TMHMux
    5384             :     0U, // TMLH64
    5385             :     0U, // TMLL64
    5386             :     0U, // TMLMux
    5387             :     0U, // Trap
    5388             :     0U, // VL32
    5389             :     0U, // VL64
    5390             :     0U, // VLR32
    5391             :     0U, // VLR64
    5392             :     0U, // VLVGP32
    5393             :     0U, // VST32
    5394             :     0U, // VST64
    5395             :     0U, // XCLoop
    5396             :     0U, // XCSequence
    5397             :     0U, // XIFMux
    5398             :     0U, // XIHF64
    5399             :     0U, // XILF64
    5400             :     0U, // ZEXT128
    5401             :     0U, // A
    5402             :     0U, // AD
    5403             :     0U, // ADB
    5404             :     0U, // ADBR
    5405             :     0U, // ADR
    5406             :     0U, // ADTR
    5407             :     512U,       // ADTRA
    5408             :     0U, // AE
    5409             :     0U, // AEB
    5410             :     0U, // AEBR
    5411             :     0U, // AER
    5412             :     0U, // AFI
    5413             :     0U, // AG
    5414             :     0U, // AGF
    5415             :     0U, // AGFI
    5416             :     0U, // AGFR
    5417             :     0U, // AGH
    5418             :     0U, // AGHI
    5419             :     8U, // AGHIK
    5420             :     0U, // AGR
    5421             :     0U, // AGRK
    5422             :     0U, // AGSI
    5423             :     0U, // AH
    5424             :     0U, // AHHHR
    5425             :     0U, // AHHLR
    5426             :     0U, // AHI
    5427             :     8U, // AHIK
    5428             :     0U, // AHY
    5429             :     0U, // AIH
    5430             :     0U, // AL
    5431             :     0U, // ALC
    5432             :     0U, // ALCG
    5433             :     0U, // ALCGR
    5434             :     0U, // ALCR
    5435             :     0U, // ALFI
    5436             :     0U, // ALG
    5437             :     0U, // ALGF
    5438             :     0U, // ALGFI
    5439             :     0U, // ALGFR
    5440             :     8U, // ALGHSIK
    5441             :     0U, // ALGR
    5442             :     0U, // ALGRK
    5443             :     0U, // ALGSI
    5444             :     0U, // ALHHHR
    5445             :     0U, // ALHHLR
    5446             :     8U, // ALHSIK
    5447             :     0U, // ALR
    5448             :     0U, // ALRK
    5449             :     0U, // ALSI
    5450             :     0U, // ALSIH
    5451             :     0U, // ALSIHN
    5452             :     0U, // ALY
    5453             :     0U, // AP
    5454             :     0U, // AR
    5455             :     0U, // ARK
    5456             :     0U, // ASI
    5457             :     0U, // AU
    5458             :     0U, // AUR
    5459             :     0U, // AW
    5460             :     0U, // AWR
    5461             :     0U, // AXBR
    5462             :     0U, // AXR
    5463             :     0U, // AXTR
    5464             :     512U,       // AXTRA
    5465             :     0U, // AY
    5466             :     0U, // B
    5467             :     0U, // BAKR
    5468             :     0U, // BAL
    5469             :     0U, // BALR
    5470             :     0U, // BAS
    5471             :     0U, // BASR
    5472             :     0U, // BASSM
    5473             :     0U, // BAsmE
    5474             :     0U, // BAsmH
    5475             :     0U, // BAsmHE
    5476             :     0U, // BAsmL
    5477             :     0U, // BAsmLE
    5478             :     0U, // BAsmLH
    5479             :     0U, // BAsmM
    5480             :     0U, // BAsmNE
    5481             :     0U, // BAsmNH
    5482             :     0U, // BAsmNHE
    5483             :     0U, // BAsmNL
    5484             :     0U, // BAsmNLE
    5485             :     0U, // BAsmNLH
    5486             :     0U, // BAsmNM
    5487             :     0U, // BAsmNO
    5488             :     0U, // BAsmNP
    5489             :     0U, // BAsmNZ
    5490             :     0U, // BAsmO
    5491             :     0U, // BAsmP
    5492             :     0U, // BAsmZ
    5493             :     0U, // BC
    5494             :     0U, // BCAsm
    5495             :     0U, // BCR
    5496             :     0U, // BCRAsm
    5497             :     0U, // BCT
    5498             :     0U, // BCTG
    5499             :     0U, // BCTGR
    5500             :     0U, // BCTR
    5501             :     0U, // BI
    5502             :     0U, // BIAsmE
    5503             :     0U, // BIAsmH
    5504             :     0U, // BIAsmHE
    5505             :     0U, // BIAsmL
    5506             :     0U, // BIAsmLE
    5507             :     0U, // BIAsmLH
    5508             :     0U, // BIAsmM
    5509             :     0U, // BIAsmNE
    5510             :     0U, // BIAsmNH
    5511             :     0U, // BIAsmNHE
    5512             :     0U, // BIAsmNL
    5513             :     0U, // BIAsmNLE
    5514             :     0U, // BIAsmNLH
    5515             :     0U, // BIAsmNM
    5516             :     0U, // BIAsmNO
    5517             :     0U, // BIAsmNP
    5518             :     0U, // BIAsmNZ
    5519             :     0U, // BIAsmO
    5520             :     0U, // BIAsmP
    5521             :     0U, // BIAsmZ
    5522             :     0U, // BIC
    5523             :     0U, // BICAsm
    5524             :     0U, // BPP
    5525             :     0U, // BPRP
    5526             :     0U, // BR
    5527             :     0U, // BRAS
    5528             :     0U, // BRASL
    5529             :     0U, // BRAsmE
    5530             :     0U, // BRAsmH
    5531             :     0U, // BRAsmHE
    5532             :     0U, // BRAsmL
    5533             :     0U, // BRAsmLE
    5534             :     0U, // BRAsmLH
    5535             :     0U, // BRAsmM
    5536             :     0U, // BRAsmNE
    5537             :     0U, // BRAsmNH
    5538             :     0U, // BRAsmNHE
    5539             :     0U, // BRAsmNL
    5540             :     0U, // BRAsmNLE
    5541             :     0U, // BRAsmNLH
    5542             :     0U, // BRAsmNM
    5543             :     0U, // BRAsmNO
    5544             :     0U, // BRAsmNP
    5545             :     0U, // BRAsmNZ
    5546             :     0U, // BRAsmO
    5547             :     0U, // BRAsmP
    5548             :     0U, // BRAsmZ
    5549             :     0U, // BRC
    5550             :     0U, // BRCAsm
    5551             :     0U, // BRCL
    5552             :     0U, // BRCLAsm
    5553             :     0U, // BRCT
    5554             :     0U, // BRCTG
    5555             :     0U, // BRCTH
    5556             :     16U,        // BRXH
    5557             :     16U,        // BRXHG
    5558             :     16U,        // BRXLE
    5559             :     16U,        // BRXLG
    5560             :     0U, // BSA
    5561             :     0U, // BSG
    5562             :     0U, // BSM
    5563             :     24U,        // BXH
    5564             :     24U,        // BXHG
    5565             :     24U,        // BXLE
    5566             :     24U,        // BXLEG
    5567             :     0U, // C
    5568             :     0U, // CD
    5569             :     0U, // CDB
    5570             :     0U, // CDBR
    5571             :     0U, // CDFBR
    5572             :     33U,        // CDFBRA
    5573             :     0U, // CDFR
    5574             :     33U,        // CDFTR
    5575             :     0U, // CDGBR
    5576             :     33U,        // CDGBRA
    5577             :     0U, // CDGR
    5578             :     0U, // CDGTR
    5579             :     33U,        // CDGTRA
    5580             :     33U,        // CDLFBR
    5581             :     33U,        // CDLFTR
    5582             :     33U,        // CDLGBR
    5583             :     33U,        // CDLGTR
    5584             :     0U, // CDPT
    5585             :     0U, // CDR
    5586             :     24U,        // CDS
    5587             :     24U,        // CDSG
    5588             :     0U, // CDSTR
    5589             :     24U,        // CDSY
    5590             :     0U, // CDTR
    5591             :     0U, // CDUTR
    5592             :     0U, // CDZT
    5593             :     0U, // CE
    5594             :     0U, // CEB
    5595             :     0U, // CEBR
    5596             :     0U, // CEDTR
    5597             :     0U, // CEFBR
    5598             :     33U,        // CEFBRA
    5599             :     0U, // CEFR
    5600             :     0U, // CEGBR
    5601             :     33U,        // CEGBRA
    5602             :     0U, // CEGR
    5603             :     33U,        // CELFBR
    5604             :     33U,        // CELGBR
    5605             :     0U, // CER
    5606             :     0U, // CEXTR
    5607             :     0U, // CFC
    5608             :     41U,        // CFDBR
    5609             :     33U,        // CFDBRA
    5610             :     41U,        // CFDR
    5611             :     33U,        // CFDTR
    5612             :     41U,        // CFEBR
    5613             :     33U,        // CFEBRA
    5614             :     41U,        // CFER
    5615             :     0U, // CFI
    5616             :     41U,        // CFXBR
    5617             :     33U,        // CFXBRA
    5618             :     41U,        // CFXR
    5619             :     33U,        // CFXTR
    5620             :     0U, // CG
    5621             :     41U,        // CGDBR
    5622             :     33U,        // CGDBRA
    5623             :     41U,        // CGDR
    5624             :     41U,        // CGDTR
    5625             :     33U,        // CGDTRA
    5626             :     41U,        // CGEBR
    5627             :     33U,        // CGEBRA
    5628             :     41U,        // CGER
    5629             :     0U, // CGF
    5630             :     0U, // CGFI
    5631             :     0U, // CGFR
    5632             :     0U, // CGFRL
    5633             :     0U, // CGH
    5634             :     0U, // CGHI
    5635             :     0U, // CGHRL
    5636             :     0U, // CGHSI
    5637             :     0U, // CGIB
    5638             :     25U,        // CGIBAsm
    5639             :     1U, // CGIBAsmE
    5640             :     1U, // CGIBAsmH
    5641             :     1U, // CGIBAsmHE
    5642             :     1U, // CGIBAsmL
    5643             :     1U, // CGIBAsmLE
    5644             :     1U, // CGIBAsmLH
    5645             :     1U, // CGIBAsmNE
    5646             :     1U, // CGIBAsmNH
    5647             :     1U, // CGIBAsmNHE
    5648             :     1U, // CGIBAsmNL
    5649             :     1U, // CGIBAsmNLE
    5650             :     1U, // CGIBAsmNLH
    5651             :     0U, // CGIJ
    5652             :     17U,        // CGIJAsm
    5653             :     0U, // CGIJAsmE
    5654             :     0U, // CGIJAsmH
    5655             :     0U, // CGIJAsmHE
    5656             :     0U, // CGIJAsmL
    5657             :     0U, // CGIJAsmLE
    5658             :     0U, // CGIJAsmLH
    5659             :     0U, // CGIJAsmNE
    5660             :     0U, // CGIJAsmNH
    5661             :     0U, // CGIJAsmNHE
    5662             :     0U, // CGIJAsmNL
    5663             :     0U, // CGIJAsmNLE
    5664             :     0U, // CGIJAsmNLH
    5665             :     0U, // CGIT
    5666             :     48U,        // CGITAsm
    5667             :     0U, // CGITAsmE
    5668             :     0U, // CGITAsmH
    5669             :     0U, // CGITAsmHE
    5670             :     0U, // CGITAsmL
    5671             :     0U, // CGITAsmLE
    5672             :     0U, // CGITAsmLH
    5673             :     0U, // CGITAsmNE
    5674             :     0U, // CGITAsmNH
    5675             :     0U, // CGITAsmNHE
    5676             :     0U, // CGITAsmNL
    5677             :     0U, // CGITAsmNLE
    5678             :     0U, // CGITAsmNLH
    5679             :     0U, // CGR
    5680             :     41U,        // CGRB
    5681             :     8752U,      // CGRBAsm
    5682             :     56U,        // CGRBAsmE
    5683             :     56U,        // CGRBAsmH
    5684             :     56U,        // CGRBAsmHE
    5685             :     56U,        // CGRBAsmL
    5686             :     56U,        // CGRBAsmLE
    5687             :     56U,        // CGRBAsmLH
    5688             :     56U,        // CGRBAsmNE
    5689             :     56U,        // CGRBAsmNH
    5690             :     56U,        // CGRBAsmNHE
    5691             :     56U,        // CGRBAsmNL
    5692             :     56U,        // CGRBAsmNLE
    5693             :     56U,        // CGRBAsmNLH
    5694             :     2U, // CGRJ
    5695             :     16944U,     // CGRJAsm
    5696             :     64U,        // CGRJAsmE
    5697             :     64U,        // CGRJAsmH
    5698             :     64U,        // CGRJAsmHE
    5699             :     64U,        // CGRJAsmL
    5700             :     64U,        // CGRJAsmLE
    5701             :     64U,        // CGRJAsmLH
    5702             :     64U,        // CGRJAsmNE
    5703             :     64U,        // CGRJAsmNH
    5704             :     64U,        // CGRJAsmNHE
    5705             :     64U,        // CGRJAsmNL
    5706             :     64U,        // CGRJAsmNLE
    5707             :     64U,        // CGRJAsmNLH
    5708             :     0U, // CGRL
    5709             :     0U, // CGRT
    5710             :     48U,        // CGRTAsm
    5711             :     0U, // CGRTAsmE
    5712             :     0U, // CGRTAsmH
    5713             :     0U, // CGRTAsmHE
    5714             :     0U, // CGRTAsmL
    5715             :     0U, // CGRTAsmLE
    5716             :     0U, // CGRTAsmLH
    5717             :     0U, // CGRTAsmNE
    5718             :     0U, // CGRTAsmNH
    5719             :     0U, // CGRTAsmNHE
    5720             :     0U, // CGRTAsmNL
    5721             :     0U, // CGRTAsmNLE
    5722             :     0U, // CGRTAsmNLH
    5723             :     41U,        // CGXBR
    5724             :     33U,        // CGXBRA
    5725             :     41U,        // CGXR
    5726             :     41U,        // CGXTR
    5727             :     33U,        // CGXTRA
    5728             :     0U, // CH
    5729             :     0U, // CHF
    5730             :     0U, // CHHR
    5731             :     0U, // CHHSI
    5732             :     0U, // CHI
    5733             :     0U, // CHLR
    5734             :     0U, // CHRL
    5735             :     0U, // CHSI
    5736             :     0U, // CHY
    5737             :     0U, // CIB
    5738             :     25U,        // CIBAsm
    5739             :     1U, // CIBAsmE
    5740             :     1U, // CIBAsmH
    5741             :     1U, // CIBAsmHE
    5742             :     1U, // CIBAsmL
    5743             :     1U, // CIBAsmLE
    5744             :     1U, // CIBAsmLH
    5745             :     1U, // CIBAsmNE
    5746             :     1U, // CIBAsmNH
    5747             :     1U, // CIBAsmNHE
    5748             :     1U, // CIBAsmNL
    5749             :     1U, // CIBAsmNLE
    5750             :     1U, // CIBAsmNLH
    5751             :     0U, // CIH
    5752             :     0U, // CIJ
    5753             :     17U,        // CIJAsm
    5754             :     0U, // CIJAsmE
    5755             :     0U, // CIJAsmH
    5756             :     0U, // CIJAsmHE
    5757             :     0U, // CIJAsmL
    5758             :     0U, // CIJAsmLE
    5759             :     0U, // CIJAsmLH
    5760             :     0U, // CIJAsmNE
    5761             :     0U, // CIJAsmNH
    5762             :     0U, // CIJAsmNHE
    5763             :     0U, // CIJAsmNL
    5764             :     0U, // CIJAsmNLE
    5765             :     0U, // CIJAsmNLH
    5766             :     0U, // CIT
    5767             :     48U,        // CITAsm
    5768             :     0U, // CITAsmE
    5769             :     0U, // CITAsmH
    5770             :     0U, // CITAsmHE
    5771             :     0U, // CITAsmL
    5772             :     0U, // CITAsmLE
    5773             :     0U, // CITAsmLH
    5774             :     0U, // CITAsmNE
    5775             :     0U, // CITAsmNH
    5776             :     0U, // CITAsmNHE
    5777             :     0U, // CITAsmNL
    5778             :     0U, // CITAsmNLE
    5779             :     0U, // CITAsmNLH
    5780             :     0U, // CKSM
    5781             :     0U, // CL
    5782             :     0U, // CLC
    5783             :     0U, // CLCL
    5784             :     72U,        // CLCLE
    5785             :     72U,        // CLCLU
    5786             :     33U,        // CLFDBR
    5787             :     33U,        // CLFDTR
    5788             :     33U,        // CLFEBR
    5789             :     0U, // CLFHSI
    5790             :     0U, // CLFI
    5791             :     0U, // CLFIT
    5792             :     48U,        // CLFITAsm
    5793             :     0U, // CLFITAsmE
    5794             :     0U, // CLFITAsmH
    5795             :     0U, // CLFITAsmHE
    5796             :     0U, // CLFITAsmL
    5797             :     0U, // CLFITAsmLE
    5798             :     0U, // CLFITAsmLH
    5799             :     0U, // CLFITAsmNE
    5800             :     0U, // CLFITAsmNH
    5801             :     0U, // CLFITAsmNHE
    5802             :     0U, // CLFITAsmNL
    5803             :     0U, // CLFITAsmNLE
    5804             :     0U, // CLFITAsmNLH
    5805             :     33U,        // CLFXBR
    5806             :     33U,        // CLFXTR
    5807             :     0U, // CLG
    5808             :     33U,        // CLGDBR
    5809             :     33U,        // CLGDTR
    5810             :     33U,        // CLGEBR
    5811             :     0U, // CLGF
    5812             :     0U, // CLGFI
    5813             :     0U, // CLGFR
    5814             :     0U, // CLGFRL
    5815             :     0U, // CLGHRL
    5816             :     0U, // CLGHSI
    5817             :     0U, // CLGIB
    5818             :     25U,        // CLGIBAsm
    5819             :     1U, // CLGIBAsmE
    5820             :     1U, // CLGIBAsmH
    5821             :     1U, // CLGIBAsmHE
    5822             :     1U, // CLGIBAsmL
    5823             :     1U, // CLGIBAsmLE
    5824             :     1U, // CLGIBAsmLH
    5825             :     1U, // CLGIBAsmNE
    5826             :     1U, // CLGIBAsmNH
    5827             :     1U, // CLGIBAsmNHE
    5828             :     1U, // CLGIBAsmNL
    5829             :     1U, // CLGIBAsmNLE
    5830             :     1U, // CLGIBAsmNLH
    5831             :     0U, // CLGIJ
    5832             :     17U,        // CLGIJAsm
    5833             :     0U, // CLGIJAsmE
    5834             :     0U, // CLGIJAsmH
    5835             :     0U, // CLGIJAsmHE
    5836             :     0U, // CLGIJAsmL
    5837             :     0U, // CLGIJAsmLE
    5838             :     0U, // CLGIJAsmLH
    5839             :     0U, // CLGIJAsmNE
    5840             :     0U, // CLGIJAsmNH
    5841             :     0U, // CLGIJAsmNHE
    5842             :     0U, // CLGIJAsmNL
    5843             :     0U, // CLGIJAsmNLE
    5844             :     0U, // CLGIJAsmNLH
    5845             :     0U, // CLGIT
    5846             :     48U,        // CLGITAsm
    5847             :     0U, // CLGITAsmE
    5848             :     0U, // CLGITAsmH
    5849             :     0U, // CLGITAsmHE
    5850             :     0U, // CLGITAsmL
    5851             :     0U, // CLGITAsmLE
    5852             :     0U, // CLGITAsmLH
    5853             :     0U, // CLGITAsmNE
    5854             :     0U, // CLGITAsmNH
    5855             :     0U, // CLGITAsmNHE
    5856             :     0U, // CLGITAsmNL
    5857             :     0U, // CLGITAsmNLE
    5858             :     0U, // CLGITAsmNLH
    5859             :     0U, // CLGR
    5860             :     41U,        // CLGRB
    5861             :     8752U,      // CLGRBAsm
    5862             :     56U,        // CLGRBAsmE
    5863             :     56U,        // CLGRBAsmH
    5864             :     56U,        // CLGRBAsmHE
    5865             :     56U,        // CLGRBAsmL
    5866             :     56U,        // CLGRBAsmLE
    5867             :     56U,        // CLGRBAsmLH
    5868             :     56U,        // CLGRBAsmNE
    5869             :     56U,        // CLGRBAsmNH
    5870             :     56U,        // CLGRBAsmNHE
    5871             :     56U,        // CLGRBAsmNL
    5872             :     56U,        // CLGRBAsmNLE
    5873             :     56U,        // CLGRBAsmNLH
    5874             :     2U, // CLGRJ
    5875             :     16944U,     // CLGRJAsm
    5876             :     64U,        // CLGRJAsmE
    5877             :     64U,        // CLGRJAsmH
    5878             :     64U,        // CLGRJAsmHE
    5879             :     64U,        // CLGRJAsmL
    5880             :     64U,        // CLGRJAsmLE
    5881             :     64U,        // CLGRJAsmLH
    5882             :     64U,        // CLGRJAsmNE
    5883             :     64U,        // CLGRJAsmNH
    5884             :     64U,        // CLGRJAsmNHE
    5885             :     64U,        // CLGRJAsmNL
    5886             :     64U,        // CLGRJAsmNLE
    5887             :     64U,        // CLGRJAsmNLH
    5888             :     0U, // CLGRL
    5889             :     0U, // CLGRT
    5890             :     48U,        // CLGRTAsm
    5891             :     0U, // CLGRTAsmE
    5892             :     0U, // CLGRTAsmH
    5893             :     0U, // CLGRTAsmHE
    5894             :     0U, // CLGRTAsmL
    5895             :     0U, // CLGRTAsmLE
    5896             :     0U, // CLGRTAsmLH
    5897             :     0U, // CLGRTAsmNE
    5898             :     0U, // CLGRTAsmNH
    5899             :     0U, // CLGRTAsmNHE
    5900             :     0U, // CLGRTAsmNL
    5901             :     0U, // CLGRTAsmNLE
    5902             :     0U, // CLGRTAsmNLH
    5903             :     0U, // CLGT
    5904             :     80U,        // CLGTAsm
    5905             :     0U, // CLGTAsmE
    5906             :     0U, // CLGTAsmH
    5907             :     0U, // CLGTAsmHE
    5908             :     0U, // CLGTAsmL
    5909             :     0U, // CLGTAsmLE
    5910             :     0U, // CLGTAsmLH
    5911             :     0U, // CLGTAsmNE
    5912             :     0U, // CLGTAsmNH
    5913             :     0U, // CLGTAsmNHE
    5914             :     0U, // CLGTAsmNL
    5915             :     0U, // CLGTAsmNLE
    5916             :     0U, // CLGTAsmNLH
    5917             :     33U,        // CLGXBR
    5918             :     33U,        // CLGXTR
    5919             :     0U, // CLHF
    5920             :     0U, // CLHHR
    5921             :     0U, // CLHHSI
    5922             :     0U, // CLHLR
    5923             :     0U, // CLHRL
    5924             :     0U, // CLI
    5925             :     0U, // CLIB
    5926             :     25U,        // CLIBAsm
    5927             :     1U, // CLIBAsmE
    5928             :     1U, // CLIBAsmH
    5929             :     1U, // CLIBAsmHE
    5930             :     1U, // CLIBAsmL
    5931             :     1U, // CLIBAsmLE
    5932             :     1U, // CLIBAsmLH
    5933             :     1U, // CLIBAsmNE
    5934             :     1U, // CLIBAsmNH
    5935             :     1U, // CLIBAsmNHE
    5936             :     1U, // CLIBAsmNL
    5937             :     1U, // CLIBAsmNLE
    5938             :     1U, // CLIBAsmNLH
    5939             :     0U, // CLIH
    5940             :     0U, // CLIJ
    5941             :     17U,        // CLIJAsm
    5942             :     0U, // CLIJAsmE
    5943             :     0U, // CLIJAsmH
    5944             :     0U, // CLIJAsmHE
    5945             :     0U, // CLIJAsmL
    5946             :     0U, // CLIJAsmLE
    5947             :     0U, // CLIJAsmLH
    5948             :     0U, // CLIJAsmNE
    5949             :     0U, // CLIJAsmNH
    5950             :     0U, // CLIJAsmNHE
    5951             :     0U, // CLIJAsmNL
    5952             :     0U, // CLIJAsmNLE
    5953             :     0U, // CLIJAsmNLH
    5954             :     0U, // CLIY
    5955             :     1U, // CLM
    5956             :     1U, // CLMH
    5957             :     1U, // CLMY
    5958             :     0U, // CLR
    5959             :     41U,        // CLRB
    5960             :     8752U,      // CLRBAsm
    5961             :     56U,        // CLRBAsmE
    5962             :     56U,        // CLRBAsmH
    5963             :     56U,        // CLRBAsmHE
    5964             :     56U,        // CLRBAsmL
    5965             :     56U,        // CLRBAsmLE
    5966             :     56U,        // CLRBAsmLH
    5967             :     56U,        // CLRBAsmNE
    5968             :     56U,        // CLRBAsmNH
    5969             :     56U,        // CLRBAsmNHE
    5970             :     56U,        // CLRBAsmNL
    5971             :     56U,        // CLRBAsmNLE
    5972             :     56U,        // CLRBAsmNLH
    5973             :     2U, // CLRJ
    5974             :     16944U,     // CLRJAsm
    5975             :     64U,        // CLRJAsmE
    5976             :     64U,        // CLRJAsmH
    5977             :     64U,        // CLRJAsmHE
    5978             :     64U,        // CLRJAsmL
    5979             :     64U,        // CLRJAsmLE
    5980             :     64U,        // CLRJAsmLH
    5981             :     64U,        // CLRJAsmNE
    5982             :     64U,        // CLRJAsmNH
    5983             :     64U,        // CLRJAsmNHE
    5984             :     64U,        // CLRJAsmNL
    5985             :     64U,        // CLRJAsmNLE
    5986             :     64U,        // CLRJAsmNLH
    5987             :     0U, // CLRL
    5988             :     0U, // CLRT
    5989             :     48U,        // CLRTAsm
    5990             :     0U, // CLRTAsmE
    5991             :     0U, // CLRTAsmH
    5992             :     0U, // CLRTAsmHE
    5993             :     0U, // CLRTAsmL
    5994             :     0U, // CLRTAsmLE
    5995             :     0U, // CLRTAsmLH
    5996             :     0U, // CLRTAsmNE
    5997             :     0U, // CLRTAsmNH
    5998             :     0U, // CLRTAsmNHE
    5999             :     0U, // CLRTAsmNL
    6000             :     0U, // CLRTAsmNLE
    6001             :     0U, // CLRTAsmNLH
    6002             :     0U, // CLST
    6003             :     0U, // CLT
    6004             :     80U,        // CLTAsm
    6005             :     0U, // CLTAsmE
    6006             :     0U, // CLTAsmH
    6007             :     0U, // CLTAsmHE
    6008             :     0U, // CLTAsmL
    6009             :     0U, // CLTAsmLE
    6010             :     0U, // CLTAsmLH
    6011             :     0U, // CLTAsmNE
    6012             :     0U, // CLTAsmNH
    6013             :     0U, // CLTAsmNHE
    6014             :     0U, // CLTAsmNL
    6015             :     0U, // CLTAsmNLE
    6016             :     0U, // CLTAsmNLH
    6017             :     0U, // CLY
    6018             :     0U, // CMPSC
    6019             :     0U, // CP
    6020             :     0U, // CPDT
    6021             :     88U,        // CPSDRdd
    6022             :     88U,        // CPSDRds
    6023             :     88U,        // CPSDRsd
    6024             :     88U,        // CPSDRss
    6025             :     0U, // CPXT
    6026             :     0U, // CPYA
    6027             :     0U, // CR
    6028             :     41U,        // CRB
    6029             :     8752U,      // CRBAsm
    6030             :     56U,        // CRBAsmE
    6031             :     56U,        // CRBAsmH
    6032             :     56U,        // CRBAsmHE
    6033             :     56U,        // CRBAsmL
    6034             :     56U,        // CRBAsmLE
    6035             :     56U,        // CRBAsmLH
    6036             :     56U,        // CRBAsmNE
    6037             :     56U,        // CRBAsmNH
    6038             :     56U,        // CRBAsmNHE
    6039             :     56U,        // CRBAsmNL
    6040             :     56U,        // CRBAsmNLE
    6041             :     56U,        // CRBAsmNLH
    6042             :     600U,       // CRDTE
    6043             :     88U,        // CRDTEOpt
    6044             :     2U, // CRJ
    6045             :     16944U,     // CRJAsm
    6046             :     64U,        // CRJAsmE
    6047             :     64U,        // CRJAsmH
    6048             :     64U,        // CRJAsmHE
    6049             :     64U,        // CRJAsmL
    6050             :     64U,        // CRJAsmLE
    6051             :     64U,        // CRJAsmLH
    6052             :     64U,        // CRJAsmNE
    6053             :     64U,        // CRJAsmNH
    6054             :     64U,        // CRJAsmNHE
    6055             :     64U,        // CRJAsmNL
    6056             :     64U,        // CRJAsmNLE
    6057             :     64U,        // CRJAsmNLH
    6058             :     0U, // CRL
    6059             :     0U, // CRT
    6060             :     48U,        // CRTAsm
    6061             :     0U, // CRTAsmE
    6062             :     0U, // CRTAsmH
    6063             :     0U, // CRTAsmHE
    6064             :     0U, // CRTAsmL
    6065             :     0U, // CRTAsmLE
    6066             :     0U, // CRTAsmLH
    6067             :     0U, // CRTAsmNE
    6068             :     0U, // CRTAsmNH
    6069             :     0U, // CRTAsmNHE
    6070             :     0U, // CRTAsmNL
    6071             :     0U, // CRTAsmNLE
    6072             :     0U, // CRTAsmNLH
    6073             :     24U,        // CS
    6074             :     0U, // CSCH
    6075             :     48U,        // CSDTR
    6076             :     24U,        // CSG
    6077             :     0U, // CSP
    6078             :     0U, // CSPG
    6079             :     96U,        // CSST
    6080             :     48U,        // CSXTR
    6081             :     24U,        // CSY
    6082             :     104U,       // CU12
    6083             :     0U, // CU12Opt
    6084             :     104U,       // CU14
    6085             :     0U, // CU14Opt
    6086             :     104U,       // CU21
    6087             :     0U, // CU21Opt
    6088             :     104U,       // CU24
    6089             :     0U, // CU24Opt
    6090             :     0U, // CU41
    6091             :     0U, // CU42
    6092             :     0U, // CUDTR
    6093             :     0U, // CUSE
    6094             :     104U,       // CUTFU
    6095             :     0U, // CUTFUOpt
    6096             :     104U,       // CUUTF
    6097             :     0U, // CUUTFOpt
    6098             :     0U, // CUXTR
    6099             :     0U, // CVB
    6100             :     0U, // CVBG
    6101             :     0U, // CVBY
    6102             :     0U, // CVD
    6103             :     0U, // CVDG
    6104             :     0U, // CVDY
    6105             :     0U, // CXBR
    6106             :     0U, // CXFBR
    6107             :     33U,        // CXFBRA
    6108             :     0U, // CXFR
    6109             :     33U,        // CXFTR
    6110             :     0U, // CXGBR
    6111             :     33U,        // CXGBRA
    6112             :     0U, // CXGR
    6113             :     0U, // CXGTR
    6114             :     33U,        // CXGTRA
    6115             :     33U,        // CXLFBR
    6116             :     33U,        // CXLFTR
    6117             :     33U,        // CXLGBR
    6118             :     33U,        // CXLGTR
    6119             :     0U, // CXPT
    6120             :     0U, // CXR
    6121             :     0U, // CXSTR
    6122             :     0U, // CXTR
    6123             :     0U, // CXUTR
    6124             :     0U, // CXZT
    6125             :     0U, // CY
    6126             :     0U, // CZDT
    6127             :     0U, // CZXT
    6128             :     0U, // D
    6129             :     0U, // DD
    6130             :     0U, // DDB
    6131             :     0U, // DDBR
    6132             :     0U, // DDR
    6133             :     0U, // DDTR
    6134             :     512U,       // DDTRA
    6135             :     0U, // DE
    6136             :     0U, // DEB
    6137             :     0U, // DEBR
    6138             :     0U, // DER
    6139             :     56U,        // DIAG
    6140             :     25200U,     // DIDBR
    6141             :     25200U,     // DIEBR
    6142             :     0U, // DL
    6143             :     0U, // DLG
    6144             :     0U, // DLGR
    6145             :     0U, // DLR
    6146             :     0U, // DP
    6147             :     0U, // DR
    6148             :     0U, // DSG
    6149             :     0U, // DSGF
    6150             :     0U, // DSGFR
    6151             :     0U, // DSGR
    6152             :     0U, // DXBR
    6153             :     0U, // DXR
    6154             :     0U, // DXTR
    6155             :     512U,       // DXTRA
    6156             :     0U, // EAR
    6157             :     56U,        // ECAG
    6158             :     0U, // ECCTR
    6159             :     0U, // ECPGA
    6160             :     96U,        // ECTG
    6161             :     0U, // ED
    6162             :     0U, // EDMK
    6163             :     0U, // EEDTR
    6164             :     0U, // EEXTR
    6165             :     0U, // EFPC
    6166             :     0U, // EPAIR
    6167             :     0U, // EPAR
    6168             :     0U, // EPCTR
    6169             :     0U, // EPSW
    6170             :     0U, // EREG
    6171             :     0U, // EREGG
    6172             :     0U, // ESAIR
    6173             :     0U, // ESAR
    6174             :     0U, // ESDTR
    6175             :     0U, // ESEA
    6176             :     0U, // ESTA
    6177             :     0U, // ESXTR
    6178             :     0U, // ETND
    6179             :     0U, // EX
    6180             :     0U, // EXRL
    6181             :     41U,        // FIDBR
    6182             :     33U,        // FIDBRA
    6183             :     0U, // FIDR
    6184             :     33U,        // FIDTR
    6185             :     41U,        // FIEBR
    6186             :     33U,        // FIEBRA
    6187             :     0U, // FIER
    6188             :     41U,        // FIXBR
    6189             :     33U,        // FIXBRA
    6190             :     0U, // FIXR
    6191             :     33U,        // FIXTR
    6192             :     0U, // FLOGR
    6193             :     0U, // HDR
    6194             :     0U, // HER
    6195             :     0U, // HSCH
    6196             :     0U, // IAC
    6197             :     0U, // IC
    6198             :     0U, // IC32
    6199             :     0U, // IC32Y
    6200             :     0U, // ICM
    6201             :     0U, // ICMH
    6202             :     0U, // ICMY
    6203             :     0U, // ICY
    6204             :     600U,       // IDTE
    6205             :     88U,        // IDTEOpt
    6206             :     88U,        // IEDTR
    6207             :     88U,        // IEXTR
    6208             :     0U, // IIHF
    6209             :     0U, // IIHH
    6210             :     0U, // IIHL
    6211             :     0U, // IILF
    6212             :     0U, // IILH
    6213             :     0U, // IILL
    6214             :     0U, // IPK
    6215             :     0U, // IPM
    6216             :     512U,       // IPTE
    6217             :     0U, // IPTEOpt
    6218             :     0U, // IPTEOptOpt
    6219             :     0U, // IRBM
    6220             :     0U, // ISKE
    6221             :     0U, // IVSK
    6222             :     0U, // InsnE
    6223             :     2U, // InsnRI
    6224             :     1145U,      // InsnRIE
    6225             :     0U, // InsnRIL
    6226             :     2U, // InsnRILU
    6227             :     2U, // InsnRIS
    6228             :     0U, // InsnRR
    6229             :     41U,        // InsnRRE
    6230             :     1657U,      // InsnRRF
    6231             :     34937U,     // InsnRRS
    6232             :     2681U,      // InsnRS
    6233             :     2681U,      // InsnRSE
    6234             :     1145U,      // InsnRSI
    6235             :     2681U,      // InsnRSY
    6236             :     0U, // InsnRX
    6237             :     0U, // InsnRXE
    6238             :     3193U,      // InsnRXF
    6239             :     0U, // InsnRXY
    6240             :     0U, // InsnS
    6241             :     3U, // InsnSI
    6242             :     3U, // InsnSIL
    6243             :     3U, // InsnSIY
    6244             :     0U, // InsnSS
    6245             :     41U,        // InsnSSE
    6246             :     3705U,      // InsnSSF
    6247             :     0U, // J
    6248             :     0U, // JAsmE
    6249             :     0U, // JAsmH
    6250             :     0U, // JAsmHE
    6251             :     0U, // JAsmL
    6252             :     0U, // JAsmLE
    6253             :     0U, // JAsmLH
    6254             :     0U, // JAsmM
    6255             :     0U, // JAsmNE
    6256             :     0U, // JAsmNH
    6257             :     0U, // JAsmNHE
    6258             :     0U, // JAsmNL
    6259             :     0U, // JAsmNLE
    6260             :     0U, // JAsmNLH
    6261             :     0U, // JAsmNM
    6262             :     0U, // JAsmNO
    6263             :     0U, // JAsmNP
    6264             :     0U, // JAsmNZ
    6265             :     0U, // JAsmO
    6266             :     0U, // JAsmP
    6267             :     0U, // JAsmZ
    6268             :     0U, // JG
    6269             :     0U, // JGAsmE
    6270             :     0U, // JGAsmH
    6271             :     0U, // JGAsmHE
    6272             :     0U, // JGAsmL
    6273             :     0U, // JGAsmLE
    6274             :     0U, // JGAsmLH
    6275             :     0U, // JGAsmM
    6276             :     0U, // JGAsmNE
    6277             :     0U, // JGAsmNH
    6278             :     0U, // JGAsmNHE
    6279             :     0U, // JGAsmNL
    6280             :     0U, // JGAsmNLE
    6281             :     0U, // JGAsmNLH
    6282             :     0U, // JGAsmNM
    6283             :     0U, // JGAsmNO
    6284             :     0U, // JGAsmNP
    6285             :     0U, // JGAsmNZ
    6286             :     0U, // JGAsmO
    6287             :     0U, // JGAsmP
    6288             :     0U, // JGAsmZ
    6289             :     0U, // KDB
    6290             :     0U, // KDBR
    6291             :     0U, // KDTR
    6292             :     0U, // KEB
    6293             :     0U, // KEBR
    6294             :     0U, // KIMD
    6295             :     0U, // KLMD
    6296             :     0U, // KM
    6297             :     88U,        // KMA
    6298             :     0U, // KMAC
    6299             :     0U, // KMC
    6300             :     88U,        // KMCTR
    6301             :     0U, // KMF
    6302             :     0U, // KMO
    6303             :     0U, // KXBR
    6304             :     0U, // KXTR
    6305             :     0U, // L
    6306             :     0U, // LA
    6307             :     56U,        // LAA
    6308             :     56U,        // LAAG
    6309             :     56U,        // LAAL
    6310             :     56U,        // LAALG
    6311             :     0U, // LAE
    6312             :     0U, // LAEY
    6313             :     56U,        // LAM
    6314             :     56U,        // LAMY
    6315             :     56U,        // LAN
    6316             :     56U,        // LANG
    6317             :     56U,        // LAO
    6318             :     56U,        // LAOG
    6319             :     0U, // LARL
    6320             :     0U, // LASP
    6321             :     0U, // LAT
    6322             :     56U,        // LAX
    6323             :     56U,        // LAXG
    6324             :     0U, // LAY
    6325             :     0U, // LB
    6326             :     0U, // LBH
    6327             :     0U, // LBR
    6328             :     104U,       // LCBB
    6329             :     0U, // LCCTL
    6330             :     0U, // LCDBR
    6331             :     0U, // LCDFR
    6332             :     0U, // LCDFR_32
    6333             :     0U, // LCDR
    6334             :     0U, // LCEBR
    6335             :     0U, // LCER
    6336             :     0U, // LCGFR
    6337             :     0U, // LCGR
    6338             :     0U, // LCR
    6339             :     56U,        // LCTL
    6340             :     56U,        // LCTLG
    6341             :     0U, // LCXBR
    6342             :     0U, // LCXR
    6343             :     0U, // LD
    6344             :     0U, // LDE
    6345             :     0U, // LDE32
    6346             :     0U, // LDEB
    6347             :     0U, // LDEBR
    6348             :     0U, // LDER
    6349             :     48U,        // LDETR
    6350             :     0U, // LDGR
    6351             :     0U, // LDR
    6352             :     0U, // LDR32
    6353             :     0U, // LDXBR
    6354             :     33U,        // LDXBRA
    6355             :     0U, // LDXR
    6356             :     33U,        // LDXTR
    6357             :     0U, // LDY
    6358             :     0U, // LE
    6359             :     0U, // LEDBR
    6360             :     33U,        // LEDBRA
    6361             :     0U, // LEDR
    6362             :     33U,        // LEDTR
    6363             :     0U, // LER
    6364             :     0U, // LEXBR
    6365             :     33U,        // LEXBRA
    6366             :     0U, // LEXR
    6367             :     0U, // LEY
    6368             :     0U, // LFAS
    6369             :     0U, // LFH
    6370             :     0U, // LFHAT
    6371             :     0U, // LFPC
    6372             :     0U, // LG
    6373             :     0U, // LGAT
    6374             :     0U, // LGB
    6375             :     0U, // LGBR
    6376             :     0U, // LGDR
    6377             :     0U, // LGF
    6378             :     0U, // LGFI
    6379             :     0U, // LGFR
    6380             :     0U, // LGFRL
    6381             :     0U, // LGG
    6382             :     0U, // LGH
    6383             :     0U, // LGHI
    6384             :     0U, // LGHR
    6385             :     0U, // LGHRL
    6386             :     0U, // LGR
    6387             :     0U, // LGRL
    6388             :     0U, // LGSC
    6389             :     0U, // LH
    6390             :     0U, // LHH
    6391             :     0U, // LHI
    6392             :     0U, // LHR
    6393             :     0U, // LHRL
    6394             :     0U, // LHY
    6395             :     0U, // LLC
    6396             :     0U, // LLCH
    6397             :     0U, // LLCR
    6398             :     0U, // LLGC
    6399             :     0U, // LLGCR
    6400             :     0U, // LLGF
    6401             :     0U, // LLGFAT
    6402             :     0U, // LLGFR
    6403             :     0U, // LLGFRL
    6404             :     0U, // LLGFSG
    6405             :     0U, // LLGH
    6406             :     0U, // LLGHR
    6407             :     0U, // LLGHRL
    6408             :     0U, // LLGT
    6409             :     0U, // LLGTAT
    6410             :     0U, // LLGTR
    6411             :     0U, // LLH
    6412             :     0U, // LLHH
    6413             :     0U, // LLHR
    6414             :     0U, // LLHRL
    6415             :     0U, // LLIHF
    6416             :     0U, // LLIHH
    6417             :     0U, // LLIHL
    6418             :     0U, // LLILF
    6419             :     0U, // LLILH
    6420             :     0U, // LLILL
    6421             :     0U, // LLZRGF
    6422             :     56U,        // LM
    6423             :     41528U,     // LMD
    6424             :     56U,        // LMG
    6425             :     56U,        // LMH
    6426             :     56U,        // LMY
    6427             :     0U, // LNDBR
    6428             :     0U, // LNDFR
    6429             :     0U, // LNDFR_32
    6430             :     0U, // LNDR
    6431             :     0U, // LNEBR
    6432             :     0U, // LNER
    6433             :     0U, // LNGFR
    6434             :     0U, // LNGR
    6435             :     0U, // LNR
    6436             :     0U, // LNXBR
    6437             :     0U, // LNXR
    6438             :     0U, // LOC
    6439             :     104U,       // LOCAsm
    6440             :     0U, // LOCAsmE
    6441             :     0U, // LOCAsmH
    6442             :     0U, // LOCAsmHE
    6443             :     0U, // LOCAsmL
    6444             :     0U, // LOCAsmLE
    6445             :     0U, // LOCAsmLH
    6446             :     0U, // LOCAsmM
    6447             :     0U, // LOCAsmNE
    6448             :     0U, // LOCAsmNH
    6449             :     0U, // LOCAsmNHE
    6450             :     0U, // LOCAsmNL
    6451             :     0U, // LOCAsmNLE
    6452             :     0U, // LOCAsmNLH
    6453             :     0U, // LOCAsmNM
    6454             :     0U, // LOCAsmNO
    6455             :     0U, // LOCAsmNP
    6456             :     0U, // LOCAsmNZ
    6457             :     0U, // LOCAsmO
    6458             :     0U, // LOCAsmP
    6459             :     0U, // LOCAsmZ
    6460             :     0U, // LOCFH
    6461             :     104U,       // LOCFHAsm
    6462             :     0U, // LOCFHAsmE
    6463             :     0U, // LOCFHAsmH
    6464             :     0U, // LOCFHAsmHE
    6465             :     0U, // LOCFHAsmL
    6466             :     0U, // LOCFHAsmLE
    6467             :     0U, // LOCFHAsmLH
    6468             :     0U, // LOCFHAsmM
    6469             :     0U, // LOCFHAsmNE
    6470             :     0U, // LOCFHAsmNH
    6471             :     0U, // LOCFHAsmNHE
    6472             :     0U, // LOCFHAsmNL
    6473             :     0U, // LOCFHAsmNLE
    6474             :     0U, // LOCFHAsmNLH
    6475             :     0U, // LOCFHAsmNM
    6476             :     0U, // LOCFHAsmNO
    6477             :     0U, // LOCFHAsmNP
    6478             :     0U, // LOCFHAsmNZ
    6479             :     0U, // LOCFHAsmO
    6480             :     0U, // LOCFHAsmP
    6481             :     0U, // LOCFHAsmZ
    6482             :     0U, // LOCFHR
    6483             :     128U,       // LOCFHRAsm
    6484             :     0U, // LOCFHRAsmE
    6485             :     0U, // LOCFHRAsmH
    6486             :     0U, // LOCFHRAsmHE
    6487             :     0U, // LOCFHRAsmL
    6488             :     0U, // LOCFHRAsmLE
    6489             :     0U, // LOCFHRAsmLH
    6490             :     0U, // LOCFHRAsmM
    6491             :     0U, // LOCFHRAsmNE
    6492             :     0U, // LOCFHRAsmNH
    6493             :     0U, // LOCFHRAsmNHE
    6494             :     0U, // LOCFHRAsmNL
    6495             :     0U, // LOCFHRAsmNLE
    6496             :     0U, // LOCFHRAsmNLH
    6497             :     0U, // LOCFHRAsmNM
    6498             :     0U, // LOCFHRAsmNO
    6499             :     0U, // LOCFHRAsmNP
    6500             :     0U, // LOCFHRAsmNZ
    6501             :     0U, // LOCFHRAsmO
    6502             :     0U, // LOCFHRAsmP
    6503             :     0U, // LOCFHRAsmZ
    6504             :     0U, // LOCG
    6505             :     104U,       // LOCGAsm
    6506             :     0U, // LOCGAsmE
    6507             :     0U, // LOCGAsmH
    6508             :     0U, // LOCGAsmHE
    6509             :     0U, // LOCGAsmL
    6510             :     0U, // LOCGAsmLE
    6511             :     0U, // LOCGAsmLH
    6512             :     0U, // LOCGAsmM
    6513             :     0U, // LOCGAsmNE
    6514             :     0U, // LOCGAsmNH
    6515             :     0U, // LOCGAsmNHE
    6516             :     0U, // LOCGAsmNL
    6517             :     0U, // LOCGAsmNLE
    6518             :     0U, // LOCGAsmNLH
    6519             :     0U, // LOCGAsmNM
    6520             :     0U, // LOCGAsmNO
    6521             :     0U, // LOCGAsmNP
    6522             :     0U, // LOCGAsmNZ
    6523             :     0U, // LOCGAsmO
    6524             :     0U, // LOCGAsmP
    6525             :     0U, // LOCGAsmZ
    6526             :     0U, // LOCGHI
    6527             :     128U,       // LOCGHIAsm
    6528             :     0U, // LOCGHIAsmE
    6529             :     0U, // LOCGHIAsmH
    6530             :     0U, // LOCGHIAsmHE
    6531             :     0U, // LOCGHIAsmL
    6532             :     0U, // LOCGHIAsmLE
    6533             :     0U, // LOCGHIAsmLH
    6534             :     0U, // LOCGHIAsmM
    6535             :     0U, // LOCGHIAsmNE
    6536             :     0U, // LOCGHIAsmNH
    6537             :     0U, // LOCGHIAsmNHE
    6538             :     0U, // LOCGHIAsmNL
    6539             :     0U, // LOCGHIAsmNLE
    6540             :     0U, // LOCGHIAsmNLH
    6541             :     0U, // LOCGHIAsmNM
    6542             :     0U, // LOCGHIAsmNO
    6543             :     0U, // LOCGHIAsmNP
    6544             :     0U, // LOCGHIAsmNZ
    6545             :     0U, // LOCGHIAsmO
    6546             :     0U, // LOCGHIAsmP
    6547             :     0U, // LOCGHIAsmZ
    6548             :     0U, // LOCGR
    6549             :     128U,       // LOCGRAsm
    6550             :     0U, // LOCGRAsmE
    6551             :     0U, // LOCGRAsmH
    6552             :     0U, // LOCGRAsmHE
    6553             :     0U, // LOCGRAsmL
    6554             :     0U, // LOCGRAsmLE
    6555             :     0U, // LOCGRAsmLH
    6556             :     0U, // LOCGRAsmM
    6557             :     0U, // LOCGRAsmNE
    6558             :     0U, // LOCGRAsmNH
    6559             :     0U, // LOCGRAsmNHE
    6560             :     0U, // LOCGRAsmNL
    6561             :     0U, // LOCGRAsmNLE
    6562             :     0U, // LOCGRAsmNLH
    6563             :     0U, // LOCGRAsmNM
    6564             :     0U, // LOCGRAsmNO
    6565             :     0U, // LOCGRAsmNP
    6566             :     0U, // LOCGRAsmNZ
    6567             :     0U, // LOCGRAsmO
    6568             :     0U, // LOCGRAsmP
    6569             :     0U, // LOCGRAsmZ
    6570             :     0U, // LOCHHI
    6571             :     128U,       // LOCHHIAsm
    6572             :     0U, // LOCHHIAsmE
    6573             :     0U, // LOCHHIAsmH
    6574             :     0U, // LOCHHIAsmHE
    6575             :     0U, // LOCHHIAsmL
    6576             :     0U, // LOCHHIAsmLE
    6577             :     0U, // LOCHHIAsmLH
    6578             :     0U, // LOCHHIAsmM
    6579             :     0U, // LOCHHIAsmNE
    6580             :     0U, // LOCHHIAsmNH
    6581             :     0U, // LOCHHIAsmNHE
    6582             :     0U, // LOCHHIAsmNL
    6583             :     0U, // LOCHHIAsmNLE
    6584             :     0U, // LOCHHIAsmNLH
    6585             :     0U, // LOCHHIAsmNM
    6586             :     0U, // LOCHHIAsmNO
    6587             :     0U, // LOCHHIAsmNP
    6588             :     0U, // LOCHHIAsmNZ
    6589             :     0U, // LOCHHIAsmO
    6590             :     0U, // LOCHHIAsmP
    6591             :     0U, // LOCHHIAsmZ
    6592             :     0U, // LOCHI
    6593             :     128U,       // LOCHIAsm
    6594             :     0U, // LOCHIAsmE
    6595             :     0U, // LOCHIAsmH
    6596             :     0U, // LOCHIAsmHE
    6597             :     0U, // LOCHIAsmL
    6598             :     0U, // LOCHIAsmLE
    6599             :     0U, // LOCHIAsmLH
    6600             :     0U, // LOCHIAsmM
    6601             :     0U, // LOCHIAsmNE
    6602             :     0U, // LOCHIAsmNH
    6603             :     0U, // LOCHIAsmNHE
    6604             :     0U, // LOCHIAsmNL
    6605             :     0U, // LOCHIAsmNLE
    6606             :     0U, // LOCHIAsmNLH
    6607             :     0U, // LOCHIAsmNM
    6608             :     0U, // LOCHIAsmNO
    6609             :     0U, // LOCHIAsmNP
    6610             :     0U, // LOCHIAsmNZ
    6611             :     0U, // LOCHIAsmO
    6612             :     0U, // LOCHIAsmP
    6613             :     0U, // LOCHIAsmZ
    6614             :     0U, // LOCR
    6615             :     128U,       // LOCRAsm
    6616             :     0U, // LOCRAsmE
    6617             :     0U, // LOCRAsmH
    6618             :     0U, // LOCRAsmHE
    6619             :     0U, // LOCRAsmL
    6620             :     0U, // LOCRAsmLE
    6621             :     0U, // LOCRAsmLH
    6622             :     0U, // LOCRAsmM
    6623             :     0U, // LOCRAsmNE
    6624             :     0U, // LOCRAsmNH
    6625             :     0U, // LOCRAsmNHE
    6626             :     0U, // LOCRAsmNL
    6627             :     0U, // LOCRAsmNLE
    6628             :     0U, // LOCRAsmNLH
    6629             :     0U, // LOCRAsmNM
    6630             :     0U, // LOCRAsmNO
    6631             :     0U, // LOCRAsmNP
    6632             :     0U, // LOCRAsmNZ
    6633             :     0U, // LOCRAsmO
    6634             :     0U, // LOCRAsmP
    6635             :     0U, // LOCRAsmZ
    6636             :     0U, // LPCTL
    6637             :     24U,        // LPD
    6638             :     0U, // LPDBR
    6639             :     0U, // LPDFR
    6640             :     0U, // LPDFR_32
    6641             :     24U,        // LPDG
    6642             :     0U, // LPDR
    6643             :     0U, // LPEBR
    6644             :     0U, // LPER
    6645             :     0U, // LPGFR
    6646             :     0U, // LPGR
    6647             :     0U, // LPP
    6648             :     0U, // LPQ
    6649             :     0U, // LPR
    6650             :     0U, // LPSW
    6651             :     0U, // LPSWE
    6652             :     25200U,     // LPTEA
    6653             :     0U, // LPXBR
    6654             :     0U, // LPXR
    6655             :     0U, // LR
    6656             :     0U, // LRA
    6657             :     0U, // LRAG
    6658             :     0U, // LRAY
    6659             :     0U, // LRDR
    6660             :     0U, // LRER
    6661             :     0U, // LRL
    6662             :     0U, // LRV
    6663             :     0U, // LRVG
    6664             :     0U, // LRVGR
    6665             :     0U, // LRVH
    6666             :     0U, // LRVR
    6667             :     0U, // LSCTL
    6668             :     0U, // LT
    6669             :     0U, // LTDBR
    6670             :     0U, // LTDBRCompare
    6671             :     0U, // LTDR
    6672             :     0U, // LTDTR
    6673             :     0U, // LTEBR
    6674             :     0U, // LTEBRCompare
    6675             :     0U, // LTER
    6676             :     0U, // LTG
    6677             :     0U, // LTGF
    6678             :     0U, // LTGFR
    6679             :     0U, // LTGR
    6680             :     0U, // LTR
    6681             :     0U, // LTXBR
    6682             :     0U, // LTXBRCompare
    6683             :     0U, // LTXR
    6684             :     0U, // LTXTR
    6685             :     0U, // LURA
    6686             :     0U, // LURAG
    6687             :     0U, // LXD
    6688             :     0U, // LXDB
    6689             :     0U, // LXDBR
    6690             :     0U, // LXDR
    6691             :     48U,        // LXDTR
    6692             :     0U, // LXE
    6693             :     0U, // LXEB
    6694             :     0U, // LXEBR
    6695             :     0U, // LXER
    6696             :     0U, // LXR
    6697             :     0U, // LY
    6698             :     0U, // LZDR
    6699             :     0U, // LZER
    6700             :     0U, // LZRF
    6701             :     0U, // LZRG
    6702             :     0U, // LZXR
    6703             :     0U, // M
    6704             :     136U,       // MAD
    6705             :     136U,       // MADB
    6706             :     112U,       // MADBR
    6707             :     112U,       // MADR
    6708             :     136U,       // MAE
    6709             :     136U,       // MAEB
    6710             :     112U,       // MAEBR
    6711             :     112U,       // MAER
    6712             :     136U,       // MAY
    6713             :     136U,       // MAYH
    6714             :     112U,       // MAYHR
    6715             :     136U,       // MAYL
    6716             :     112U,       // MAYLR
    6717             :     112U,       // MAYR
    6718             :     0U, // MC
    6719             :     0U, // MD
    6720             :     0U, // MDB
    6721             :     0U, // MDBR
    6722             :     0U, // MDE
    6723             :     0U, // MDEB
    6724             :     0U, // MDEBR
    6725             :     0U, // MDER
    6726             :     0U, // MDR
    6727             :     0U, // MDTR
    6728             :     512U,       // MDTRA
    6729             :     0U, // ME
    6730             :     0U, // MEE
    6731             :     0U, // MEEB
    6732             :     0U, // MEEBR
    6733             :     0U, // MEER
    6734             :     0U, // MER
    6735             :     0U, // MFY
    6736             :     0U, // MG
    6737             :     0U, // MGH
    6738             :     0U, // MGHI
    6739             :     0U, // MGRK
    6740             :     0U, // MH
    6741             :     0U, // MHI
    6742             :     0U, // MHY
    6743             :     0U, // ML
    6744             :     0U, // MLG
    6745             :     0U, // MLGR
    6746             :     0U, // MLR
    6747             :     0U, // MP
    6748             :     0U, // MR
    6749             :     0U, // MS
    6750             :     0U, // MSC
    6751             :     0U, // MSCH
    6752             :     136U,       // MSD
    6753             :     136U,       // MSDB
    6754             :     112U,       // MSDBR
    6755             :     112U,       // MSDR
    6756             :     136U,       // MSE
    6757             :     136U,       // MSEB
    6758             :     112U,       // MSEBR
    6759             :     112U,       // MSER
    6760             :     0U, // MSFI
    6761             :     0U, // MSG
    6762             :     0U, // MSGC
    6763             :     0U, // MSGF
    6764             :     0U, // MSGFI
    6765             :     0U, // MSGFR
    6766             :     0U, // MSGR
    6767             :     0U, // MSGRKC
    6768             :     0U, // MSR
    6769             :     0U, // MSRKC
    6770             :     0U, // MSTA
    6771             :     0U, // MSY
    6772             :     0U, // MVC
    6773             :     0U, // MVCDK
    6774             :     0U, // MVCIN
    6775             :     0U, // MVCK
    6776             :     0U, // MVCL
    6777             :     72U,        // MVCLE
    6778             :     72U,        // MVCLU
    6779             :     96U,        // MVCOS
    6780             :     0U, // MVCP
    6781             :     0U, // MVCS
    6782             :     0U, // MVCSK
    6783             :     0U, // MVGHI
    6784             :     0U, // MVHHI
    6785             :     0U, // MVHI
    6786             :     0U, // MVI
    6787             :     0U, // MVIY
    6788             :     0U, // MVN
    6789             :     0U, // MVO
    6790             :     0U, // MVPG
    6791             :     0U, // MVST
    6792             :     0U, // MVZ
    6793             :     0U, // MXBR
    6794             :     0U, // MXD
    6795             :     0U, // MXDB
    6796             :     0U, // MXDBR
    6797             :     0U, // MXDR
    6798             :     0U, // MXR
    6799             :     0U, // MXTR
    6800             :     512U,       // MXTRA
    6801             :     144U,       // MY
    6802             :     144U,       // MYH
    6803             :     0U, // MYHR
    6804             :     144U,       // MYL
    6805             :     0U, // MYLR
    6806             :     0U, // MYR
    6807             :     0U, // N
    6808             :     0U, // NC
    6809             :     0U, // NG
    6810             :     0U, // NGR
    6811             :     0U, // NGRK
    6812             :     0U, // NI
    6813             :     0U, // NIAI
    6814             :     0U, // NIHF
    6815             :     0U, // NIHH
    6816             :     0U, // NIHL
    6817             :     0U, // NILF
    6818             :     0U, // NILH
    6819             :     0U, // NILL
    6820             :     0U, // NIY
    6821             :     0U, // NR
    6822             :     0U, // NRK
    6823             :     0U, // NTSTG
    6824             :     0U, // NY
    6825             :     0U, // O
    6826             :     0U, // OC
    6827             :     0U, // OG
    6828             :     0U, // OGR
    6829             :     0U, // OGRK
    6830             :     0U, // OI
    6831             :     0U, // OIHF
    6832             :     0U, // OIHH
    6833             :     0U, // OIHL
    6834             :     0U, // OILF
    6835             :     0U, // OILH
    6836             :     0U, // OILL
    6837             :     0U, // OIY
    6838             :     0U, // OR
    6839             :     0U, // ORK
    6840             :     0U, // OY
    6841             :     0U, // PACK
    6842             :     0U, // PALB
    6843             :     0U, // PC
    6844             :     0U, // PCC
    6845             :     0U, // PCKMO
    6846             :     0U, // PFD
    6847             :     0U, // PFDRL
    6848             :     0U, // PFMF
    6849             :     0U, // PFPO
    6850             :     0U, // PGIN
    6851             :     0U, // PGOUT
    6852             :     0U, // PKA
    6853             :     0U, // PKU
    6854             :     41584U,     // PLO
    6855             :     0U, // POPCNT
    6856             :     48U,        // PPA
    6857             :     0U, // PPNO
    6858             :     0U, // PR
    6859             :     0U, // PRNO
    6860             :     0U, // PT
    6861             :     0U, // PTF
    6862             :     0U, // PTFF
    6863             :     0U, // PTI
    6864             :     0U, // PTLB
    6865             :     25200U,     // QADTR
    6866             :     25200U,     // QAXTR
    6867             :     0U, // QCTRI
    6868             :     0U, // QSI
    6869             :     0U, // RCHP
    6870             :     49816U,     // RISBG
    6871             :     49816U,     // RISBG32
    6872             :     49816U,     // RISBGN
    6873             :     49816U,     // RISBHG
    6874             :     49816U,     // RISBLG
    6875             :     56U,        // RLL
    6876             :     56U,        // RLLG
    6877             :     49816U,     // RNSBG
    6878             :     49816U,     // ROSBG
    6879             :     0U, // RP
    6880             :     0U, // RRBE
    6881             :     0U, // RRBM
    6882             :     25200U,     // RRDTR
    6883             :     25200U,     // RRXTR
    6884             :     0U, // RSCH
    6885             :     49816U,     // RXSBG
    6886             :     0U, // S
    6887             :     0U, // SAC
    6888             :     0U, // SACF
    6889             :     0U, // SAL
    6890             :     0U, // SAM24
    6891             :     0U, // SAM31
    6892             :     0U, // SAM64
    6893             :     0U, // SAR
    6894             :     0U, // SCCTR
    6895             :     0U, // SCHM
    6896             :     0U, // SCK
    6897             :     0U, // SCKC
    6898             :     0U, // SCKPF
    6899             :     0U, // SD
    6900             :     0U, // SDB
    6901             :     0U, // SDBR
    6902             :     0U, // SDR
    6903             :     0U, // SDTR
    6904             :     512U,       // SDTRA
    6905             :     0U, // SE
    6906             :     0U, // SEB
    6907             :     0U, // SEBR
    6908             :     0U, // SER
    6909             :     0U, // SFASR
    6910             :     0U, // SFPC
    6911             :     0U, // SG
    6912             :     0U, // SGF
    6913             :     0U, // SGFR
    6914             :     0U, // SGH
    6915             :     0U, // SGR
    6916             :     0U, // SGRK
    6917             :     0U, // SH
    6918             :     0U, // SHHHR
    6919             :     0U, // SHHLR
    6920             :     0U, // SHY
    6921             :     0U, // SIE
    6922             :     0U, // SIGA
    6923             :     56U,        // SIGP
    6924             :     0U, // SL
    6925             :     0U, // SLA
    6926             :     56U,        // SLAG
    6927             :     56U,        // SLAK
    6928             :     0U, // SLB
    6929             :     0U, // SLBG
    6930             :     0U, // SLBGR
    6931             :     0U, // SLBR
    6932             :     0U, // SLDA
    6933             :     0U, // SLDL
    6934             :     144U,       // SLDT
    6935             :     0U, // SLFI
    6936             :     0U, // SLG
    6937             :     0U, // SLGF
    6938             :     0U, // SLGFI
    6939             :     0U, // SLGFR
    6940             :     0U, // SLGR
    6941             :     0U, // SLGRK
    6942             :     0U, // SLHHHR
    6943             :     0U, // SLHHLR
    6944             :     0U, // SLL
    6945             :     56U,        // SLLG
    6946             :     56U,        // SLLK
    6947             :     0U, // SLR
    6948             :     0U, // SLRK
    6949             :     144U,       // SLXT
    6950             :     0U, // SLY
    6951             :     0U, // SP
    6952             :     0U, // SPCTR
    6953             :     0U, // SPKA
    6954             :     0U, // SPM
    6955             :     0U, // SPT
    6956             :     0U, // SPX
    6957             :     0U, // SQD
    6958             :     0U, // SQDB
    6959             :     0U, // SQDBR
    6960             :     0U, // SQDR
    6961             :     0U, // SQE
    6962             :     0U, // SQEB
    6963             :     0U, // SQEBR
    6964             :     0U, // SQER
    6965             :     0U, // SQXBR
    6966             :     0U, // SQXR
    6967             :     0U, // SR
    6968             :     0U, // SRA
    6969             :     56U,        // SRAG
    6970             :     56U,        // SRAK
    6971             :     0U, // SRDA
    6972             :     0U, // SRDL
    6973             :     144U,       // SRDT
    6974             :     0U, // SRK
    6975             :     0U, // SRL
    6976             :     56U,        // SRLG
    6977             :     56U,        // SRLK
    6978             :     0U, // SRNM
    6979             :     0U, // SRNMB
    6980             :     0U, // SRNMT
    6981             :     160U,       // SRP
    6982             :     0U, // SRST
    6983             :     0U, // SRSTU
    6984             :     144U,       // SRXT
    6985             :     0U, // SSAIR
    6986             :     0U, // SSAR
    6987             :     0U, // SSCH
    6988             :     48U,        // SSKE
    6989             :     0U, // SSKEOpt
    6990             :     0U, // SSM
    6991             :     0U, // ST
    6992             :     56U,        // STAM
    6993             :     56U,        // STAMY
    6994             :     0U, // STAP
    6995             :     0U, // STC
    6996             :     0U, // STCH
    6997             :     0U, // STCK
    6998             :     0U, // STCKC
    6999             :     0U, // STCKE
    7000             :     0U, // STCKF
    7001             :     1U, // STCM
    7002             :     1U, // STCMH
    7003             :     1U, // STCMY
    7004             :     0U, // STCPS
    7005             :     0U, // STCRW
    7006             :     56U,        // STCTG
    7007             :     56U,        // STCTL
    7008             :     0U, // STCY
    7009             :     0U, // STD
    7010             :     0U, // STDY
    7011             :     0U, // STE
    7012             :     0U, // STEY
    7013             :     0U, // STFH
    7014             :     0U, // STFL
    7015             :     0U, // STFLE
    7016             :     0U, // STFPC
    7017             :     0U, // STG
    7018             :     0U, // STGRL
    7019             :     0U, // STGSC
    7020             :     0U, // STH
    7021             :     0U, // STHH
    7022             :     0U, // STHRL
    7023             :     0U, // STHY
    7024             :     0U, // STIDP
    7025             :     56U,        // STM
    7026             :     56U,        // STMG
    7027             :     56U,        // STMH
    7028             :     56U,        // STMY
    7029             :     0U, // STNSM
    7030             :     0U, // STOC
    7031             :     128U,       // STOCAsm
    7032             :     0U, // STOCAsmE
    7033             :     0U, // STOCAsmH
    7034             :     0U, // STOCAsmHE
    7035             :     0U, // STOCAsmL
    7036             :     0U, // STOCAsmLE
    7037             :     0U, // STOCAsmLH
    7038             :     0U, // STOCAsmM
    7039             :     0U, // STOCAsmNE
    7040             :     0U, // STOCAsmNH
    7041             :     0U, // STOCAsmNHE
    7042             :     0U, // STOCAsmNL
    7043             :     0U, // STOCAsmNLE
    7044             :     0U, // STOCAsmNLH
    7045             :     0U, // STOCAsmNM
    7046             :     0U, // STOCAsmNO
    7047             :     0U, // STOCAsmNP
    7048             :     0U, // STOCAsmNZ
    7049             :     0U, // STOCAsmO
    7050             :     0U, // STOCAsmP
    7051             :     0U, // STOCAsmZ
    7052             :     0U, // STOCFH
    7053             :     128U,       // STOCFHAsm
    7054             :     0U, // STOCFHAsmE
    7055             :     0U, // STOCFHAsmH
    7056             :     0U, // STOCFHAsmHE
    7057             :     0U, // STOCFHAsmL
    7058             :     0U, // STOCFHAsmLE
    7059             :     0U, // STOCFHAsmLH
    7060             :     0U, // STOCFHAsmM
    7061             :     0U, // STOCFHAsmNE
    7062             :     0U, // STOCFHAsmNH
    7063             :     0U, // STOCFHAsmNHE
    7064             :     0U, // STOCFHAsmNL
    7065             :     0U, // STOCFHAsmNLE
    7066             :     0U, // STOCFHAsmNLH
    7067             :     0U, // STOCFHAsmNM
    7068             :     0U, // STOCFHAsmNO
    7069             :     0U, // STOCFHAsmNP
    7070             :     0U, // STOCFHAsmNZ
    7071             :     0U, // STOCFHAsmO
    7072             :     0U, // STOCFHAsmP
    7073             :     0U, // STOCFHAsmZ
    7074             :     0U, // STOCG
    7075             :     128U,       // STOCGAsm
    7076             :     0U, // STOCGAsmE
    7077             :     0U, // STOCGAsmH
    7078             :     0U, // STOCGAsmHE
    7079             :     0U, // STOCGAsmL
    7080             :     0U, // STOCGAsmLE
    7081             :     0U, // STOCGAsmLH
    7082             :     0U, // STOCGAsmM
    7083             :     0U, // STOCGAsmNE
    7084             :     0U, // STOCGAsmNH
    7085             :     0U, // STOCGAsmNHE
    7086             :     0U, // STOCGAsmNL
    7087             :     0U, // STOCGAsmNLE
    7088             :     0U, // STOCGAsmNLH
    7089             :     0U, // STOCGAsmNM
    7090             :     0U, // STOCGAsmNO
    7091             :     0U, // STOCGAsmNP
    7092             :     0U, // STOCGAsmNZ
    7093             :     0U, // STOCGAsmO
    7094             :     0U, // STOCGAsmP
    7095             :     0U, // STOCGAsmZ
    7096             :     0U, // STOSM
    7097             :     0U, // STPQ
    7098             :     0U, // STPT
    7099             :     0U, // STPX
    7100             :     0U, // STRAG
    7101             :     0U, // STRL
    7102             :     0U, // STRV
    7103             :     0U, // STRVG
    7104             :     0U, // STRVH
    7105             :     0U, // STSCH
    7106             :     0U, // STSI
    7107             :     0U, // STURA
    7108             :     0U, // STURG
    7109             :     0U, // STY
    7110             :     0U, // SU
    7111             :     0U, // SUR
    7112             :     0U, // SVC
    7113             :     0U, // SW
    7114             :     0U, // SWR
    7115             :     0U, // SXBR
    7116             :     0U, // SXR
    7117             :     0U, // SXTR
    7118             :     512U,       // SXTRA
    7119             :     0U, // SY
    7120             :     0U, // TABORT
    7121             :     0U, // TAM
    7122             :     0U, // TAR
    7123             :     0U, // TB
    7124             :     41U,        // TBDR
    7125             :     41U,        // TBEDR
    7126             :     0U, // TBEGIN
    7127             :     0U, // TBEGINC
    7128             :     0U, // TCDB
    7129             :     0U, // TCEB
    7130             :     0U, // TCXB
    7131             :     0U, // TDCDT
    7132             :     0U, // TDCET
    7133             :     0U, // TDCXT
    7134             :     0U, // TDGDT
    7135             :     0U, // TDGET
    7136             :     0U, // TDGXT
    7137             :     0U, // TEND
    7138             :     0U, // THDER
    7139             :     0U, // THDR
    7140             :     0U, // TM
    7141             :     0U, // TMHH
    7142             :     0U, // TMHL
    7143             :     0U, // TMLH
    7144             :     0U, // TMLL
    7145             :     0U, // TMY
    7146             :     0U, // TP
    7147             :     0U, // TPI
    7148             :     0U, // TPROT
    7149             :     0U, // TR
    7150             :     56U,        // TRACE
    7151             :     56U,        // TRACG
    7152             :     0U, // TRAP2
    7153             :     0U, // TRAP4
    7154             :     0U, // TRE
    7155             :     104U,       // TROO
    7156             :     0U, // TROOOpt
    7157             :     104U,       // TROT
    7158             :     0U, // TROTOpt
    7159             :     0U, // TRT
    7160             :     0U, // TRTE
    7161             :     0U, // TRTEOpt
    7162             :     104U,       // TRTO
    7163             :     0U, // TRTOOpt
    7164             :     0U, // TRTR
    7165             :     0U, // TRTRE
    7166             :     0U, // TRTREOpt
    7167             :     104U,       // TRTT
    7168             :     0U, // TRTTOpt
    7169             :     0U, // TS
    7170             :     0U, // TSCH
    7171             :     0U, // UNPK
    7172             :     0U, // UNPKA
    7173             :     0U, // UNPKU
    7174             :     0U, // UPT
    7175             :     512U,       // VA
    7176             :     0U, // VAB
    7177             :     57856U,     // VAC
    7178             :     512U,       // VACC
    7179             :     0U, // VACCB
    7180             :     57856U,     // VACCC
    7181             :     57856U,     // VACCCQ
    7182             :     0U, // VACCF
    7183             :     0U, // VACCG
    7184             :     0U, // VACCH
    7185             :     0U, // VACCQ
    7186             :     57856U,     // VACQ
    7187             :     0U, // VAF
    7188             :     0U, // VAG
    7189             :     0U, // VAH
    7190             :     512U,       // VAP
    7191             :     0U, // VAQ
    7192             :     512U,       // VAVG
    7193             :     0U, // VAVGB
    7194             :     0U, // VAVGF
    7195             :     0U, // VAVGG
    7196             :     0U, // VAVGH
    7197             :     512U,       // VAVGL
    7198             :     0U, // VAVGLB
    7199             :     0U, // VAVGLF
    7200             :     0U, // VAVGLG
    7201             :     0U, // VAVGLH
    7202             :     0U, // VBPERM
    7203             :     560U,       // VCDG
    7204             :     560U,       // VCDGB
    7205             :     560U,       // VCDLG
    7206             :     560U,       // VCDLGB
    7207             :     512U,       // VCEQ
    7208             :     0U, // VCEQB
    7209             :     0U, // VCEQBS
    7210             :     0U, // VCEQF
    7211             :     0U, // VCEQFS
    7212             :     0U, // VCEQG
    7213             :     0U, // VCEQGS
    7214             :     0U, // VCEQH
    7215             :     0U, // VCEQHS
    7216             :     560U,       // VCGD
    7217             :     560U,       // VCGDB
    7218             :     512U,       // VCH
    7219             :     0U, // VCHB
    7220             :     0U, // VCHBS
    7221             :     0U, // VCHF
    7222             :     0U, // VCHFS
    7223             :     0U, // VCHG
    7224             :     0U, // VCHGS
    7225             :     0U, // VCHH
    7226             :     0U, // VCHHS
    7227             :     512U,       // VCHL
    7228             :     0U, // VCHLB
    7229             :     0U, // VCHLBS
    7230             :     0U, // VCHLF
    7231             :     0U, // VCHLFS
    7232             :     0U, // VCHLG
    7233             :     0U, // VCHLGS
    7234             :     0U, // VCHLH
    7235             :     0U, // VCHLHS
    7236             :     0U, // VCKSM
    7237             :     560U,       // VCLGD
    7238             :     560U,       // VCLGDB
    7239             :     48U,        // VCLZ
    7240             :     0U, // VCLZB
    7241             :     0U, // VCLZF
    7242             :     0U, // VCLZG
    7243             :     0U, // VCLZH
    7244             :     48U,        // VCP
    7245             :     48U,        // VCTZ
    7246             :     0U, // VCTZB
    7247             :     0U, // VCTZF
    7248             :     0U, // VCTZG
    7249             :     0U, // VCTZH
    7250             :     48U,        // VCVB
    7251             :     48U,        // VCVBG
    7252             :     10408U,     // VCVD
    7253             :     10408U,     // VCVDG
    7254             :     512U,       // VDP
    7255             :     48U,        // VEC
    7256             :     0U, // VECB
    7257             :     0U, // VECF
    7258             :     0U, // VECG
    7259             :     0U, // VECH
    7260             :     48U,        // VECL
    7261             :     0U, // VECLB
    7262             :     0U, // VECLF
    7263             :     0U, // VECLG
    7264             :     0U, // VECLH
    7265             :     49776U,     // VERIM
    7266             :     49776U,     // VERIMB
    7267             :     49776U,     // VERIMF
    7268             :     49776U,     // VERIMG
    7269             :     49776U,     // VERIMH
    7270             :     25144U,     // VERLL
    7271             :     56U,        // VERLLB
    7272             :     56U,        // VERLLF
    7273             :     56U,        // VERLLG
    7274             :     56U,        // VERLLH
    7275             :     512U,       // VERLLV
    7276             :     0U, // VERLLVB
    7277             :     0U, // VERLLVF
    7278             :     0U, // VERLLVG
    7279             :     0U, // VERLLVH
    7280             :     25144U,     // VESL
    7281             :     56U,        // VESLB
    7282             :     56U,        // VESLF
    7283             :     56U,        // VESLG
    7284             :     56U,        // VESLH
    7285             :     512U,       // VESLV
    7286             :     0U, // VESLVB
    7287             :     0U, // VESLVF
    7288             :     0U, // VESLVG
    7289             :     0U, // VESLVH
    7290             :     25144U,     // VESRA
    7291             :     56U,        // VESRAB
    7292             :     56U,        // VESRAF
    7293             :     56U,        // VESRAG
    7294             :     56U,        // VESRAH
    7295             :     512U,       // VESRAV
    7296             :     0U, // VESRAVB
    7297             :     0U, // VESRAVF
    7298             :     0U, // VESRAVG
    7299             :     0U, // VESRAVH
    7300             :     25144U,     // VESRL
    7301             :     56U,        // VESRLB
    7302             :     56U,        // VESRLF
    7303             :     56U,        // VESRLG
    7304             :     56U,        // VESRLH
    7305             :     512U,       // VESRLV
    7306             :     0U, // VESRLVB
    7307             :     0U, // VESRLVF
    7308             :     0U, // VESRLVG
    7309             :     0U, // VESRLVH
    7310             :     512U,       // VFA
    7311             :     0U, // VFADB
    7312             :     512U,       // VFAE
    7313             :     512U,       // VFAEB
    7314             :     512U,       // VFAEBS
    7315             :     512U,       // VFAEF
    7316             :     512U,       // VFAEFS
    7317             :     512U,       // VFAEH
    7318             :     512U,       // VFAEHS
    7319             :     512U,       // VFAEZB
    7320             :     512U,       // VFAEZBS
    7321             :     512U,       // VFAEZF
    7322             :     512U,       // VFAEZFS
    7323             :     512U,       // VFAEZH
    7324             :     512U,       // VFAEZHS
    7325             :     0U, // VFASB
    7326             :     512U,       // VFCE
    7327             :     0U, // VFCEDB
    7328             :     0U, // VFCEDBS
    7329             :     0U, // VFCESB
    7330             :     0U, // VFCESBS
    7331             :     512U,       // VFCH
    7332             :     0U, // VFCHDB
    7333             :     0U, // VFCHDBS
    7334             :     512U,       // VFCHE
    7335             :     0U, // VFCHEDB
    7336             :     0U, // VFCHEDBS
    7337             :     0U, // VFCHESB
    7338             :     0U, // VFCHESBS
    7339             :     0U, // VFCHSB
    7340             :     0U, // VFCHSBS
    7341             :     512U,       // VFD
    7342             :     0U, // VFDDB
    7343             :     0U, // VFDSB
    7344             :     512U,       // VFEE
    7345             :     512U,       // VFEEB
    7346             :     0U, // VFEEBS
    7347             :     512U,       // VFEEF
    7348             :     0U, // VFEEFS
    7349             :     512U,       // VFEEH
    7350             :     0U, // VFEEHS
    7351             :     0U, // VFEEZB
    7352             :     0U, // VFEEZBS
    7353             :     0U, // VFEEZF
    7354             :     0U, // VFEEZFS
    7355             :     0U, // VFEEZH
    7356             :     0U, // VFEEZHS
    7357             :     512U,       // VFENE
    7358             :     512U,       // VFENEB
    7359             :     0U, // VFENEBS
    7360             :     512U,       // VFENEF
    7361             :     0U, // VFENEFS
    7362             :     512U,       // VFENEH
    7363             :     0U, // VFENEHS
    7364             :     0U, // VFENEZB
    7365             :     0U, // VFENEZBS
    7366             :     0U, // VFENEZF
    7367             :     0U, // VFENEZFS
    7368             :     0U, // VFENEZH
    7369             :     0U, // VFENEZHS
    7370             :     560U,       // VFI
    7371             :     560U,       // VFIDB
    7372             :     560U,       // VFISB
    7373             :     0U, // VFKEDB
    7374             :     0U, // VFKEDBS
    7375             :     0U, // VFKESB
    7376             :     0U, // VFKESBS
    7377             :     0U, // VFKHDB
    7378             :     0U, // VFKHDBS
    7379             :     0U, // VFKHEDB
    7380             :     0U, // VFKHEDBS
    7381             :     0U, // VFKHESB
    7382             :     0U, // VFKHESBS
    7383             :     0U, // VFKHSB
    7384             :     0U, // VFKHSBS
    7385             :     0U, // VFLCDB
    7386             :     0U, // VFLCSB
    7387             :     560U,       // VFLL
    7388             :     0U, // VFLLS
    7389             :     0U, // VFLNDB
    7390             :     0U, // VFLNSB
    7391             :     0U, // VFLPDB
    7392             :     0U, // VFLPSB
    7393             :     560U,       // VFLR
    7394             :     560U,       // VFLRD
    7395             :     512U,       // VFM
    7396             :     57856U,     // VFMA
    7397             :     57856U,     // VFMADB
    7398             :     57856U,     // VFMASB
    7399             :     512U,       // VFMAX
    7400             :     512U,       // VFMAXDB
    7401             :     512U,       // VFMAXSB
    7402             :     0U, // VFMDB
    7403             :     512U,       // VFMIN
    7404             :     512U,       // VFMINDB
    7405             :     512U,       // VFMINSB
    7406             :     57856U,     // VFMS
    7407             :     0U, // VFMSB
    7408             :     57856U,     // VFMSDB
    7409             :     57856U,     // VFMSSB
    7410             :     57856U,     // VFNMA
    7411             :     57856U,     // VFNMADB
    7412             :     57856U,     // VFNMASB
    7413             :     57856U,     // VFNMS
    7414             :     57856U,     // VFNMSDB
    7415             :     57856U,     // VFNMSSB
    7416             :     560U,       // VFPSO
    7417             :     48U,        // VFPSODB
    7418             :     48U,        // VFPSOSB
    7419             :     512U,       // VFS
    7420             :     0U, // VFSDB
    7421             :     560U,       // VFSQ
    7422             :     0U, // VFSQDB
    7423             :     0U, // VFSQSB
    7424             :     0U, // VFSSB
    7425             :     688U,       // VFTCI
    7426             :     176U,       // VFTCIDB
    7427             :     176U,       // VFTCISB
    7428             :     0U, // VGBM
    7429             :     3U, // VGEF
    7430             :     4U, // VGEG
    7431             :     512U,       // VGFM
    7432             :     57856U,     // VGFMA
    7433             :     57856U,     // VGFMAB
    7434             :     57856U,     // VGFMAF
    7435             :     57856U,     // VGFMAG
    7436             :     57856U,     // VGFMAH
    7437             :     0U, // VGFMB
    7438             :     0U, // VGFMF
    7439             :     0U, // VGFMG
    7440             :     0U, // VGFMH
    7441             :     36U,        // VGM
    7442             :     44U,        // VGMB
    7443             :     44U,        // VGMF
    7444             :     44U,        // VGMG
    7445             :     44U,        // VGMH
    7446             :     560U,       // VISTR
    7447             :     48U,        // VISTRB
    7448             :     0U, // VISTRBS
    7449             :     48U,        // VISTRF
    7450             :     0U, // VISTRFS
    7451             :     48U,        // VISTRH
    7452             :     0U, // VISTRHS
    7453             :     0U, // VL
    7454             :     104U,       // VLBB
    7455             :     48U,        // VLC
    7456             :     0U, // VLCB
    7457             :     0U, // VLCF
    7458             :     0U, // VLCG
    7459             :     0U, // VLCH
    7460             :     560U,       // VLDE
    7461             :     0U, // VLDEB
    7462             :     160U,       // VLEB
    7463             :     560U,       // VLED
    7464             :     560U,       // VLEDB
    7465             :     184U,       // VLEF
    7466             :     192U,       // VLEG
    7467             :     200U,       // VLEH
    7468             :     128U,       // VLEIB
    7469             :     208U,       // VLEIF
    7470             :     216U,       // VLEIG
    7471             :     224U,       // VLEIH
    7472             :     25144U,     // VLGV
    7473             :     56U,        // VLGVB
    7474             :     56U,        // VLGVF
    7475             :     56U,        // VLGVG
    7476             :     56U,        // VLGVH
    7477             :     48U,        // VLIP
    7478             :     56U,        // VLL
    7479             :     104U,       // VLLEZ
    7480             :     0U, // VLLEZB
    7481             :     0U, // VLLEZF
    7482             :     0U, // VLLEZG
    7483             :     0U, // VLLEZH
    7484             :     0U, // VLLEZLF
    7485             :     56U,        // VLM
    7486             :     48U,        // VLP
    7487             :     0U, // VLPB
    7488             :     0U, // VLPF
    7489             :     0U, // VLPG
    7490             :     0U, // VLPH
    7491             :     0U, // VLR
    7492             :     104U,       // VLREP
    7493             :     0U, // VLREPB
    7494             :     0U, // VLREPF
    7495             :     0U, // VLREPG
    7496             :     0U, // VLREPH
    7497             :     152U,       // VLRL
    7498             :     56U,        // VLRLR
    7499             :     16920U,     // VLVG
    7500             :     24U,        // VLVGB
    7501             :     24U,        // VLVGF
    7502             :     24U,        // VLVGG
    7503             :     24U,        // VLVGH
    7504             :     0U, // VLVGP
    7505             :     57856U,     // VMAE
    7506             :     57856U,     // VMAEB
    7507             :     57856U,     // VMAEF
    7508             :     57856U,     // VMAEH
    7509             :     57856U,     // VMAH
    7510             :     57856U,     // VMAHB
    7511             :     57856U,     // VMAHF
    7512             :     57856U,     // VMAHH
    7513             :     57856U,     // VMAL
    7514             :     57856U,     // VMALB
    7515             :     57856U,     // VMALE
    7516             :     57856U,     // VMALEB
    7517             :     57856U,     // VMALEF
    7518             :     57856U,     // VMALEH
    7519             :     57856U,     // VMALF
    7520             :     57856U,     // VMALH
    7521             :     57856U,     // VMALHB
    7522             :     57856U,     // VMALHF
    7523             :     57856U,     // VMALHH
    7524             :     57856U,     // VMALHW
    7525             :     57856U,     // VMALO
    7526             :     57856U,     // VMALOB
    7527             :     57856U,     // VMALOF
    7528             :     57856U,     // VMALOH
    7529             :     57856U,     // VMAO
    7530             :     57856U,     // VMAOB
    7531             :     57856U,     // VMAOF
    7532             :     57856U,     // VMAOH
    7533             :     512U,       // VME
    7534             :     0U, // VMEB
    7535             :     0U, // VMEF
    7536             :     0U, // VMEH
    7537             :     512U,       // VMH
    7538             :     0U, // VMHB
    7539             :     0U, // VMHF
    7540             :     0U, // VMHH
    7541             :     512U,       // VML
    7542             :     0U, // VMLB
    7543             :     512U,       // VMLE
    7544             :     0U, // VMLEB
    7545             :     0U, // VMLEF
    7546             :     0U, // VMLEH
    7547             :     0U, // VMLF
    7548             :     512U,       // VMLH
    7549             :     0U, // VMLHB
    7550             :     0U, // VMLHF
    7551             :     0U, // VMLHH
    7552             :     0U, // VMLHW
    7553             :     512U,       // VMLO
    7554             :     0U, // VMLOB
    7555             :     0U, // VMLOF
    7556             :     0U, // VMLOH
    7557             :     512U,       // VMN
    7558             :     0U, // VMNB
    7559             :     0U, // VMNF
    7560             :     0U, // VMNG
    7561             :     0U, // VMNH
    7562             :     512U,       // VMNL
    7563             :     0U, // VMNLB
    7564             :     0U, // VMNLF
    7565             :     0U, // VMNLG
    7566             :     0U, // VMNLH
    7567             :     512U,       // VMO
    7568             :     0U, // VMOB
    7569             :     0U, // VMOF
    7570             :     0U, // VMOH
    7571             :     512U,       // VMP
    7572             :     512U,       // VMRH
    7573             :     0U, // VMRHB
    7574             :     0U, // VMRHF
    7575             :     0U, // VMRHG
    7576             :     0U, // VMRHH
    7577             :     512U,       // VMRL
    7578             :     0U, // VMRLB
    7579             :     0U, // VMRLF
    7580             :     0U, // VMRLG
    7581             :     0U, // VMRLH
    7582             :     57856U,     // VMSL
    7583             :     57856U,     // VMSLG
    7584             :     512U,       // VMSP
    7585             :     512U,       // VMX
    7586             :     0U, // VMXB
    7587             :     0U, // VMXF
    7588             :     0U, // VMXG
    7589             :     0U, // VMXH
    7590             :     512U,       // VMXL
    7591             :     0U, // VMXLB
    7592             :     0U, // VMXLF
    7593             :     0U, // VMXLG
    7594             :     0U, // VMXLH
    7595             :     0U, // VN
    7596             :     0U, // VNC
    7597             :     0U, // VNN
    7598             :     0U, // VNO
    7599             :     0U, // VNX
    7600             :     0U, // VO
    7601             :     0U, // VOC
    7602             :     0U, // VONE
    7603             :     512U,       // VPDI
    7604             :     57856U,     // VPERM
    7605             :     512U,       // VPK
    7606             :     0U, // VPKF
    7607             :     0U, // VPKG
    7608             :     0U, // VPKH
    7609             :     512U,       // VPKLS
    7610             :     0U, // VPKLSF
    7611             :     0U, // VPKLSFS
    7612             :     0U, // VPKLSG
    7613             :     0U, // VPKLSGS
    7614             :     0U, // VPKLSH
    7615             :     0U, // VPKLSHS
    7616             :     512U,       // VPKS
    7617             :     0U, // VPKSF
    7618             :     0U, // VPKSFS
    7619             :     0U, // VPKSG
    7620             :     0U, // VPKSGS
    7621             :     0U, // VPKSH
    7622             :     0U, // VPKSHS
    7623             :     152U,       // VPKZ
    7624             :     48U,        // VPOPCT
    7625             :     0U, // VPOPCTB
    7626             :     0U, // VPOPCTF
    7627             :     0U, // VPOPCTG
    7628             :     0U, // VPOPCTH
    7629             :     4264U,      // VPSOP
    7630             :     744U,       // VREP
    7631             :     232U,       // VREPB
    7632             :     232U,       // VREPF
    7633             :     232U,       // VREPG
    7634             :     232U,       // VREPH
    7635             :     48U,        // VREPI
    7636             :     0U, // VREPIB
    7637             :     0U, // VREPIF
    7638             :     0U, // VREPIG
    7639             :     0U, // VREPIH
    7640             :     512U,       // VRP
    7641             :     512U,       // VS
    7642             :     0U, // VSB
    7643             :     57856U,     // VSBCBI
    7644             :     57856U,     // VSBCBIQ
    7645             :     57856U,     // VSBI
    7646             :     57856U,     // VSBIQ
    7647             :     512U,       // VSCBI
    7648             :     0U, // VSCBIB
    7649             :     0U, // VSCBIF
    7650             :     0U, // VSCBIG
    7651             :     0U, // VSCBIH
    7652             :     0U, // VSCBIQ
    7653             :     4U, // VSCEF
    7654             :     4U, // VSCEG
    7655             :     512U,       // VSDP
    7656             :     48U,        // VSEG
    7657             :     0U, // VSEGB
    7658             :     0U, // VSEGF
    7659             :     0U, // VSEGH
    7660             :     57856U,     // VSEL
    7661             :     0U, // VSF
    7662             :     0U, // VSG
    7663             :     0U, // VSH
    7664             :     0U, // VSL
    7665             :     0U, // VSLB
    7666             :     512U,       // VSLDB
    7667             :     512U,       // VSP
    7668             :     0U, // VSQ
    7669             :     0U, // VSRA
    7670             :     0U, // VSRAB
    7671             :     0U, // VSRL
    7672             :     0U, // VSRLB
    7673             :     4264U,      // VSRP
    7674             :     0U, // VST
    7675             :     104U,       // VSTEB
    7676             :     240U,       // VSTEF
    7677             :     248U,       // VSTEG
    7678             :     256U,       // VSTEH
    7679             :     56U,        // VSTL
    7680             :     56U,        // VSTM
    7681             :     57856U,     // VSTRC
    7682             :     57856U,     // VSTRCB
    7683             :     57856U,     // VSTRCBS
    7684             :     57856U,     // VSTRCF
    7685             :     57856U,     // VSTRCFS
    7686             :     57856U,     // VSTRCH
    7687             :     57856U,     // VSTRCHS
    7688             :     57856U,     // VSTRCZB
    7689             :     57856U,     // VSTRCZBS
    7690             :     57856U,     // VSTRCZF
    7691             :     57856U,     // VSTRCZFS
    7692             :     57856U,     // VSTRCZH
    7693             :     57856U,     // VSTRCZHS
    7694             :     152U,       // VSTRL
    7695             :     56U,        // VSTRLR
    7696             :     512U,       // VSUM
    7697             :     0U, // VSUMB
    7698             :     512U,       // VSUMG
    7699             :     0U, // VSUMGF
    7700             :     0U, // VSUMGH
    7701             :     0U, // VSUMH
    7702             :     512U,       // VSUMQ
    7703             :     0U, // VSUMQF
    7704             :     0U, // VSUMQG
    7705             :     0U, // VTM
    7706             :     0U, // VTP
    7707             :     48U,        // VUPH
    7708             :     0U, // VUPHB
    7709             :     0U, // VUPHF
    7710             :     0U, // VUPHH
    7711             :     152U,       // VUPKZ
    7712             :     48U,        // VUPL
    7713             :     0U, // VUPLB
    7714             :     0U, // VUPLF
    7715             :     48U,        // VUPLH
    7716             :     0U, // VUPLHB
    7717             :     0U, // VUPLHF
    7718             :     0U, // VUPLHH
    7719             :     0U, // VUPLHW
    7720             :     48U,        // VUPLL
    7721             :     0U, // VUPLLB
    7722             :     0U, // VUPLLF
    7723             :     0U, // VUPLLH
    7724             :     0U, // VX
    7725             :     0U, // VZERO
    7726             :     560U,       // WCDGB
    7727             :     560U,       // WCDLGB
    7728             :     560U,       // WCGDB
    7729             :     560U,       // WCLGDB
    7730             :     0U, // WFADB
    7731             :     0U, // WFASB
    7732             :     0U, // WFAXB
    7733             :     560U,       // WFC
    7734             :     0U, // WFCDB
    7735             :     0U, // WFCEDB
    7736             :     0U, // WFCEDBS
    7737             :     0U, // WFCESB
    7738             :     0U, // WFCESBS
    7739             :     0U, // WFCEXB
    7740             :     0U, // WFCEXBS
    7741             :     0U, // WFCHDB
    7742             :     0U, // WFCHDBS
    7743             :     0U, // WFCHEDB
    7744             :     0U, // WFCHEDBS
    7745             :     0U, // WFCHESB
    7746             :     0U, // WFCHESBS
    7747             :     0U, // WFCHEXB
    7748             :     0U, // WFCHEXBS
    7749             :     0U, // WFCHSB
    7750             :     0U, // WFCHSBS
    7751             :     0U, // WFCHXB
    7752             :     0U, // WFCHXBS
    7753             :     0U, // WFCSB
    7754             :     0U, // WFCXB
    7755             :     0U, // WFDDB
    7756             :     0U, // WFDSB
    7757             :     0U, // WFDXB
    7758             :     560U,       // WFIDB
    7759             :     560U,       // WFISB
    7760             :     560U,       // WFIXB
    7761             :     560U,       // WFK
    7762             :     0U, // WFKDB
    7763             :     0U, // WFKEDB
    7764             :     0U, // WFKEDBS
    7765             :     0U, // WFKESB
    7766             :     0U, // WFKESBS
    7767             :     0U, // WFKEXB
    7768             :     0U, // WFKEXBS
    7769             :     0U, // WFKHDB
    7770             :     0U, // WFKHDBS
    7771             :     0U, // WFKHEDB
    7772             :     0U, // WFKHEDBS
    7773             :     0U, // WFKHESB
    7774             :     0U, // WFKHESBS
    7775             :     0U, // WFKHEXB
    7776             :     0U, // WFKHEXBS
    7777             :     0U, // WFKHSB
    7778             :     0U, // WFKHSBS
    7779             :     0U, // WFKHXB
    7780             :     0U, // WFKHXBS
    7781             :     0U, // WFKSB
    7782             :     0U, // WFKXB
    7783             :     0U, // WFLCDB
    7784             :     0U, // WFLCSB
    7785             :     0U, // WFLCXB
    7786             :     0U, // WFLLD
    7787             :     0U, // WFLLS
    7788             :     0U, // WFLNDB
    7789             :     0U, // WFLNSB
    7790             :     0U, // WFLNXB
    7791             :     0U, // WFLPDB
    7792             :     0U, // WFLPSB
    7793             :     0U, // WFLPXB
    7794             :     560U,       // WFLRD
    7795             :     560U,       // WFLRX
    7796             :     57856U,     // WFMADB
    7797             :     57856U,     // WFMASB
    7798             :     57856U,     // WFMAXB
    7799             :     512U,       // WFMAXDB
    7800             :     512U,       // WFMAXSB
    7801             :     512U,       // WFMAXXB
    7802             :     0U, // WFMDB
    7803             :     512U,       // WFMINDB
    7804             :     512U,       // WFMINSB
    7805             :     512U,       // WFMINXB
    7806             :     0U, // WFMSB
    7807             :     57856U,     // WFMSDB
    7808             :     57856U,     // WFMSSB
    7809             :     57856U,     // WFMSXB
    7810             :     0U, // WFMXB
    7811             :     57856U,     // WFNMADB
    7812             :     57856U,     // WFNMASB
    7813             :     57856U,     // WFNMAXB
    7814             :     57856U,     // WFNMSDB
    7815             :     57856U,     // WFNMSSB
    7816             :     57856U,     // WFNMSXB
    7817             :     48U,        // WFPSODB
    7818             :     48U,        // WFPSOSB
    7819             :     48U,        // WFPSOXB
    7820             :     0U, // WFSDB
    7821             :     0U, // WFSQDB
    7822             :     0U, // WFSQSB
    7823             :     0U, // WFSQXB
    7824             :     0U, // WFSSB
    7825             :     0U, // WFSXB
    7826             :     176U,       // WFTCIDB
    7827             :     176U,       // WFTCISB
    7828             :     176U,       // WFTCIXB
    7829             :     0U, // WLDEB
    7830             :     560U,       // WLEDB
    7831             :     0U, // X
    7832             :     0U, // XC
    7833             :     0U, // XG
    7834             :     0U, // XGR
    7835             :     0U, // XGRK
    7836             :     0U, // XI
    7837             :     0U, // XIHF
    7838             :     0U, // XILF
    7839             :     0U, // XIY
    7840             :     0U, // XR
    7841             :     0U, // XRK
    7842             :     0U, // XSCH
    7843             :     0U, // XY
    7844             :     0U, // ZAP
    7845             :   };
    7846             : 
    7847             :   static const uint8_t OpInfo2[] = {
    7848             :     0U, // PHI
    7849             :     0U, // INLINEASM
    7850             :     0U, // CFI_INSTRUCTION
    7851             :     0U, // EH_LABEL
    7852             :     0U, // GC_LABEL
    7853             :     0U, // ANNOTATION_LABEL
    7854             :     0U, // KILL
    7855             :     0U, // EXTRACT_SUBREG
    7856             :     0U, // INSERT_SUBREG
    7857             :     0U, // IMPLICIT_DEF
    7858             :     0U, // SUBREG_TO_REG
    7859             :     0U, // COPY_TO_REGCLASS
    7860             :     0U, // DBG_VALUE
    7861             :     0U, // DBG_LABEL
    7862             :     0U, // REG_SEQUENCE
    7863             :     0U, // COPY
    7864             :     0U, // BUNDLE
    7865             :     0U, // LIFETIME_START
    7866             :     0U, // LIFETIME_END
    7867             :     0U, // STACKMAP
    7868             :     0U, // FENTRY_CALL
    7869             :     0U, // PATCHPOINT
    7870             :     0U, // LOAD_STACK_GUARD
    7871             :     0U, // STATEPOINT
    7872             :     0U, // LOCAL_ESCAPE
    7873             :     0U, // FAULTING_OP
    7874             :     0U, // PATCHABLE_OP
    7875             :     0U, // PATCHABLE_FUNCTION_ENTER
    7876             :     0U, // PATCHABLE_RET
    7877             :     0U, // PATCHABLE_FUNCTION_EXIT
    7878             :     0U, // PATCHABLE_TAIL_CALL
    7879             :     0U, // PATCHABLE_EVENT_CALL
    7880             :     0U, // PATCHABLE_TYPED_EVENT_CALL
    7881             :     0U, // ICALL_BRANCH_FUNNEL
    7882             :     0U, // G_ADD
    7883             :     0U, // G_SUB
    7884             :     0U, // G_MUL
    7885             :     0U, // G_SDIV
    7886             :     0U, // G_UDIV
    7887             :     0U, // G_SREM
    7888             :     0U, // G_UREM
    7889             :     0U, // G_AND
    7890             :     0U, // G_OR
    7891             :     0U, // G_XOR
    7892             :     0U, // G_IMPLICIT_DEF
    7893             :     0U, // G_PHI
    7894             :     0U, // G_FRAME_INDEX
    7895             :     0U, // G_GLOBAL_VALUE
    7896             :     0U, // G_EXTRACT
    7897             :     0U, // G_UNMERGE_VALUES
    7898             :     0U, // G_INSERT
    7899             :     0U, // G_MERGE_VALUES
    7900             :     0U, // G_PTRTOINT
    7901             :     0U, // G_INTTOPTR
    7902             :     0U, // G_BITCAST
    7903             :     0U, // G_INTRINSIC_TRUNC
    7904             :     0U, // G_INTRINSIC_ROUND
    7905             :     0U, // G_LOAD
    7906             :     0U, // G_SEXTLOAD
    7907             :     0U, // G_ZEXTLOAD
    7908             :     0U, // G_STORE
    7909             :     0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
    7910             :     0U, // G_ATOMIC_CMPXCHG
    7911             :     0U, // G_ATOMICRMW_XCHG
    7912             :     0U, // G_ATOMICRMW_ADD
    7913             :     0U, // G_ATOMICRMW_SUB
    7914             :     0U, // G_ATOMICRMW_AND
    7915             :     0U, // G_ATOMICRMW_NAND
    7916             :     0U, // G_ATOMICRMW_OR
    7917             :     0U, // G_ATOMICRMW_XOR
    7918             :     0U, // G_ATOMICRMW_MAX
    7919             :     0U, // G_ATOMICRMW_MIN
    7920             :     0U, // G_ATOMICRMW_UMAX
    7921             :     0U, // G_ATOMICRMW_UMIN
    7922             :     0U, // G_BRCOND
    7923             :     0U, // G_BRINDIRECT
    7924             :     0U, // G_INTRINSIC
    7925             :     0U, // G_INTRINSIC_W_SIDE_EFFECTS
    7926             :     0U, // G_ANYEXT
    7927             :     0U, // G_TRUNC
    7928             :     0U, // G_CONSTANT
    7929             :     0U, // G_FCONSTANT
    7930             :     0U, // G_VASTART
    7931             :     0U, // G_VAARG
    7932             :     0U, // G_SEXT
    7933             :     0U, // G_ZEXT
    7934             :     0U, // G_SHL
    7935             :     0U, // G_LSHR
    7936             :     0U, // G_ASHR
    7937             :     0U, // G_ICMP
    7938             :     0U, // G_FCMP
    7939             :     0U, // G_SELECT
    7940             :     0U, // G_UADDO
    7941             :     0U, // G_UADDE
    7942             :     0U, // G_USUBO
    7943             :     0U, // G_USUBE
    7944             :     0U, // G_SADDO
    7945             :     0U, // G_SADDE
    7946             :     0U, // G_SSUBO
    7947             :     0U, // G_SSUBE
    7948             :     0U, // G_UMULO
    7949             :     0U, // G_SMULO
    7950             :     0U, // G_UMULH
    7951             :     0U, // G_SMULH
    7952             :     0U, // G_FADD
    7953             :     0U, // G_FSUB
    7954             :     0U, // G_FMUL
    7955             :     0U, // G_FMA
    7956             :     0U, // G_FDIV
    7957             :     0U, // G_FREM
    7958             :     0U, // G_FPOW
    7959             :     0U, // G_FEXP
    7960             :     0U, // G_FEXP2
    7961             :     0U, // G_FLOG
    7962             :     0U, // G_FLOG2
    7963             :     0U, // G_FNEG
    7964             :     0U, // G_FPEXT
    7965             :     0U, // G_FPTRUNC
    7966             :     0U, // G_FPTOSI
    7967             :     0U, // G_FPTOUI
    7968             :     0U, // G_SITOFP
    7969             :     0U, // G_UITOFP
    7970             :     0U, // G_FABS
    7971             :     0U, // G_GEP
    7972             :     0U, // G_PTR_MASK
    7973             :     0U, // G_BR
    7974             :     0U, // G_INSERT_VECTOR_ELT
    7975             :     0U, // G_EXTRACT_VECTOR_ELT
    7976             :     0U, // G_SHUFFLE_VECTOR
    7977             :     0U, // G_CTTZ
    7978             :     0U, // G_CTTZ_ZERO_UNDEF
    7979             :     0U, // G_CTLZ
    7980             :     0U, // G_CTLZ_ZERO_UNDEF
    7981             :     0U, // G_CTPOP
    7982             :     0U, // G_BSWAP
    7983             :     0U, // G_ADDRSPACE_CAST
    7984             :     0U, // G_BLOCK_ADDR
    7985             :     0U, // ADJCALLSTACKDOWN
    7986             :     0U, // ADJCALLSTACKUP
    7987             :     0U, // ADJDYNALLOC
    7988             :     0U, // AEXT128
    7989             :     0U, // AFIMux
    7990             :     0U, // AHIMux
    7991             :     0U, // AHIMuxK
    7992             :     0U, // ATOMIC_CMP_SWAPW
    7993             :     0U, // ATOMIC_LOADW_AFI
    7994             :     0U, // ATOMIC_LOADW_AR
    7995             :     0U, // ATOMIC_LOADW_MAX
    7996             :     0U, // ATOMIC_LOADW_MIN
    7997             :     0U, // ATOMIC_LOADW_NILH
    7998             :     0U, // ATOMIC_LOADW_NILHi
    7999             :     0U, // ATOMIC_LOADW_NR
    8000             :     0U, // ATOMIC_LOADW_NRi
    8001             :     0U, // ATOMIC_LOADW_OILH
    8002             :     0U, // ATOMIC_LOADW_OR
    8003             :     0U, // ATOMIC_LOADW_SR
    8004             :     0U, // ATOMIC_LOADW_UMAX
    8005             :     0U, // ATOMIC_LOADW_UMIN
    8006             :     0U, // ATOMIC_LOADW_XILF
    8007             :     0U, // ATOMIC_LOADW_XR
    8008             :     0U, // ATOMIC_LOAD_AFI
    8009             :     0U, // ATOMIC_LOAD_AGFI
    8010             :     0U, // ATOMIC_LOAD_AGHI
    8011             :     0U, // ATOMIC_LOAD_AGR
    8012             :     0U, // ATOMIC_LOAD_AHI
    8013             :     0U, // ATOMIC_LOAD_AR
    8014             :     0U, // ATOMIC_LOAD_MAX_32
    8015             :     0U, // ATOMIC_LOAD_MAX_64
    8016             :     0U, // ATOMIC_LOAD_MIN_32
    8017             :     0U, // ATOMIC_LOAD_MIN_64
    8018             :     0U, // ATOMIC_LOAD_NGR
    8019             :     0U, // ATOMIC_LOAD_NGRi
    8020             :     0U, // ATOMIC_LOAD_NIHF64
    8021             :     0U, // ATOMIC_LOAD_NIHF64i
    8022             :     0U, // ATOMIC_LOAD_NIHH64
    8023             :     0U, // ATOMIC_LOAD_NIHH64i
    8024             :     0U, // ATOMIC_LOAD_NIHL64
    8025             :     0U, // ATOMIC_LOAD_NIHL64i
    8026             :     0U, // ATOMIC_LOAD_NILF
    8027             :     0U, // ATOMIC_LOAD_NILF64
    8028             :     0U, // ATOMIC_LOAD_NILF64i
    8029             :     0U, // ATOMIC_LOAD_NILFi
    8030             :     0U, // ATOMIC_LOAD_NILH
    8031             :     0U, // ATOMIC_LOAD_NILH64
    8032             :     0U, // ATOMIC_LOAD_NILH64i
    8033             :     0U, // ATOMIC_LOAD_NILHi
    8034             :     0U, // ATOMIC_LOAD_NILL
    8035             :     0U, // ATOMIC_LOAD_NILL64
    8036             :     0U, // ATOMIC_LOAD_NILL64i
    8037             :     0U, // ATOMIC_LOAD_NILLi
    8038             :     0U, // ATOMIC_LOAD_NR
    8039             :     0U, // ATOMIC_LOAD_NRi
    8040             :     0U, // ATOMIC_LOAD_OGR
    8041             :     0U, // ATOMIC_LOAD_OIHF64
    8042             :     0U, // ATOMIC_LOAD_OIHH64
    8043             :     0U, // ATOMIC_LOAD_OIHL64
    8044             :     0U, // ATOMIC_LOAD_OILF
    8045             :     0U, // ATOMIC_LOAD_OILF64
    8046             :     0U, // ATOMIC_LOAD_OILH
    8047             :     0U, // ATOMIC_LOAD_OILH64
    8048             :     0U, // ATOMIC_LOAD_OILL
    8049             :     0U, // ATOMIC_LOAD_OILL64
    8050             :     0U, // ATOMIC_LOAD_OR
    8051             :     0U, // ATOMIC_LOAD_SGR
    8052             :     0U, // ATOMIC_LOAD_SR
    8053             :     0U, // ATOMIC_LOAD_UMAX_32
    8054             :     0U, // ATOMIC_LOAD_UMAX_64
    8055             :     0U, // ATOMIC_LOAD_UMIN_32
    8056             :     0U, // ATOMIC_LOAD_UMIN_64
    8057             :     0U, // ATOMIC_LOAD_XGR
    8058             :     0U, // ATOMIC_LOAD_XIHF64
    8059             :     0U, // ATOMIC_LOAD_XILF
    8060             :     0U, // ATOMIC_LOAD_XILF64
    8061             :     0U, // ATOMIC_LOAD_XR
    8062             :     0U, // ATOMIC_SWAPW
    8063             :     0U, // ATOMIC_SWAP_32
    8064             :     0U, // ATOMIC_SWAP_64
    8065             :     0U, // CFIMux
    8066             :     0U, // CGIBCall
    8067             :     0U, // CGIBReturn
    8068             :     0U, // CGRBCall
    8069             :     0U, // CGRBReturn
    8070             :     0U, // CHIMux
    8071             :     0U, // CIBCall
    8072             :     0U, // CIBReturn
    8073             :     0U, // CLCLoop
    8074             :     0U, // CLCSequence
    8075             :     0U, // CLFIMux
    8076             :     0U, // CLGIBCall
    8077             :     0U, // CLGIBReturn
    8078             :     0U, // CLGRBCall
    8079             :     0U, // CLGRBReturn
    8080             :     0U, // CLIBCall
    8081             :     0U, // CLIBReturn
    8082             :     0U, // CLMux
    8083             :     0U, // CLRBCall
    8084             :     0U, // CLRBReturn
    8085             :     0U, // CLSTLoop
    8086             :     0U, // CMux
    8087             :     0U, // CRBCall
    8088             :     0U, // CRBReturn
    8089             :     0U, // CallBASR
    8090             :     0U, // CallBCR
    8091             :     0U, // CallBR
    8092             :     0U, // CallBRASL
    8093             :     0U, // CallBRCL
    8094             :     0U, // CallJG
    8095             :     0U, // CondReturn
    8096             :     0U, // CondStore16
    8097             :     0U, // CondStore16Inv
    8098             :     0U, // CondStore16Mux
    8099             :     0U, // CondStore16MuxInv
    8100             :     0U, // CondStore32
    8101             :     0U, // CondStore32Inv
    8102             :     0U, // CondStore32Mux
    8103             :     0U, // CondStore32MuxInv
    8104             :     0U, // CondStore64
    8105             :     0U, // CondStore64Inv
    8106             :     0U, // CondStore8
    8107             :     0U, // CondStore8Inv
    8108             :     0U, // CondStore8Mux
    8109             :     0U, // CondStore8MuxInv
    8110             :     0U, // CondStoreF32
    8111             :     0U, // CondStoreF32Inv
    8112             :     0U, // CondStoreF64
    8113             :     0U, // CondStoreF64Inv
    8114             :     0U, // CondTrap
    8115             :     0U, // GOT
    8116             :     0U, // IIFMux
    8117             :     0U, // IIHF64
    8118             :     0U, // IIHH64
    8119             :     0U, // IIHL64
    8120             :     0U, // IIHMux
    8121             :     0U, // IILF64
    8122             :     0U, // IILH64
    8123             :     0U, // IILL64
    8124             :     0U, // IILMux
    8125             :     0U, // L128
    8126             :     0U, // LBMux
    8127             :     0U, // LEFR
    8128             :     0U, // LFER
    8129             :     0U, // LHIMux
    8130             :     0U, // LHMux
    8131             :     0U, // LLCMux
    8132             :     0U, // LLCRMux
    8133             :     0U, // LLHMux
    8134             :     0U, // LLHRMux
    8135             :     0U, // LMux
    8136             :     0U, // LOCHIMux
    8137             :     0U, // LOCMux
    8138             :     0U, // LOCRMux
    8139             :     0U, // LRMux
    8140             :     0U, // LTDBRCompare_VecPseudo
    8141             :     0U, // LTEBRCompare_VecPseudo
    8142             :     0U, // LTXBRCompare_VecPseudo
    8143             :     0U, // LX
    8144             :     0U, // MVCLoop
    8145             :     0U, // MVCSequence
    8146             :     0U, // MVSTLoop
    8147             :     0U, // MemBarrier
    8148             :     0U, // NCLoop
    8149             :     0U, // NCSequence
    8150             :     0U, // NIFMux
    8151             :     0U, // NIHF64
    8152             :     0U, // NIHH64
    8153             :     0U, // NIHL64
    8154             :     0U, // NIHMux
    8155             :     0U, // NILF64
    8156             :     0U, // NILH64
    8157             :     0U, // NILL64
    8158             :     0U, // NILMux
    8159             :     0U, // OCLoop
    8160             :     0U, // OCSequence
    8161             :     0U, // OIFMux
    8162             :     0U, // OIHF64
    8163             :     0U, // OIHH64
    8164             :     0U, // OIHL64
    8165             :     0U, // OIHMux
    8166             :     0U, // OILF64
    8167             :     0U, // OILH64
    8168             :     0U, // OILL64
    8169             :     0U, // OILMux
    8170             :     0U, // PAIR128
    8171             :     0U, // RISBHH
    8172             :     0U, // RISBHL
    8173             :     0U, // RISBLH
    8174             :     0U, // RISBLL
    8175             :     0U, // RISBMux
    8176             :     0U, // Return
    8177             :     0U, // SRSTLoop
    8178             :     0U, // ST128
    8179             :     0U, // STCMux
    8180             :     0U, // STHMux
    8181             :     0U, // STMux
    8182             :     0U, // STOCMux
    8183             :     0U, // STX
    8184             :     0U, // Select32
    8185             :     0U, // Select64
    8186             :     0U, // SelectF128
    8187             :     0U, // SelectF32
    8188             :     0U, // SelectF64
    8189             :     0U, // SelectVR128
    8190             :     0U, // SelectVR32
    8191             :     0U, // SelectVR64
    8192             :     0U, // Serialize
    8193             :     0U, // TBEGIN_nofloat
    8194             :     0U, // TLS_GDCALL
    8195             :     0U, // TLS_LDCALL
    8196             :     0U, // TMHH64
    8197             :     0U, // TMHL64
    8198             :     0U, // TMHMux
    8199             :     0U, // TMLH64
    8200             :     0U, // TMLL64
    8201             :     0U, // TMLMux
    8202             :     0U, // Trap
    8203             :     0U, // VL32
    8204             :     0U, // VL64
    8205             :     0U, // VLR32
    8206             :     0U, // VLR64
    8207             :     0U, // VLVGP32
    8208             :     0U, // VST32
    8209             :     0U, // VST64
    8210             :     0U, // XCLoop
    8211             :     0U, // XCSequence
    8212             :     0U, // XIFMux
    8213             :     0U, // XIHF64
    8214             :     0U, // XILF64
    8215             :     0U, // ZEXT128
    8216             :     0U, // A
    8217             :     0U, // AD
    8218             :     0U, // ADB
    8219             :     0U, // ADBR
    8220             :     0U, // ADR
    8221             :     0U, // ADTR
    8222             :     0U, // ADTRA
    8223             :     0U, // AE
    8224             :     0U, // AEB
    8225             :     0U, // AEBR
    8226             :     0U, // AER
    8227             :     0U, // AFI
    8228             :     0U, // AG
    8229             :     0U, // AGF
    8230             :     0U, // AGFI
    8231             :     0U, // AGFR
    8232             :     0U, // AGH
    8233             :     0U, // AGHI
    8234             :     0U, // AGHIK
    8235             :     0U, // AGR
    8236             :     0U, // AGRK
    8237             :     0U, // AGSI
    8238             :     0U, // AH
    8239             :     0U, // AHHHR
    8240             :     0U, // AHHLR
    8241             :     0U, // AHI
    8242             :     0U, // AHIK
    8243             :     0U, // AHY
    8244             :     0U, // AIH
    8245             :     0U, // AL
    8246             :     0U, // ALC
    8247             :     0U, // ALCG
    8248             :     0U, // ALCGR
    8249             :     0U, // ALCR
    8250             :     0U, // ALFI
    8251             :     0U, // ALG
    8252             :     0U, // ALGF
    8253             :     0U, // ALGFI
    8254             :     0U, // ALGFR
    8255             :     0U, // ALGHSIK
    8256             :     0U, // ALGR
    8257             :     0U, // ALGRK
    8258             :     0U, // ALGSI
    8259             :     0U, // ALHHHR
    8260             :     0U, // ALHHLR
    8261             :     0U, // ALHSIK
    8262             :     0U, // ALR
    8263             :     0U, // ALRK
    8264             :     0U, // ALSI
    8265             :     0U, // ALSIH
    8266             :     0U, // ALSIHN
    8267             :     0U, // ALY
    8268             :     0U, // AP
    8269             :     0U, // AR
    8270             :     0U, // ARK
    8271             :     0U, // ASI
    8272             :     0U, // AU
    8273             :     0U, // AUR
    8274             :     0U, // AW
    8275             :     0U, // AWR
    8276             :     0U, // AXBR
    8277             :     0U, // AXR
    8278             :     0U, // AXTR
    8279             :     0U, // AXTRA
    8280             :     0U, // AY
    8281             :     0U, // B
    8282             :     0U, // BAKR
    8283             :     0U, // BAL
    8284             :     0U, // BALR
    8285             :     0U, // BAS
    8286             :     0U, // BASR
    8287             :     0U, // BASSM
    8288             :     0U, // BAsmE
    8289             :     0U, // BAsmH
    8290             :     0U, // BAsmHE
    8291             :     0U, // BAsmL
    8292             :     0U, // BAsmLE
    8293             :     0U, // BAsmLH
    8294             :     0U, // BAsmM
    8295             :     0U, // BAsmNE
    8296             :     0U, // BAsmNH
    8297             :     0U, // BAsmNHE
    8298             :     0U, // BAsmNL
    8299             :     0U, // BAsmNLE
    8300             :     0U, // BAsmNLH
    8301             :     0U, // BAsmNM
    8302             :     0U, // BAsmNO
    8303             :     0U, // BAsmNP
    8304             :     0U, // BAsmNZ
    8305             :     0U, // BAsmO
    8306             :     0U, // BAsmP
    8307             :     0U, // BAsmZ
    8308             :     0U, // BC
    8309             :     0U, // BCAsm
    8310             :     0U, // BCR
    8311             :     0U, // BCRAsm
    8312             :     0U, // BCT
    8313             :     0U, // BCTG
    8314             :     0U, // BCTGR
    8315             :     0U, // BCTR
    8316             :     0U, // BI
    8317             :     0U, // BIAsmE
    8318             :     0U, // BIAsmH
    8319             :     0U, // BIAsmHE
    8320             :     0U, // BIAsmL
    8321             :     0U, // BIAsmLE
    8322             :     0U, // BIAsmLH
    8323             :     0U, // BIAsmM
    8324             :     0U, // BIAsmNE
    8325             :     0U, // BIAsmNH
    8326             :     0U, // BIAsmNHE
    8327             :     0U, // BIAsmNL
    8328             :     0U, // BIAsmNLE
    8329             :     0U, // BIAsmNLH
    8330             :     0U, // BIAsmNM
    8331             :     0U, // BIAsmNO
    8332             :     0U, // BIAsmNP
    8333             :     0U, // BIAsmNZ
    8334             :     0U, // BIAsmO
    8335             :     0U, // BIAsmP
    8336             :     0U, // BIAsmZ
    8337             :     0U, // BIC
    8338             :     0U, // BICAsm
    8339             :     0U, // BPP
    8340             :     0U, // BPRP
    8341             :     0U, // BR
    8342             :     0U, // BRAS
    8343             :     0U, // BRASL
    8344             :     0U, // BRAsmE
    8345             :     0U, // BRAsmH
    8346             :     0U, // BRAsmHE
    8347             :     0U, // BRAsmL
    8348             :     0U, // BRAsmLE
    8349             :     0U, // BRAsmLH
    8350             :     0U, // BRAsmM
    8351             :     0U, // BRAsmNE
    8352             :     0U, // BRAsmNH
    8353             :     0U, // BRAsmNHE
    8354             :     0U, // BRAsmNL
    8355             :     0U, // BRAsmNLE
    8356             :     0U, // BRAsmNLH
    8357             :     0U, // BRAsmNM
    8358             :     0U, // BRAsmNO
    8359             :     0U, // BRAsmNP
    8360             :     0U, // BRAsmNZ
    8361             :     0U, // BRAsmO
    8362             :     0U, // BRAsmP
    8363             :     0U, // BRAsmZ
    8364             :     0U, // BRC
    8365             :     0U, // BRCAsm
    8366             :     0U, // BRCL
    8367             :     0U, // BRCLAsm
    8368             :     0U, // BRCT
    8369             :     0U, // BRCTG
    8370             :     0U, // BRCTH
    8371             :     0U, // BRXH
    8372             :     0U, // BRXHG
    8373             :     0U, // BRXLE
    8374             :     0U, // BRXLG
    8375             :     0U, // BSA
    8376             :     0U, // BSG
    8377             :     0U, // BSM
    8378             :     0U, // BXH
    8379             :     0U, // BXHG
    8380             :     0U, // BXLE
    8381             :     0U, // BXLEG
    8382             :     0U, // C
    8383             :     0U, // CD
    8384             :     0U, // CDB
    8385             :     0U, // CDBR
    8386             :     0U, // CDFBR
    8387             :     0U, // CDFBRA
    8388             :     0U, // CDFR
    8389             :     0U, // CDFTR
    8390             :     0U, // CDGBR
    8391             :     0U, // CDGBRA
    8392             :     0U, // CDGR
    8393             :     0U, // CDGTR
    8394             :     0U, // CDGTRA
    8395             :     0U, // CDLFBR
    8396             :     0U, // CDLFTR
    8397             :     0U, // CDLGBR
    8398             :     0U, // CDLGTR
    8399             :     0U, // CDPT
    8400             :     0U, // CDR
    8401             :     0U, // CDS
    8402             :     0U, // CDSG
    8403             :     0U, // CDSTR
    8404             :     0U, // CDSY
    8405             :     0U, // CDTR
    8406             :     0U, // CDUTR
    8407             :     0U, // CDZT
    8408             :     0U, // CE
    8409             :     0U, // CEB
    8410             :     0U, // CEBR
    8411             :     0U, // CEDTR
    8412             :     0U, // CEFBR
    8413             :     0U, // CEFBRA
    8414             :     0U, // CEFR
    8415             :     0U, // CEGBR
    8416             :     0U, // CEGBRA
    8417             :     0U, // CEGR
    8418             :     0U, // CELFBR
    8419             :     0U, // CELGBR
    8420             :     0U, // CER
    8421             :     0U, // CEXTR
    8422             :     0U, // CFC
    8423             :     0U, // CFDBR
    8424             :     0U, // CFDBRA
    8425             :     0U, // CFDR
    8426             :     0U, // CFDTR
    8427             :     0U, // CFEBR
    8428             :     0U, // CFEBRA
    8429             :     0U, // CFER
    8430             :     0U, // CFI
    8431             :     0U, // CFXBR
    8432             :     0U, // CFXBRA
    8433             :     0U, // CFXR
    8434             :     0U, // CFXTR
    8435             :     0U, // CG
    8436             :     0U, // CGDBR
    8437             :     0U, // CGDBRA
    8438             :     0U, // CGDR
    8439             :     0U, // CGDTR
    8440             :     0U, // CGDTRA
    8441             :     0U, // CGEBR
    8442             :     0U, // CGEBRA
    8443             :     0U, // CGER
    8444             :     0U, // CGF
    8445             :     0U, // CGFI
    8446             :     0U, // CGFR
    8447             :     0U, // CGFRL
    8448             :     0U, // CGH
    8449             :     0U, // CGHI
    8450             :     0U, // CGHRL
    8451             :     0U, // CGHSI
    8452             :     0U, // CGIB
    8453             :     0U, // CGIBAsm
    8454             :     0U, // CGIBAsmE
    8455             :     0U, // CGIBAsmH
    8456             :     0U, // CGIBAsmHE
    8457             :     0U, // CGIBAsmL
    8458             :     0U, // CGIBAsmLE
    8459             :     0U, // CGIBAsmLH
    8460             :     0U, // CGIBAsmNE
    8461             :     0U, // CGIBAsmNH
    8462             :     0U, // CGIBAsmNHE
    8463             :     0U, // CGIBAsmNL
    8464             :     0U, // CGIBAsmNLE
    8465             :     0U, // CGIBAsmNLH
    8466             :     0U, // CGIJ
    8467             :     0U, // CGIJAsm
    8468             :     0U, // CGIJAsmE
    8469             :     0U, // CGIJAsmH
    8470             :     0U, // CGIJAsmHE
    8471             :     0U, // CGIJAsmL
    8472             :     0U, // CGIJAsmLE
    8473             :     0U, // CGIJAsmLH
    8474             :     0U, // CGIJAsmNE
    8475             :     0U, // CGIJAsmNH
    8476             :     0U, // CGIJAsmNHE
    8477             :     0U, // CGIJAsmNL
    8478             :     0U, // CGIJAsmNLE
    8479             :     0U, // CGIJAsmNLH
    8480             :     0U, // CGIT
    8481             :     0U, // CGITAsm
    8482             :     0U, // CGITAsmE
    8483             :     0U, // CGITAsmH
    8484             :     0U, // CGITAsmHE
    8485             :     0U, // CGITAsmL
    8486             :     0U, // CGITAsmLE
    8487             :     0U, // CGITAsmLH
    8488             :     0U, // CGITAsmNE
    8489             :     0U, // CGITAsmNH
    8490             :     0U, // CGITAsmNHE
    8491             :     0U, // CGITAsmNL
    8492             :     0U, // CGITAsmNLE
    8493             :     0U, // CGITAsmNLH
    8494             :     0U, // CGR
    8495             :     0U, // CGRB
    8496             :     0U, // CGRBAsm
    8497             :     0U, // CGRBAsmE
    8498             :     0U, // CGRBAsmH
    8499             :     0U, // CGRBAsmHE
    8500             :     0U, // CGRBAsmL
    8501             :     0U, // CGRBAsmLE
    8502             :     0U, // CGRBAsmLH
    8503             :     0U, // CGRBAsmNE
    8504             :     0U, // CGRBAsmNH
    8505             :     0U, // CGRBAsmNHE
    8506             :     0U, // CGRBAsmNL
    8507             :     0U, // CGRBAsmNLE
    8508             :     0U, // CGRBAsmNLH
    8509             :     0U, // CGRJ
    8510             :     0U, // CGRJAsm
    8511             :     0U, // CGRJAsmE
    8512             :     0U, // CGRJAsmH
    8513             :     0U, // CGRJAsmHE
    8514             :     0U, // CGRJAsmL
    8515             :     0U, // CGRJAsmLE
    8516             :     0U, // CGRJAsmLH
    8517             :     0U, // CGRJAsmNE
    8518             :     0U, // CGRJAsmNH
    8519             :     0U, // CGRJAsmNHE
    8520             :     0U, // CGRJAsmNL
    8521             :     0U, // CGRJAsmNLE
    8522             :     0U, // CGRJAsmNLH
    8523             :     0U, // CGRL
    8524             :     0U, // CGRT
    8525             :     0U, // CGRTAsm
    8526             :     0U, // CGRTAsmE
    8527             :     0U, // CGRTAsmH
    8528             :     0U, // CGRTAsmHE
    8529             :     0U, // CGRTAsmL
    8530             :     0U, // CGRTAsmLE
    8531             :     0U, // CGRTAsmLH
    8532             :     0U, // CGRTAsmNE
    8533             :     0U, // CGRTAsmNH
    8534             :     0U, // CGRTAsmNHE
    8535             :     0U, // CGRTAsmNL
    8536             :     0U, // CGRTAsmNLE
    8537             :     0U, // CGRTAsmNLH
    8538             :     0U, // CGXBR
    8539             :     0U, // CGXBRA
    8540             :     0U, // CGXR
    8541             :     0U, // CGXTR
    8542             :     0U, // CGXTRA
    8543             :     0U, // CH
    8544             :     0U, // CHF
    8545             :     0U, // CHHR
    8546             :     0U, // CHHSI
    8547             :     0U, // CHI
    8548             :     0U, // CHLR
    8549             :     0U, // CHRL
    8550             :     0U, // CHSI
    8551             :     0U, // CHY
    8552             :     0U, // CIB
    8553             :     0U, // CIBAsm
    8554             :     0U, // CIBAsmE
    8555             :     0U, // CIBAsmH
    8556             :     0U, // CIBAsmHE
    8557             :     0U, // CIBAsmL
    8558             :     0U, // CIBAsmLE
    8559             :     0U, // CIBAsmLH
    8560             :     0U, // CIBAsmNE
    8561             :     0U, // CIBAsmNH
    8562             :     0U, // CIBAsmNHE
    8563             :     0U, // CIBAsmNL
    8564             :     0U, // CIBAsmNLE
    8565             :     0U, // CIBAsmNLH
    8566             :     0U, // CIH
    8567             :     0U, // CIJ
    8568             :     0U, // CIJAsm
    8569             :     0U, // CIJAsmE
    8570             :     0U, // CIJAsmH
    8571             :     0U, // CIJAsmHE
    8572             :     0U, // CIJAsmL
    8573             :     0U, // CIJAsmLE
    8574             :     0U, // CIJAsmLH
    8575             :     0U, // CIJAsmNE
    8576             :     0U, // CIJAsmNH
    8577             :     0U, // CIJAsmNHE
    8578             :     0U, // CIJAsmNL
    8579             :     0U, // CIJAsmNLE
    8580             :     0U, // CIJAsmNLH
    8581             :     0U, // CIT
    8582             :     0U, // CITAsm
    8583             :     0U, // CITAsmE
    8584             :     0U, // CITAsmH
    8585             :     0U, // CITAsmHE
    8586             :     0U, // CITAsmL
    8587             :     0U, // CITAsmLE
    8588             :     0U, // CITAsmLH
    8589             :     0U, // CITAsmNE
    8590             :     0U, // CITAsmNH
    8591             :     0U, // CITAsmNHE
    8592             :     0U, // CITAsmNL
    8593             :     0U, // CITAsmNLE
    8594             :     0U, // CITAsmNLH
    8595             :     0U, // CKSM
    8596             :     0U, // CL
    8597             :     0U, // CLC
    8598             :     0U, // CLCL
    8599             :     0U, // CLCLE
    8600             :     0U, // CLCLU
    8601             :     0U, // CLFDBR
    8602             :     0U, // CLFDTR
    8603             :     0U, // CLFEBR
    8604             :     0U, // CLFHSI
    8605             :     0U, // CLFI
    8606             :     0U, // CLFIT
    8607             :     0U, // CLFITAsm
    8608             :     0U, // CLFITAsmE
    8609             :     0U, // CLFITAsmH
    8610             :     0U, // CLFITAsmHE
    8611             :     0U, // CLFITAsmL
    8612             :     0U, // CLFITAsmLE
    8613             :     0U, // CLFITAsmLH
    8614             :     0U, // CLFITAsmNE
    8615             :     0U, // CLFITAsmNH
    8616             :     0U, // CLFITAsmNHE
    8617             :     0U, // CLFITAsmNL
    8618             :     0U, // CLFITAsmNLE
    8619             :     0U, // CLFITAsmNLH
    8620             :     0U, // CLFXBR
    8621             :     0U, // CLFXTR
    8622             :     0U, // CLG
    8623             :     0U, // CLGDBR
    8624             :     0U, // CLGDTR
    8625             :     0U, // CLGEBR
    8626             :     0U, // CLGF
    8627             :     0U, // CLGFI
    8628             :     0U, // CLGFR
    8629             :     0U, // CLGFRL
    8630             :     0U, // CLGHRL
    8631             :     0U, // CLGHSI
    8632             :     0U, // CLGIB
    8633             :     0U, // CLGIBAsm
    8634             :     0U, // CLGIBAsmE
    8635             :     0U, // CLGIBAsmH
    8636             :     0U, // CLGIBAsmHE
    8637             :     0U, // CLGIBAsmL
    8638             :     0U, // CLGIBAsmLE
    8639             :     0U, // CLGIBAsmLH
    8640             :     0U, // CLGIBAsmNE
    8641             :     0U, // CLGIBAsmNH
    8642             :     0U, // CLGIBAsmNHE
    8643             :     0U, // CLGIBAsmNL
    8644             :     0U, // CLGIBAsmNLE
    8645             :     0U, // CLGIBAsmNLH
    8646             :     0U, // CLGIJ
    8647             :     0U, // CLGIJAsm
    8648             :     0U, // CLGIJAsmE
    8649             :     0U, // CLGIJAsmH
    8650             :     0U, // CLGIJAsmHE
    8651             :     0U, // CLGIJAsmL
    8652             :     0U, // CLGIJAsmLE
    8653             :     0U, // CLGIJAsmLH
    8654             :     0U, // CLGIJAsmNE
    8655             :     0U, // CLGIJAsmNH
    8656             :     0U, // CLGIJAsmNHE
    8657             :     0U, // CLGIJAsmNL
    8658             :     0U, // CLGIJAsmNLE
    8659             :     0U, // CLGIJAsmNLH
    8660             :     0U, // CLGIT
    8661             :     0U, // CLGITAsm
    8662             :     0U, // CLGITAsmE
    8663             :     0U, // CLGITAsmH
    8664             :     0U, // CLGITAsmHE
    8665             :     0U, // CLGITAsmL
    8666             :     0U, // CLGITAsmLE
    8667             :     0U, // CLGITAsmLH
    8668             :     0U, // CLGITAsmNE
    8669             :     0U, // CLGITAsmNH
    8670             :     0U, // CLGITAsmNHE
    8671             :     0U, // CLGITAsmNL
    8672             :     0U, // CLGITAsmNLE
    8673             :     0U, // CLGITAsmNLH
    8674             :     0U, // CLGR
    8675             :     0U, // CLGRB
    8676             :     0U, // CLGRBAsm
    8677             :     0U, // CLGRBAsmE
    8678             :     0U, // CLGRBAsmH
    8679             :     0U, // CLGRBAsmHE
    8680             :     0U, // CLGRBAsmL
    8681             :     0U, // CLGRBAsmLE
    8682             :     0U, // CLGRBAsmLH
    8683             :     0U, // CLGRBAsmNE
    8684             :     0U, // CLGRBAsmNH
    8685             :     0U, // CLGRBAsmNHE
    8686             :     0U, // CLGRBAsmNL
    8687             :     0U, // CLGRBAsmNLE
    8688             :     0U, // CLGRBAsmNLH
    8689             :     0U, // CLGRJ
    8690             :     0U, // CLGRJAsm
    8691             :     0U, // CLGRJAsmE
    8692             :     0U, // CLGRJAsmH
    8693             :     0U, // CLGRJAsmHE
    8694             :     0U, // CLGRJAsmL
    8695             :     0U, // CLGRJAsmLE
    8696             :     0U, // CLGRJAsmLH
    8697             :     0U, // CLGRJAsmNE
    8698             :     0U, // CLGRJAsmNH
    8699             :     0U, // CLGRJAsmNHE
    8700             :     0U, // CLGRJAsmNL
    8701             :     0U, // CLGRJAsmNLE
    8702             :     0U, // CLGRJAsmNLH
    8703             :     0U, // CLGRL
    8704             :     0U, // CLGRT
    8705             :     0U, // CLGRTAsm
    8706             :     0U, // CLGRTAsmE
    8707             :     0U, // CLGRTAsmH
    8708             :     0U, // CLGRTAsmHE
    8709             :     0U, // CLGRTAsmL
    8710             :     0U, // CLGRTAsmLE
    8711             :     0U, // CLGRTAsmLH
    8712             :     0U, // CLGRTAsmNE
    8713             :     0U, // CLGRTAsmNH
    8714             :     0U, // CLGRTAsmNHE
    8715             :     0U, // CLGRTAsmNL
    8716             :     0U, // CLGRTAsmNLE
    8717             :     0U, // CLGRTAsmNLH
    8718             :     0U, // CLGT
    8719             :     0U, // CLGTAsm
    8720             :     0U, // CLGTAsmE
    8721             :     0U, // CLGTAsmH
    8722             :     0U, // CLGTAsmHE
    8723             :     0U, // CLGTAsmL
    8724             :     0U, // CLGTAsmLE
    8725             :     0U, // CLGTAsmLH
    8726             :     0U, // CLGTAsmNE
    8727             :     0U, // CLGTAsmNH
    8728             :     0U, // CLGTAsmNHE
    8729             :     0U, // CLGTAsmNL
    8730             :     0U, // CLGTAsmNLE
    8731             :     0U, // CLGTAsmNLH
    8732             :     0U, // CLGXBR
    8733             :     0U, // CLGXTR
    8734             :     0U, // CLHF
    8735             :     0U, // CLHHR
    8736             :     0U, // CLHHSI
    8737             :     0U, // CLHLR
    8738             :     0U, // CLHRL
    8739             :     0U, // CLI
    8740             :     0U, // CLIB
    8741             :     0U, // CLIBAsm
    8742             :     0U, // CLIBAsmE
    8743             :     0U, // CLIBAsmH
    8744             :     0U, // CLIBAsmHE
    8745             :     0U, // CLIBAsmL
    8746             :     0U, // CLIBAsmLE
    8747             :     0U, // CLIBAsmLH
    8748             :     0U, // CLIBAsmNE
    8749             :     0U, // CLIBAsmNH
    8750             :     0U, // CLIBAsmNHE
    8751             :     0U, // CLIBAsmNL
    8752             :     0U, // CLIBAsmNLE
    8753             :     0U, // CLIBAsmNLH
    8754             :     0U, // CLIH
    8755             :     0U, // CLIJ
    8756             :     0U, // CLIJAsm
    8757             :     0U, // CLIJAsmE
    8758             :     0U, // CLIJAsmH
    8759             :     0U, // CLIJAsmHE
    8760             :     0U, // CLIJAsmL
    8761             :     0U, // CLIJAsmLE
    8762             :     0U, // CLIJAsmLH
    8763             :     0U, // CLIJAsmNE
    8764             :     0U, // CLIJAsmNH
    8765             :     0U, // CLIJAsmNHE
    8766             :     0U, // CLIJAsmNL
    8767             :     0U, // CLIJAsmNLE
    8768             :     0U, // CLIJAsmNLH
    8769             :     0U, // CLIY
    8770             :     0U, // CLM
    8771             :     0U, // CLMH
    8772             :     0U, // CLMY
    8773             :     0U, // CLR
    8774             :     0U, // CLRB
    8775             :     0U, // CLRBAsm
    8776             :     0U, // CLRBAsmE
    8777             :     0U, // CLRBAsmH
    8778             :     0U, // CLRBAsmHE
    8779             :     0U, // CLRBAsmL
    8780             :     0U, // CLRBAsmLE
    8781             :     0U, // CLRBAsmLH
    8782             :     0U, // CLRBAsmNE
    8783             :     0U, // CLRBAsmNH
    8784             :     0U, // CLRBAsmNHE
    8785             :     0U, // CLRBAsmNL
    8786             :     0U, // CLRBAsmNLE
    8787             :     0U, // CLRBAsmNLH
    8788             :     0U, // CLRJ
    8789             :     0U, // CLRJAsm
    8790             :     0U, // CLRJAsmE
    8791             :     0U, // CLRJAsmH
    8792             :     0U, // CLRJAsmHE
    8793             :     0U, // CLRJAsmL
    8794             :     0U, // CLRJAsmLE
    8795             :     0U, // CLRJAsmLH
    8796             :     0U, // CLRJAsmNE
    8797             :     0U, // CLRJAsmNH
    8798             :     0U, // CLRJAsmNHE
    8799             :     0U, // CLRJAsmNL
    8800             :     0U, // CLRJAsmNLE
    8801             :     0U, // CLRJAsmNLH
    8802             :     0U, // CLRL
    8803             :     0U, // CLRT
    8804             :     0U, // CLRTAsm
    8805             :     0U, // CLRTAsmE
    8806             :     0U, // CLRTAsmH
    8807             :     0U, // CLRTAsmHE
    8808             :     0U, // CLRTAsmL
    8809             :     0U, // CLRTAsmLE
    8810             :     0U, // CLRTAsmLH
    8811             :     0U, // CLRTAsmNE
    8812             :     0U, // CLRTAsmNH
    8813             :     0U, // CLRTAsmNHE
    8814             :     0U, // CLRTAsmNL
    8815             :     0U, // CLRTAsmNLE
    8816             :     0U, // CLRTAsmNLH
    8817             :     0U, // CLST
    8818             :     0U, // CLT
    8819             :     0U, // CLTAsm
    8820             :     0U, // CLTAsmE
    8821             :     0U, // CLTAsmH
    8822             :     0U, // CLTAsmHE
    8823             :     0U, // CLTAsmL
    8824             :     0U, // CLTAsmLE
    8825             :     0U, // CLTAsmLH
    8826             :     0U, // CLTAsmNE
    8827             :     0U, // CLTAsmNH
    8828             :     0U, // CLTAsmNHE
    8829             :     0U, // CLTAsmNL
    8830             :     0U, // CLTAsmNLE
    8831             :     0U, // CLTAsmNLH
    8832             :     0U, // CLY
    8833             :     0U, // CMPSC
    8834             :     0U, // CP
    8835             :     0U, // CPDT
    8836             :     0U, // CPSDRdd
    8837             :     0U, // CPSDRds
    8838             :     0U, // CPSDRsd
    8839             :     0U, // CPSDRss
    8840             :     0U, // CPXT
    8841             :     0U, // CPYA
    8842             :     0U, // CR
    8843             :     0U, // CRB
    8844             :     0U, // CRBAsm
    8845             :     0U, // CRBAsmE
    8846             :     0U, // CRBAsmH
    8847             :     0U, // CRBAsmHE
    8848             :     0U, // CRBAsmL
    8849             :     0U, // CRBAsmLE
    8850             :     0U, // CRBAsmLH
    8851             :     0U, // CRBAsmNE
    8852             :     0U, // CRBAsmNH
    8853             :     0U, // CRBAsmNHE
    8854             :     0U, // CRBAsmNL
    8855             :     0U, // CRBAsmNLE
    8856             :     0U, // CRBAsmNLH
    8857             :     0U, // CRDTE
    8858             :     0U, // CRDTEOpt
    8859             :     0U, // CRJ
    8860             :     0U, // CRJAsm
    8861             :     0U, // CRJAsmE
    8862             :     0U, // CRJAsmH
    8863             :     0U, // CRJAsmHE
    8864             :     0U, // CRJAsmL
    8865             :     0U, // CRJAsmLE
    8866             :     0U, // CRJAsmLH
    8867             :     0U, // CRJAsmNE
    8868             :     0U, // CRJAsmNH
    8869             :     0U, // CRJAsmNHE
    8870             :     0U, // CRJAsmNL
    8871             :     0U, // CRJAsmNLE
    8872             :     0U, // CRJAsmNLH
    8873             :     0U, // CRL
    8874             :     0U, // CRT
    8875             :     0U, // CRTAsm
    8876             :     0U, // CRTAsmE
    8877             :     0U, // CRTAsmH
    8878             :     0U, // CRTAsmHE
    8879             :     0U, // CRTAsmL
    8880             :     0U, // CRTAsmLE
    8881             :     0U, // CRTAsmLH
    8882             :     0U, // CRTAsmNE
    8883             :     0U, // CRTAsmNH
    8884             :     0U, // CRTAsmNHE
    8885             :     0U, // CRTAsmNL
    8886             :     0U, // CRTAsmNLE
    8887             :     0U, // CRTAsmNLH
    8888             :     0U, // CS
    8889             :     0U, // CSCH
    8890             :     0U, // CSDTR
    8891             :     0U, // CSG
    8892             :     0U, // CSP
    8893             :     0U, // CSPG
    8894             :     0U, // CSST
    8895             :     0U, // CSXTR
    8896             :     0U, // CSY
    8897             :     0U, // CU12
    8898             :     0U, // CU12Opt
    8899             :     0U, // CU14
    8900             :     0U, // CU14Opt
    8901             :     0U, // CU21
    8902             :     0U, // CU21Opt
    8903             :     0U, // CU24
    8904             :     0U, // CU24Opt
    8905             :     0U, // CU41
    8906             :     0U, // CU42
    8907             :     0U, // CUDTR
    8908             :     0U, // CUSE
    8909             :     0U, // CUTFU
    8910             :     0U, // CUTFUOpt
    8911             :     0U, // CUUTF
    8912             :     0U, // CUUTFOpt
    8913             :     0U, // CUXTR
    8914             :     0U, // CVB
    8915             :     0U, // CVBG
    8916             :     0U, // CVBY
    8917             :     0U, // CVD
    8918             :     0U, // CVDG
    8919             :     0U, // CVDY
    8920             :     0U, // CXBR
    8921             :     0U, // CXFBR
    8922             :     0U, // CXFBRA
    8923             :     0U, // CXFR
    8924             :     0U, // CXFTR
    8925             :     0U, // CXGBR
    8926             :     0U, // CXGBRA
    8927             :     0U, // CXGR
    8928             :     0U, // CXGTR
    8929             :     0U, // CXGTRA
    8930             :     0U, // CXLFBR
    8931             :     0U, // CXLFTR
    8932             :     0U, // CXLGBR
    8933             :     0U, // CXLGTR
    8934             :     0U, // CXPT
    8935             :     0U, // CXR
    8936             :     0U, // CXSTR
    8937             :     0U, // CXTR
    8938             :     0U, // CXUTR
    8939             :     0U, // CXZT
    8940             :     0U, // CY
    8941             :     0U, // CZDT
    8942             :     0U, // CZXT
    8943             :     0U, // D
    8944             :     0U, // DD
    8945             :     0U, // DDB
    8946             :     0U, // DDBR
    8947             :     0U, // DDR
    8948             :     0U, // DDTR
    8949             :     0U, // DDTRA
    8950             :     0U, // DE
    8951             :     0U, // DEB
    8952             :     0U, // DEBR
    8953             :     0U, // DER
    8954             :     0U, // DIAG
    8955             :     0U, // DIDBR
    8956             :     0U, // DIEBR
    8957             :     0U, // DL
    8958             :     0U, // DLG
    8959             :     0U, // DLGR
    8960             :     0U, // DLR
    8961             :     0U, // DP
    8962             :     0U, // DR
    8963             :     0U, // DSG
    8964             :     0U, // DSGF
    8965             :     0U, // DSGFR
    8966             :     0U, // DSGR
    8967             :     0U, // DXBR
    8968             :     0U, // DXR
    8969             :     0U, // DXTR
    8970             :     0U, // DXTRA
    8971             :     0U, // EAR
    8972             :     0U, // ECAG
    8973             :     0U, // ECCTR
    8974             :     0U, // ECPGA
    8975             :     0U, // ECTG
    8976             :     0U, // ED
    8977             :     0U, // EDMK
    8978             :     0U, // EEDTR
    8979             :     0U, // EEXTR
    8980             :     0U, // EFPC
    8981             :     0U, // EPAIR
    8982             :     0U, // EPAR
    8983             :     0U, // EPCTR
    8984             :     0U, // EPSW
    8985             :     0U, // EREG
    8986             :     0U, // EREGG
    8987             :     0U, // ESAIR
    8988             :     0U, // ESAR
    8989             :     0U, // ESDTR
    8990             :     0U, // ESEA
    8991             :     0U, // ESTA
    8992             :     0U, // ESXTR
    8993             :     0U, // ETND
    8994             :     0U, // EX
    8995             :     0U, // EXRL
    8996             :     0U, // FIDBR
    8997             :     0U, // FIDBRA
    8998             :     0U, // FIDR
    8999             :     0U, // FIDTR
    9000             :     0U, // FIEBR
    9001             :     0U, // FIEBRA
    9002             :     0U, // FIER
    9003             :     0U, // FIXBR
    9004             :     0U, // FIXBRA
    9005             :     0U, // FIXR
    9006             :     0U, // FIXTR
    9007             :     0U, // FLOGR
    9008             :     0U, // HDR
    9009             :     0U, // HER
    9010             :     0U, // HSCH
    9011             :     0U, // IAC
    9012             :     0U, // IC
    9013             :     0U, // IC32
    9014             :     0U, // IC32Y
    9015             :     0U, // ICM
    9016             :     0U, // ICMH
    9017             :     0U, // ICMY
    9018             :     0U, // ICY
    9019             :     0U, // IDTE
    9020             :     0U, // IDTEOpt
    9021             :     0U, // IEDTR
    9022             :     0U, // IEXTR
    9023             :     0U, // IIHF
    9024             :     0U, // IIHH
    9025             :     0U, // IIHL
    9026             :     0U, // IILF
    9027             :     0U, // IILH
    9028             :     0U, // IILL
    9029             :     0U, // IPK
    9030             :     0U, // IPM
    9031             :     0U, // IPTE
    9032             :     0U, // IPTEOpt
    9033             :     0U, // IPTEOptOpt
    9034             :     0U, // IRBM
    9035             :     0U, // ISKE
    9036             :     0U, // IVSK
    9037             :     0U, // InsnE
    9038             :     0U, // InsnRI
    9039             :     0U, // InsnRIE
    9040             :     0U, // InsnRIL
    9041             :     0U, // InsnRILU
    9042             :     0U, // InsnRIS
    9043             :     0U, // InsnRR
    9044             :     0U, // InsnRRE
    9045             :     0U, // InsnRRF
    9046             :     0U, // InsnRRS
    9047             :     0U, // InsnRS
    9048             :     0U, // InsnRSE
    9049             :     0U, // InsnRSI
    9050             :     0U, // InsnRSY
    9051             :     0U, // InsnRX
    9052             :     0U, // InsnRXE
    9053             :     0U, // InsnRXF
    9054             :     0U, // InsnRXY
    9055             :     0U, // InsnS
    9056             :     0U, // InsnSI
    9057             :     0U, // InsnSIL
    9058             :     0U, // InsnSIY
    9059             :     0U, // InsnSS
    9060             :     0U, // InsnSSE
    9061             :     0U, // InsnSSF
    9062             :     0U, // J
    9063             :     0U, // JAsmE
    9064             :     0U, // JAsmH
    9065             :     0U, // JAsmHE
    9066             :     0U, // JAsmL
    9067             :     0U, // JAsmLE
    9068             :     0U, // JAsmLH
    9069             :     0U, // JAsmM
    9070             :     0U, // JAsmNE
    9071             :     0U, // JAsmNH
    9072             :     0U, // JAsmNHE
    9073             :     0U, // JAsmNL
    9074             :     0U, // JAsmNLE
    9075             :     0U, // JAsmNLH
    9076             :     0U, // JAsmNM
    9077             :     0U, // JAsmNO
    9078             :     0U, // JAsmNP
    9079             :     0U, // JAsmNZ
    9080             :     0U, // JAsmO
    9081             :     0U, // JAsmP
    9082             :     0U, // JAsmZ
    9083             :     0U, // JG
    9084             :     0U, // JGAsmE
    9085             :     0U, // JGAsmH
    9086             :     0U, // JGAsmHE
    9087             :     0U, // JGAsmL
    9088             :     0U, // JGAsmLE
    9089             :     0U, // JGAsmLH
    9090             :     0U, // JGAsmM
    9091             :     0U, // JGAsmNE
    9092             :     0U, // JGAsmNH
    9093             :     0U, // JGAsmNHE
    9094             :     0U, // JGAsmNL
    9095             :     0U, // JGAsmNLE
    9096             :     0U, // JGAsmNLH
    9097             :     0U, // JGAsmNM
    9098             :     0U, // JGAsmNO
    9099             :     0U, // JGAsmNP
    9100             :     0U, // JGAsmNZ
    9101             :     0U, // JGAsmO
    9102             :     0U, // JGAsmP
    9103             :     0U, // JGAsmZ
    9104             :     0U, // KDB
    9105             :     0U, // KDBR
    9106             :     0U, // KDTR
    9107             :     0U, // KEB
    9108             :     0U, // KEBR
    9109             :     0U, // KIMD
    9110             :     0U, // KLMD
    9111             :     0U, // KM
    9112             :     0U, // KMA
    9113             :     0U, // KMAC
    9114             :     0U, // KMC
    9115             :     0U, // KMCTR
    9116             :     0U, // KMF
    9117             :     0U, // KMO
    9118             :     0U, // KXBR
    9119             :     0U, // KXTR
    9120             :     0U, // L
    9121             :     0U, // LA
    9122             :     0U, // LAA
    9123             :     0U, // LAAG
    9124             :     0U, // LAAL
    9125             :     0U, // LAALG
    9126             :     0U, // LAE
    9127             :     0U, // LAEY
    9128             :     0U, // LAM
    9129             :     0U, // LAMY
    9130             :     0U, // LAN
    9131             :     0U, // LANG
    9132             :     0U, // LAO
    9133             :     0U, // LAOG
    9134             :     0U, // LARL
    9135             :     0U, // LASP
    9136             :     0U, // LAT
    9137             :     0U, // LAX
    9138             :     0U, // LAXG
    9139             :     0U, // LAY
    9140             :     0U, // LB
    9141             :     0U, // LBH
    9142             :     0U, // LBR
    9143             :     0U, // LCBB
    9144             :     0U, // LCCTL
    9145             :     0U, // LCDBR
    9146             :     0U, // LCDFR
    9147             :     0U, // LCDFR_32
    9148             :     0U, // LCDR
    9149             :     0U, // LCEBR
    9150             :     0U, // LCER
    9151             :     0U, // LCGFR
    9152             :     0U, // LCGR
    9153             :     0U, // LCR
    9154             :     0U, // LCTL
    9155             :     0U, // LCTLG
    9156             :     0U, // LCXBR
    9157             :     0U, // LCXR
    9158             :     0U, // LD
    9159             :     0U, // LDE
    9160             :     0U, // LDE32
    9161             :     0U, // LDEB
    9162             :     0U, // LDEBR
    9163             :     0U, // LDER
    9164             :     0U, // LDETR
    9165             :     0U, // LDGR
    9166             :     0U, // LDR
    9167             :     0U, // LDR32
    9168             :     0U, // LDXBR
    9169             :     0U, // LDXBRA
    9170             :     0U, // LDXR
    9171             :     0U, // LDXTR
    9172             :     0U, // LDY
    9173             :     0U, // LE
    9174             :     0U, // LEDBR
    9175             :     0U, // LEDBRA
    9176             :     0U, // LEDR
    9177             :     0U, // LEDTR
    9178             :     0U, // LER
    9179             :     0U, // LEXBR
    9180             :     0U, // LEXBRA
    9181             :     0U, // LEXR
    9182             :     0U, // LEY
    9183             :     0U, // LFAS
    9184             :     0U, // LFH
    9185             :     0U, // LFHAT
    9186             :     0U, // LFPC
    9187             :     0U, // LG
    9188             :     0U, // LGAT
    9189             :     0U, // LGB
    9190             :     0U, // LGBR
    9191             :     0U, // LGDR
    9192             :     0U, // LGF
    9193             :     0U, // LGFI
    9194             :     0U, // LGFR
    9195             :     0U, // LGFRL
    9196             :     0U, // LGG
    9197             :     0U, // LGH
    9198             :     0U, // LGHI
    9199             :     0U, // LGHR
    9200             :     0U, // LGHRL
    9201             :     0U, // LGR
    9202             :     0U, // LGRL
    9203             :     0U, // LGSC
    9204             :     0U, // LH
    9205             :     0U, // LHH
    9206             :     0U, // LHI
    9207             :     0U, // LHR
    9208             :     0U, // LHRL
    9209             :     0U, // LHY
    9210             :     0U, // LLC
    9211             :     0U, // LLCH
    9212             :     0U, // LLCR
    9213             :     0U, // LLGC
    9214             :     0U, // LLGCR
    9215             :     0U, // LLGF
    9216             :     0U, // LLGFAT
    9217             :     0U, // LLGFR
    9218             :     0U, // LLGFRL
    9219             :     0U, // LLGFSG
    9220             :     0U, // LLGH
    9221             :     0U, // LLGHR
    9222             :     0U, // LLGHRL
    9223             :     0U, // LLGT
    9224             :     0U, // LLGTAT
    9225             :     0U, // LLGTR
    9226             :     0U, // LLH
    9227             :     0U, // LLHH
    9228             :     0U, // LLHR
    9229             :     0U, // LLHRL
    9230             :     0U, // LLIHF
    9231             :     0U, // LLIHH
    9232             :     0U, // LLIHL
    9233             :     0U, // LLILF
    9234             :     0U, // LLILH
    9235             :     0U, // LLILL
    9236             :     0U, // LLZRGF
    9237             :     0U, // LM
    9238             :     0U, // LMD
    9239             :     0U, // LMG
    9240             :     0U, // LMH
    9241             :     0U, // LMY
    9242             :     0U, // LNDBR
    9243             :     0U, // LNDFR
    9244             :     0U, // LNDFR_32
    9245             :     0U, // LNDR
    9246             :     0U, // LNEBR
    9247             :     0U, // LNER
    9248             :     0U, // LNGFR
    9249             :     0U, // LNGR
    9250             :     0U, // LNR
    9251             :     0U, // LNXBR
    9252             :     0U, // LNXR
    9253             :     0U, // LOC
    9254             :     0U, // LOCAsm
    9255             :     0U, // LOCAsmE
    9256             :     0U, // LOCAsmH
    9257             :     0U, // LOCAsmHE
    9258             :     0U, // LOCAsmL
    9259             :     0U, // LOCAsmLE
    9260             :     0U, // LOCAsmLH
    9261             :     0U, // LOCAsmM
    9262             :     0U, // LOCAsmNE
    9263             :     0U, // LOCAsmNH
    9264             :     0U, // LOCAsmNHE
    9265             :     0U, // LOCAsmNL
    9266             :     0U, // LOCAsmNLE
    9267             :     0U, // LOCAsmNLH
    9268             :     0U, // LOCAsmNM
    9269             :     0U, // LOCAsmNO
    9270             :     0U, // LOCAsmNP
    9271             :     0U, // LOCAsmNZ
    9272             :     0U, // LOCAsmO
    9273             :     0U, // LOCAsmP
    9274             :     0U, // LOCAsmZ
    9275             :     0U, // LOCFH
    9276             :     0U, // LOCFHAsm
    9277             :     0U, // LOCFHAsmE
    9278             :     0U, // LOCFHAsmH
    9279             :     0U, // LOCFHAsmHE
    9280             :     0U, // LOCFHAsmL
    9281             :     0U, // LOCFHAsmLE
    9282             :     0U, // LOCFHAsmLH
    9283             :     0U, // LOCFHAsmM
    9284             :     0U, // LOCFHAsmNE
    9285             :     0U, // LOCFHAsmNH
    9286             :     0U, // LOCFHAsmNHE
    9287             :     0U, // LOCFHAsmNL
    9288             :     0U, // LOCFHAsmNLE
    9289             :     0U, // LOCFHAsmNLH
    9290             :     0U, // LOCFHAsmNM
    9291             :     0U, // LOCFHAsmNO
    9292             :     0U, // LOCFHAsmNP
    9293             :     0U, // LOCFHAsmNZ
    9294             :     0U, // LOCFHAsmO
    9295             :     0U, // LOCFHAsmP
    9296             :     0U, // LOCFHAsmZ
    9297             :     0U, // LOCFHR
    9298             :     0U, // LOCFHRAsm
    9299             :     0U, // LOCFHRAsmE
    9300             :     0U, // LOCFHRAsmH
    9301             :     0U, // LOCFHRAsmHE
    9302             :     0U, // LOCFHRAsmL
    9303             :     0U, // LOCFHRAsmLE
    9304             :     0U, // LOCFHRAsmLH
    9305             :     0U, // LOCFHRAsmM
    9306             :     0U, // LOCFHRAsmNE
    9307             :     0U, // LOCFHRAsmNH
    9308             :     0U, // LOCFHRAsmNHE
    9309             :     0U, // LOCFHRAsmNL
    9310             :     0U, // LOCFHRAsmNLE
    9311             :     0U, // LOCFHRAsmNLH
    9312             :     0U, // LOCFHRAsmNM
    9313             :     0U, // LOCFHRAsmNO
    9314             :     0U, // LOCFHRAsmNP
    9315             :     0U, // LOCFHRAsmNZ
    9316             :     0U, // LOCFHRAsmO
    9317             :     0U, // LOCFHRAsmP
    9318             :     0U, // LOCFHRAsmZ
    9319             :     0U, // LOCG
    9320             :     0U, // LOCGAsm
    9321             :     0U, // LOCGAsmE
    9322             :     0U, // LOCGAsmH
    9323             :     0U, // LOCGAsmHE
    9324             :     0U, // LOCGAsmL
    9325             :     0U, // LOCGAsmLE
    9326             :     0U, // LOCGAsmLH
    9327             :     0U, // LOCGAsmM
    9328             :     0U, // LOCGAsmNE
    9329             :     0U, // LOCGAsmNH
    9330             :     0U, // LOCGAsmNHE
    9331             :     0U, // LOCGAsmNL
    9332             :     0U, // LOCGAsmNLE
    9333             :     0U, // LOCGAsmNLH
    9334             :     0U, // LOCGAsmNM
    9335             :     0U, // LOCGAsmNO
    9336             :     0U, // LOCGAsmNP
    9337             :     0U, // LOCGAsmNZ
    9338             :     0U, // LOCGAsmO
    9339             :     0U, // LOCGAsmP
    9340             :     0U, // LOCGAsmZ
    9341             :     0U, // LOCGHI
    9342             :     0U, // LOCGHIAsm
    9343             :     0U, // LOCGHIAsmE
    9344             :     0U, // LOCGHIAsmH
    9345             :     0U, // LOCGHIAsmHE
    9346             :     0U, // LOCGHIAsmL
    9347             :     0U, // LOCGHIAsmLE
    9348             :     0U, // LOCGHIAsmLH
    9349             :     0U, // LOCGHIAsmM
    9350             :     0U, // LOCGHIAsmNE
    9351             :     0U, // LOCGHIAsmNH
    9352             :     0U, // LOCGHIAsmNHE
    9353             :     0U, // LOCGHIAsmNL
    9354             :     0U, // LOCGHIAsmNLE
    9355             :     0U, // LOCGHIAsmNLH
    9356             :     0U, // LOCGHIAsmNM
    9357             :     0U, // LOCGHIAsmNO
    9358             :     0U, // LOCGHIAsmNP
    9359             :     0U, // LOCGHIAsmNZ
    9360             :     0U, // LOCGHIAsmO
    9361             :     0U, // LOCGHIAsmP
    9362             :     0U, // LOCGHIAsmZ
    9363             :     0U, // LOCGR
    9364             :     0U, // LOCGRAsm
    9365             :     0U, // LOCGRAsmE
    9366             :     0U, // LOCGRAsmH
    9367             :     0U, // LOCGRAsmHE
    9368             :     0U, // LOCGRAsmL
    9369             :     0U, // LOCGRAsmLE
    9370             :     0U, // LOCGRAsmLH
    9371             :     0U, // LOCGRAsmM
    9372             :     0U, // LOCGRAsmNE
    9373             :     0U, // LOCGRAsmNH
    9374             :     0U, // LOCGRAsmNHE
    9375             :     0U, // LOCGRAsmNL
    9376             :     0U, // LOCGRAsmNLE
    9377             :     0U, // LOCGRAsmNLH
    9378             :     0U, // LOCGRAsmNM
    9379             :     0U, // LOCGRAsmNO
    9380             :     0U, // LOCGRAsmNP
    9381             :     0U, // LOCGRAsmNZ
    9382             :     0U, // LOCGRAsmO
    9383             :     0U, // LOCGRAsmP
    9384             :     0U, // LOCGRAsmZ
    9385             :     0U, // LOCHHI
    9386             :     0U, // LOCHHIAsm
    9387             :     0U, // LOCHHIAsmE
    9388             :     0U, // LOCHHIAsmH
    9389             :     0U, // LOCHHIAsmHE
    9390             :     0U, // LOCHHIAsmL
    9391             :     0U, // LOCHHIAsmLE
    9392             :     0U, // LOCHHIAsmLH
    9393             :     0U, // LOCHHIAsmM
    9394             :     0U, // LOCHHIAsmNE
    9395             :     0U, // LOCHHIAsmNH
    9396             :     0U, // LOCHHIAsmNHE
    9397             :     0U, // LOCHHIAsmNL
    9398             :     0U, // LOCHHIAsmNLE
    9399             :     0U, // LOCHHIAsmNLH
    9400             :     0U, // LOCHHIAsmNM
    9401             :     0U, // LOCHHIAsmNO
    9402             :     0U, // LOCHHIAsmNP
    9403             :     0U, // LOCHHIAsmNZ
    9404             :     0U, // LOCHHIAsmO
    9405             :     0U, // LOCHHIAsmP
    9406             :     0U, // LOCHHIAsmZ
    9407             :     0U, // LOCHI
    9408             :     0U, // LOCHIAsm
    9409             :     0U, // LOCHIAsmE
    9410             :     0U, // LOCHIAsmH
    9411             :     0U, // LOCHIAsmHE
    9412             :     0U, // LOCHIAsmL
    9413             :     0U, // LOCHIAsmLE
    9414             :     0U, // LOCHIAsmLH
    9415             :     0U, // LOCHIAsmM
    9416             :     0U, // LOCHIAsmNE
    9417             :     0U, // LOCHIAsmNH
    9418             :     0U, // LOCHIAsmNHE
    9419             :     0U, // LOCHIAsmNL
    9420             :     0U, // LOCHIAsmNLE
    9421             :     0U, // LOCHIAsmNLH
    9422             :     0U, // LOCHIAsmNM
    9423             :     0U, // LOCHIAsmNO
    9424             :     0U, // LOCHIAsmNP
    9425             :     0U, // LOCHIAsmNZ
    9426             :     0U, // LOCHIAsmO
    9427             :     0U, // LOCHIAsmP
    9428             :     0U, // LOCHIAsmZ
    9429             :     0U, // LOCR
    9430             :     0U, // LOCRAsm
    9431             :     0U, // LOCRAsmE
    9432             :     0U, // LOCRAsmH
    9433             :     0U, // LOCRAsmHE
    9434             :     0U, // LOCRAsmL
    9435             :     0U, // LOCRAsmLE
    9436             :     0U, // LOCRAsmLH
    9437             :     0U, // LOCRAsmM
    9438             :     0U, // LOCRAsmNE
    9439             :     0U, // LOCRAsmNH
    9440             :     0U, // LOCRAsmNHE
    9441             :     0U, // LOCRAsmNL
    9442             :     0U, // LOCRAsmNLE
    9443             :     0U, // LOCRAsmNLH
    9444             :     0U, // LOCRAsmNM
    9445             :     0U, // LOCRAsmNO
    9446             :     0U, // LOCRAsmNP
    9447             :     0U, // LOCRAsmNZ
    9448             :     0U, // LOCRAsmO
    9449             :     0U, // LOCRAsmP
    9450             :     0U, // LOCRAsmZ
    9451             :     0U, // LPCTL
    9452             :     0U, // LPD
    9453             :     0U, // LPDBR
    9454             :     0U, // LPDFR
    9455             :     0U, // LPDFR_32
    9456             :     0U, // LPDG
    9457             :     0U, // LPDR
    9458             :     0U, // LPEBR
    9459             :     0U, // LPER
    9460             :     0U, // LPGFR
    9461             :     0U, // LPGR
    9462             :     0U, // LPP
    9463             :     0U, // LPQ
    9464             :     0U, // LPR
    9465             :     0U, // LPSW
    9466             :     0U, // LPSWE
    9467             :     0U, // LPTEA
    9468             :     0U, // LPXBR
    9469             :     0U, // LPXR
    9470             :     0U, // LR
    9471             :     0U, // LRA
    9472             :     0U, // LRAG
    9473             :     0U, // LRAY
    9474             :     0U, // LRDR
    9475             :     0U, // LRER
    9476             :     0U, // LRL
    9477             :     0U, // LRV
    9478             :     0U, // LRVG
    9479             :     0U, // LRVGR
    9480             :     0U, // LRVH
    9481             :     0U, // LRVR
    9482             :     0U, // LSCTL
    9483             :     0U, // LT
    9484             :     0U, // LTDBR
    9485             :     0U, // LTDBRCompare
    9486             :     0U, // LTDR
    9487             :     0U, // LTDTR
    9488             :     0U, // LTEBR
    9489             :     0U, // LTEBRCompare
    9490             :     0U, // LTER
    9491             :     0U, // LTG
    9492             :     0U, // LTGF
    9493             :     0U, // LTGFR
    9494             :     0U, // LTGR
    9495             :     0U, // LTR
    9496             :     0U, // LTXBR
    9497             :     0U, // LTXBRCompare
    9498             :     0U, // LTXR
    9499             :     0U, // LTXTR
    9500             :     0U, // LURA
    9501             :     0U, // LURAG
    9502             :     0U, // LXD
    9503             :     0U, // LXDB
    9504             :     0U, // LXDBR
    9505             :     0U, // LXDR
    9506             :     0U, // LXDTR
    9507             :     0U, // LXE
    9508             :     0U, // LXEB
    9509             :     0U, // LXEBR
    9510             :     0U, // LXER
    9511             :     0U, // LXR
    9512             :     0U, // LY
    9513             :     0U, // LZDR
    9514             :     0U, // LZER
    9515             :     0U, // LZRF
    9516             :     0U, // LZRG
    9517             :     0U, // LZXR
    9518             :     0U, // M
    9519             :     0U, // MAD
    9520             :     0U, // MADB
    9521             :     0U, // MADBR
    9522             :     0U, // MADR
    9523             :     0U, // MAE
    9524             :     0U, // MAEB
    9525             :     0U, // MAEBR
    9526             :     0U, // MAER
    9527             :     0U, // MAY
    9528             :     0U, // MAYH
    9529             :     0U, // MAYHR
    9530             :     0U, // MAYL
    9531             :     0U, // MAYLR
    9532             :     0U, // MAYR
    9533             :     0U, // MC
    9534             :     0U, // MD
    9535             :     0U, // MDB
    9536             :     0U, // MDBR
    9537             :     0U, // MDE
    9538             :     0U, // MDEB
    9539             :     0U, // MDEBR
    9540             :     0U, // MDER
    9541             :     0U, // MDR
    9542             :     0U, // MDTR
    9543             :     0U, // MDTRA
    9544             :     0U, // ME
    9545             :     0U, // MEE
    9546             :     0U, // MEEB
    9547             :     0U, // MEEBR
    9548             :     0U, // MEER
    9549             :     0U, // MER
    9550             :     0U, // MFY
    9551             :     0U, // MG
    9552             :     0U, // MGH
    9553             :     0U, // MGHI
    9554             :     0U, // MGRK
    9555             :     0U, // MH
    9556             :     0U, // MHI
    9557             :     0U, // MHY
    9558             :     0U, // ML
    9559             :     0U, // MLG
    9560             :     0U, // MLGR
    9561             :     0U, // MLR
    9562             :     0U, // MP
    9563             :     0U, // MR
    9564             :     0U, // MS
    9565             :     0U, // MSC
    9566             :     0U, // MSCH
    9567             :     0U, // MSD
    9568             :     0U, // MSDB
    9569             :     0U, // MSDBR
    9570             :     0U, // MSDR
    9571             :     0U, // MSE
    9572             :     0U, // MSEB
    9573             :     0U, // MSEBR
    9574             :     0U, // MSER
    9575             :     0U, // MSFI
    9576             :     0U, // MSG
    9577             :     0U, // MSGC
    9578             :     0U, // MSGF
    9579             :     0U, // MSGFI
    9580             :     0U, // MSGFR
    9581             :     0U, // MSGR
    9582             :     0U, // MSGRKC
    9583             :     0U, // MSR
    9584             :     0U, // MSRKC
    9585             :     0U, // MSTA
    9586             :     0U, // MSY
    9587             :     0U, // MVC
    9588             :     0U, // MVCDK
    9589             :     0U, // MVCIN
    9590             :     0U, // MVCK
    9591             :     0U, // MVCL
    9592             :     0U, // MVCLE
    9593             :     0U, // MVCLU
    9594             :     0U, // MVCOS
    9595             :     0U, // MVCP
    9596             :     0U, // MVCS
    9597             :     0U, // MVCSK
    9598             :     0U, // MVGHI
    9599             :     0U, // MVHHI
    9600             :     0U, // MVHI
    9601             :     0U, // MVI
    9602             :     0U, // MVIY
    9603             :     0U, // MVN
    9604             :     0U, // MVO
    9605             :     0U, // MVPG
    9606             :     0U, // MVST
    9607             :     0U, // MVZ
    9608             :     0U, // MXBR
    9609             :     0U, // MXD
    9610             :     0U, // MXDB
    9611             :     0U, // MXDBR
    9612             :     0U, // MXDR
    9613             :     0U, // MXR
    9614             :     0U, // MXTR
    9615             :     0U, // MXTRA
    9616             :     0U, // MY
    9617             :     0U, // MYH
    9618             :     0U, // MYHR
    9619             :     0U, // MYL
    9620             :     0U, // MYLR
    9621             :     0U, // MYR
    9622             :     0U, // N
    9623             :     0U, // NC
    9624             :     0U, // NG
    9625             :     0U, // NGR
    9626             :     0U, // NGRK
    9627             :     0U, // NI
    9628             :     0U, // NIAI
    9629             :     0U, // NIHF
    9630             :     0U, // NIHH
    9631             :     0U, // NIHL
    9632             :     0U, // NILF
    9633             :     0U, // NILH
    9634             :     0U, // NILL
    9635             :     0U, // NIY
    9636             :     0U, // NR
    9637             :     0U, // NRK
    9638             :     0U, // NTSTG
    9639             :     0U, // NY
    9640             :     0U, // O
    9641             :     0U, // OC
    9642             :     0U, // OG
    9643             :     0U, // OGR
    9644             :     0U, // OGRK
    9645             :     0U, // OI
    9646             :     0U, // OIHF
    9647             :     0U, // OIHH
    9648             :     0U, // OIHL
    9649             :     0U, // OILF
    9650             :     0U, // OILH
    9651             :     0U, // OILL
    9652             :     0U, // OIY
    9653             :     0U, // OR
    9654             :     0U, // ORK
    9655             :     0U, // OY
    9656             :     0U, // PACK
    9657             :     0U, // PALB
    9658             :     0U, // PC
    9659             :     0U, // PCC
    9660             :     0U, // PCKMO
    9661             :     0U, // PFD
    9662             :     0U, // PFDRL
    9663             :     0U, // PFMF
    9664             :     0U, // PFPO
    9665             :     0U, // PGIN
    9666             :     0U, // PGOUT
    9667             :     0U, // PKA
    9668             :     0U, // PKU
    9669             :     0U, // PLO
    9670             :     0U, // POPCNT
    9671             :     0U, // PPA
    9672             :     0U, // PPNO
    9673             :     0U, // PR
    9674             :     0U, // PRNO
    9675             :     0U, // PT
    9676             :     0U, // PTF
    9677             :     0U, // PTFF
    9678             :     0U, // PTI
    9679             :     0U, // PTLB
    9680             :     0U, // QADTR
    9681             :     0U, // QAXTR
    9682             :     0U, // QCTRI
    9683             :     0U, // QSI
    9684             :     0U, // RCHP
    9685             :     2U, // RISBG
    9686             :     2U, // RISBG32
    9687             :     2U, // RISBGN
    9688             :     2U, // RISBHG
    9689             :     2U, // RISBLG
    9690             :     0U, // RLL
    9691             :     0U, // RLLG
    9692             :     2U, // RNSBG
    9693             :     2U, // ROSBG
    9694             :     0U, // RP
    9695             :     0U, // RRBE
    9696             :     0U, // RRBM
    9697             :     0U, // RRDTR
    9698             :     0U, // RRXTR
    9699             :     0U, // RSCH
    9700             :     2U, // RXSBG
    9701             :     0U, // S
    9702             :     0U, // SAC
    9703             :     0U, // SACF
    9704             :     0U, // SAL
    9705             :     0U, // SAM24
    9706             :     0U, // SAM31
    9707             :     0U, // SAM64
    9708             :     0U, // SAR
    9709             :     0U, // SCCTR
    9710             :     0U, // SCHM
    9711             :     0U, // SCK
    9712             :     0U, // SCKC
    9713             :     0U, // SCKPF
    9714             :     0U, // SD
    9715             :     0U, // SDB
    9716             :     0U, // SDBR
    9717             :     0U, // SDR
    9718             :     0U, // SDTR
    9719             :     0U, // SDTRA
    9720             :     0U, // SE
    9721             :     0U, // SEB
    9722             :     0U, // SEBR
    9723             :     0U, // SER
    9724             :     0U, // SFASR
    9725             :     0U, // SFPC
    9726             :     0U, // SG
    9727             :     0U, // SGF
    9728             :     0U, // SGFR
    9729             :     0U, // SGH
    9730             :     0U, // SGR
    9731             :     0U, // SGRK
    9732             :     0U, // SH
    9733             :     0U, // SHHHR
    9734             :     0U, // SHHLR
    9735             :     0U, // SHY
    9736             :     0U, // SIE
    9737             :     0U, // SIGA
    9738             :     0U, // SIGP
    9739             :     0U, // SL
    9740             :     0U, // SLA
    9741             :     0U, // SLAG
    9742             :     0U, // SLAK
    9743             :     0U, // SLB
    9744             :     0U, // SLBG
    9745             :     0U, // SLBGR
    9746             :     0U, // SLBR
    9747             :     0U, // SLDA
    9748             :     0U, // SLDL
    9749             :     0U, // SLDT
    9750             :     0U, // SLFI
    9751             :     0U, // SLG
    9752             :     0U, // SLGF
    9753             :     0U, // SLGFI
    9754             :     0U, // SLGFR
    9755             :     0U, // SLGR
    9756             :     0U, // SLGRK
    9757             :     0U, // SLHHHR
    9758             :     0U, // SLHHLR
    9759             :     0U, // SLL
    9760             :     0U, // SLLG
    9761             :     0U, // SLLK
    9762             :     0U, // SLR
    9763             :     0U, // SLRK
    9764             :     0U, // SLXT
    9765             :     0U, // SLY
    9766             :     0U, // SP
    9767             :     0U, // SPCTR
    9768             :     0U, // SPKA
    9769             :     0U, // SPM
    9770             :     0U, // SPT
    9771             :     0U, // SPX
    9772             :     0U, // SQD
    9773             :     0U, // SQDB
    9774             :     0U, // SQDBR
    9775             :     0U, // SQDR
    9776             :     0U, // SQE
    9777             :     0U, // SQEB
    9778             :     0U, // SQEBR
    9779             :     0U, // SQER
    9780             :     0U, // SQXBR
    9781             :     0U, // SQXR
    9782             :     0U, // SR
    9783             :     0U, // SRA
    9784             :     0U, // SRAG
    9785             :     0U, // SRAK
    9786             :     0U, // SRDA
    9787             :     0U, // SRDL
    9788             :     0U, // SRDT
    9789             :     0U, // SRK
    9790             :     0U, // SRL
    9791             :     0U, // SRLG
    9792             :     0U, // SRLK
    9793             :     0U, // SRNM
    9794             :     0U, // SRNMB
    9795             :     0U, // SRNMT
    9796             :     0U, // SRP
    9797             :     0U, // SRST
    9798             :     0U, // SRSTU
    9799             :     0U, // SRXT
    9800             :     0U, // SSAIR
    9801             :     0U, // SSAR
    9802             :     0U, // SSCH
    9803             :     0U, // SSKE
    9804             :     0U, // SSKEOpt
    9805             :     0U, // SSM
    9806             :     0U, // ST
    9807             :     0U, // STAM
    9808             :     0U, // STAMY
    9809             :     0U, // STAP
    9810             :     0U, // STC
    9811             :     0U, // STCH
    9812             :     0U, // STCK
    9813             :     0U, // STCKC
    9814             :     0U, // STCKE
    9815             :     0U, // STCKF
    9816             :     0U, // STCM
    9817             :     0U, // STCMH
    9818             :     0U, // STCMY
    9819             :     0U, // STCPS
    9820             :     0U, // STCRW
    9821             :     0U, // STCTG
    9822             :     0U, // STCTL
    9823             :     0U, // STCY
    9824             :     0U, // STD
    9825             :     0U, // STDY
    9826             :     0U, // STE
    9827             :     0U, // STEY
    9828             :     0U, // STFH
    9829             :     0U, // STFL
    9830             :     0U, // STFLE
    9831             :     0U, // STFPC
    9832             :     0U, // STG
    9833             :     0U, // STGRL
    9834             :     0U, // STGSC
    9835             :     0U, // STH
    9836             :     0U, // STHH
    9837             :     0U, // STHRL
    9838             :     0U, // STHY
    9839             :     0U, // STIDP
    9840             :     0U, // STM
    9841             :     0U, // STMG
    9842             :     0U, // STMH
    9843             :     0U, // STMY
    9844             :     0U, // STNSM
    9845             :     0U, // STOC
    9846             :     0U, // STOCAsm
    9847             :     0U, // STOCAsmE
    9848             :     0U, // STOCAsmH
    9849             :     0U, // STOCAsmHE
    9850             :     0U, // STOCAsmL
    9851             :     0U, // STOCAsmLE
    9852             :     0U, // STOCAsmLH
    9853             :     0U, // STOCAsmM
    9854             :     0U, // STOCAsmNE
    9855             :     0U, // STOCAsmNH
    9856             :     0U, // STOCAsmNHE
    9857             :     0U, // STOCAsmNL
    9858             :     0U, // STOCAsmNLE
    9859             :     0U, // STOCAsmNLH
    9860             :     0U, // STOCAsmNM
    9861             :     0U, // STOCAsmNO
    9862             :     0U, // STOCAsmNP
    9863             :     0U, // STOCAsmNZ
    9864             :     0U, // STOCAsmO
    9865             :     0U, // STOCAsmP
    9866             :     0U, // STOCAsmZ
    9867             :     0U, // STOCFH
    9868             :     0U, // STOCFHAsm
    9869             :     0U, // STOCFHAsmE
    9870             :     0U, // STOCFHAsmH
    9871             :     0U, // STOCFHAsmHE
    9872             :     0U, // STOCFHAsmL
    9873             :     0U, // STOCFHAsmLE
    9874             :     0U, // STOCFHAsmLH
    9875             :     0U, // STOCFHAsmM
    9876             :     0U, // STOCFHAsmNE
    9877             :     0U, // STOCFHAsmNH
    9878             :     0U, // STOCFHAsmNHE
    9879             :     0U, // STOCFHAsmNL
    9880             :     0U, // STOCFHAsmNLE
    9881             :     0U, // STOCFHAsmNLH
    9882             :     0U, // STOCFHAsmNM
    9883             :     0U, // STOCFHAsmNO
    9884             :     0U, // STOCFHAsmNP
    9885             :     0U, // STOCFHAsmNZ
    9886             :     0U, // STOCFHAsmO
    9887             :     0U, // STOCFHAsmP
    9888             :     0U, // STOCFHAsmZ
    9889             :     0U, // STOCG
    9890             :     0U, // STOCGAsm
    9891             :     0U, // STOCGAsmE
    9892             :     0U, // STOCGAsmH
    9893             :     0U, // STOCGAsmHE
    9894             :     0U, // STOCGAsmL
    9895             :     0U, // STOCGAsmLE
    9896             :     0U, // STOCGAsmLH
    9897             :     0U, // STOCGAsmM
    9898             :     0U, // STOCGAsmNE
    9899             :     0U, // STOCGAsmNH
    9900             :     0U, // STOCGAsmNHE
    9901             :     0U, // STOCGAsmNL
    9902             :     0U, // STOCGAsmNLE
    9903             :     0U, // STOCGAsmNLH
    9904             :     0U, // STOCGAsmNM
    9905             :     0U, // STOCGAsmNO
    9906             :     0U, // STOCGAsmNP
    9907             :     0U, // STOCGAsmNZ
    9908             :     0U, // STOCGAsmO
    9909             :     0U, // STOCGAsmP
    9910             :     0U, // STOCGAsmZ
    9911             :     0U, // STOSM
    9912             :     0U, // STPQ
    9913             :     0U, // STPT
    9914             :     0U, // STPX
    9915             :     0U, // STRAG
    9916             :     0U, // STRL
    9917             :     0U, // STRV
    9918             :     0U, // STRVG
    9919             :     0U, // STRVH
    9920             :     0U, // STSCH
    9921             :     0U, // STSI
    9922             :     0U, // STURA
    9923             :     0U, // STURG
    9924             :     0U, // STY
    9925             :     0U, // SU
    9926             :     0U, // SUR
    9927             :     0U, // SVC
    9928             :     0U, // SW
    9929             :     0U, // SWR
    9930             :     0U, // SXBR
    9931             :     0U, // SXR
    9932             :     0U, // SXTR
    9933             :     0U, // SXTRA
    9934             :     0U, // SY
    9935             :     0U, // TABORT
    9936             :     0U, // TAM
    9937             :     0U, // TAR
    9938             :     0U, // TB
    9939             :     0U, // TBDR
    9940             :     0U, // TBEDR
    9941             :     0U, // TBEGIN
    9942             :     0U, // TBEGINC
    9943             :     0U, // TCDB
    9944             :     0U, // TCEB
    9945             :     0U, // TCXB
    9946             :     0U, // TDCDT
    9947             :     0U, // TDCET
    9948             :     0U, // TDCXT
    9949             :     0U, // TDGDT
    9950             :     0U, // TDGET
    9951             :     0U, // TDGXT
    9952             :     0U, // TEND
    9953             :     0U, // THDER
    9954             :     0U, // THDR
    9955             :     0U, // TM
    9956             :     0U, // TMHH
    9957             :     0U, // TMHL
    9958             :     0U, // TMLH
    9959             :     0U, // TMLL
    9960             :     0U, // TMY
    9961             :     0U, // TP
    9962             :     0U, // TPI
    9963             :     0U, // TPROT
    9964             :     0U, // TR
    9965             :     0U, // TRACE
    9966             :     0U, // TRACG
    9967             :     0U, // TRAP2
    9968             :     0U, // TRAP4
    9969             :     0U, // TRE
    9970             :     0U, // TROO
    9971             :     0U, // TROOOpt
    9972             :     0U, // TROT
    9973             :     0U, // TROTOpt
    9974             :     0U, // TRT
    9975             :     0U, // TRTE
    9976             :     0U, // TRTEOpt
    9977             :     0U, // TRTO
    9978             :     0U, // TRTOOpt
    9979             :     0U, // TRTR
    9980             :     0U, // TRTRE
    9981             :     0U, // TRTREOpt
    9982             :     0U, // TRTT
    9983             :     0U, // TRTTOpt
    9984             :     0U, // TS
    9985             :     0U, // TSCH
    9986             :     0U, // UNPK
    9987             :     0U, // UNPKA
    9988             :     0U, // UNPKU
    9989             :     0U, // UPT
    9990             :     0U, // VA
    9991             :     0U, // VAB
    9992             :     6U, // VAC
    9993             :     0U, // VACC
    9994             :     0U, // VACCB
    9995             :     6U, // VACCC
    9996             :     0U, // VACCCQ
    9997             :     0U, // VACCF
    9998             :     0U, // VACCG
    9999             :     0U, // VACCH
   10000             :     0U, // VACCQ
   10001             :     0U, // VACQ
   10002             :     0U, // VAF
   10003             :     0U, // VAG
   10004             :     0U, // VAH
   10005             :     7U, // VAP
   10006             :     0U, // VAQ
   10007             :     0U, // VAVG
   10008             :     0U, // VAVGB
   10009             :     0U, // VAVGF
   10010             :     0U, // VAVGG
   10011             :     0U, // VAVGH
   10012             :     0U, // VAVGL
   10013             :     0U, // VAVGLB
   10014             :     0U, // VAVGLF
   10015             :     0U, // VAVGLG
   10016             :     0U, // VAVGLH
   10017             :     0U, // VBPERM
   10018             :     6U, // VCDG
   10019             :     0U, // VCDGB
   10020             :     6U, // VCDLG
   10021             :     0U, // VCDLGB
   10022             :     6U, // VCEQ
   10023             :     0U, // VCEQB
   10024             :     0U, // VCEQBS
   10025             :     0U, // VCEQF
   10026             :     0U, // VCEQFS
   10027             :     0U, // VCEQG
   10028             :     0U, // VCEQGS
   10029             :     0U, // VCEQH
   10030             :     0U, // VCEQHS
   10031             :     6U, // VCGD
   10032             :     0U, // VCGDB
   10033             :     6U, // VCH
   10034             :     0U, // VCHB
   10035             :     0U, // VCHBS
   10036             :     0U, // VCHF
   10037             :     0U, // VCHFS
   10038             :     0U, // VCHG
   10039             :     0U, // VCHGS
   10040             :     0U, // VCHH
   10041             :     0U, // VCHHS
   10042             :     6U, // VCHL
   10043             :     0U, // VCHLB
   10044             :     0U, // VCHLBS
   10045             :     0U, // VCHLF
   10046             :     0U, // VCHLFS
   10047             :     0U, // VCHLG
   10048             :     0U, // VCHLGS
   10049             :     0U, // VCHLH
   10050             :     0U, // VCHLHS
   10051             :     0U, // VCKSM
   10052             :     6U, // VCLGD
   10053             :     0U, // VCLGDB
   10054             :     0U, // VCLZ
   10055             :     0U, // VCLZB
   10056             :     0U, // VCLZF
   10057             :     0U, // VCLZG
   10058             :     0U, // VCLZH
   10059             :     0U, // VCP
   10060             :     0U, // VCTZ
   10061             :     0U, // VCTZB
   10062             :     0U, // VCTZF
   10063             :     0U, // VCTZG
   10064             :     0U, // VCTZH
   10065             :     0U, // VCVB
   10066             :     0U, // VCVBG
   10067             :     1U, // VCVD
   10068             :     1U, // VCVDG
   10069             :     7U, // VDP
   10070             :     0U, // VEC
   10071             :     0U, // VECB
   10072             :     0U, // VECF
   10073             :     0U, // VECG
   10074             :     0U, // VECH
   10075             :     0U, // VECL
   10076             :     0U, // VECLB
   10077             :     0U, // VECLF
   10078             :     0U, // VECLG
   10079             :     0U, // VECLH
   10080             :     10U,        // VERIM
   10081             :     0U, // VERIMB
   10082             :     0U, // VERIMF
   10083             :     0U, // VERIMG
   10084             :     0U, // VERIMH
   10085             :     0U, // VERLL
   10086             :     0U, // VERLLB
   10087             :     0U, // VERLLF
   10088             :     0U, // VERLLG
   10089             :     0U, // VERLLH
   10090             :     0U, // VERLLV
   10091             :     0U, // VERLLVB
   10092             :     0U, // VERLLVF
   10093             :     0U, // VERLLVG
   10094             :     0U, // VERLLVH
   10095             :     0U, // VESL
   10096             :     0U, // VESLB
   10097             :     0U, // VESLF
   10098             :     0U, // VESLG
   10099             :     0U, // VESLH
   10100             :     0U, // VESLV
   10101             :     0U, // VESLVB
   10102             :     0U, // VESLVF
   10103             :     0U, // VESLVG
   10104             :     0U, // VESLVH
   10105             :     0U, // VESRA
   10106             :     0U, // VESRAB
   10107             :     0U, // VESRAF
   10108             :     0U, // VESRAG
   10109             :     0U, // VESRAH
   10110             :     0U, // VESRAV
   10111             :     0U, // VESRAVB
   10112             :     0U, // VESRAVF
   10113             :     0U, // VESRAVG
   10114             :     0U, // VESRAVH
   10115             :     0U, // VESRL
   10116             :     0U, // VESRLB
   10117             :     0U, // VESRLF
   10118             :     0U, // VESRLG
   10119             :     0U, // VESRLH
   10120             :     0U, // VESRLV
   10121             :     0U, // VESRLVB
   10122             :     0U, // VESRLVF
   10123             :     0U, // VESRLVG
   10124             :     0U, // VESRLVH
   10125             :     6U, // VFA
   10126             :     0U, // VFADB
   10127             :     6U, // VFAE
   10128             :     0U, // VFAEB
   10129             :     0U, // VFAEBS
   10130             :     0U, // VFAEF
   10131             :     0U, // VFAEFS
   10132             :     0U, // VFAEH
   10133             :     0U, // VFAEHS
   10134             :     0U, // VFAEZB
   10135             :     0U, // VFAEZBS
   10136             :     0U, // VFAEZF
   10137             :     0U, // VFAEZFS
   10138             :     0U, // VFAEZH
   10139             :     0U, // VFAEZHS
   10140             :     0U, // VFASB
   10141             :     22U,        // VFCE
   10142             :     0U, // VFCEDB
   10143             :     0U, // VFCEDBS
   10144             :     0U, // VFCESB
   10145             :     0U, // VFCESBS
   10146             :     22U,        // VFCH
   10147             :     0U, // VFCHDB
   10148             :     0U, // VFCHDBS
   10149             :     22U,        // VFCHE
   10150             :     0U, // VFCHEDB
   10151             :     0U, // VFCHEDBS
   10152             :     0U, // VFCHESB
   10153             :     0U, // VFCHESBS
   10154             :     0U, // VFCHSB
   10155             :     0U, // VFCHSBS
   10156             :     6U, // VFD
   10157             :     0U, // VFDDB
   10158             :     0U, // VFDSB
   10159             :     6U, // VFEE
   10160             :     0U, // VFEEB
   10161             :     0U, // VFEEBS
   10162             :     0U, // VFEEF
   10163             :     0U, // VFEEFS
   10164             :     0U, // VFEEH
   10165             :     0U, // VFEEHS
   10166             :     0U, // VFEEZB
   10167             :     0U, // VFEEZBS
   10168             :     0U, // VFEEZF
   10169             :     0U, // VFEEZFS
   10170             :     0U, // VFEEZH
   10171             :     0U, // VFEEZHS
   10172             :     6U, // VFENE
   10173             :     0U, // VFENEB
   10174             :     0U, // VFENEBS
   10175             :     0U, // VFENEF
   10176             :     0U, // VFENEFS
   10177             :     0U, // VFENEH
   10178             :     0U, // VFENEHS
   10179             :     0U, // VFENEZB
   10180             :     0U, // VFENEZBS
   10181             :     0U, // VFENEZF
   10182             :     0U, // VFENEZFS
   10183             :     0U, // VFENEZH
   10184             :     0U, // VFENEZHS
   10185             :     6U, // VFI
   10186             :     0U, // VFIDB
   10187             :     0U, // VFISB
   10188             :     0U, // VFKEDB
   10189             :     0U, // VFKEDBS
   10190             :     0U, // VFKESB
   10191             :     0U, // VFKESBS
   10192             :     0U, // VFKHDB
   10193             :     0U, // VFKHDBS
   10194             :     0U, // VFKHEDB
   10195             :     0U, // VFKHEDBS
   10196             :     0U, // VFKHESB
   10197             :     0U, // VFKHESBS
   10198             :     0U, // VFKHSB
   10199             :     0U, // VFKHSBS
   10200             :     0U, // VFLCDB
   10201             :     0U, // VFLCSB
   10202             :     0U, // VFLL
   10203             :     0U, // VFLLS
   10204             :     0U, // VFLNDB
   10205             :     0U, // VFLNSB
   10206             :     0U, // VFLPDB
   10207             :     0U, // VFLPSB
   10208             :     6U, // VFLR
   10209             :     0U, // VFLRD
   10210             :     6U, // VFM
   10211             :     22U,        // VFMA
   10212             :     0U, // VFMADB
   10213             :     0U, // VFMASB
   10214             :     22U,        // VFMAX
   10215             :     0U, // VFMAXDB
   10216             :     0U, // VFMAXSB
   10217             :     0U, // VFMDB
   10218             :     22U,        // VFMIN
   10219             :     0U, // VFMINDB
   10220             :     0U, // VFMINSB
   10221             :     22U,        // VFMS
   10222             :     0U, // VFMSB
   10223             :     0U, // VFMSDB
   10224             :     0U, // VFMSSB
   10225             :     22U,        // VFNMA
   10226             :     0U, // VFNMADB
   10227             :     0U, // VFNMASB
   10228             :     22U,        // VFNMS
   10229             :     0U, // VFNMSDB
   10230             :     0U, // VFNMSSB
   10231             :     6U, // VFPSO
   10232             :     0U, // VFPSODB
   10233             :     0U, // VFPSOSB
   10234             :     6U, // VFS
   10235             :     0U, // VFSDB
   10236             :     0U, // VFSQ
   10237             :     0U, // VFSQDB
   10238             :     0U, // VFSQSB
   10239             :     0U, // VFSSB
   10240             :     6U, // VFTCI
   10241             :     0U, // VFTCIDB
   10242             :     0U, // VFTCISB
   10243             :     0U, // VGBM
   10244             :     0U, // VGEF
   10245             :     0U, // VGEG
   10246             :     0U, // VGFM
   10247             :     6U, // VGFMA
   10248             :     0U, // VGFMAB
   10249             :     0U, // VGFMAF
   10250             :     0U, // VGFMAG
   10251             :     0U, // VGFMAH
   10252             :     0U, // VGFMB
   10253             :     0U, // VGFMF
   10254             :     0U, // VGFMG
   10255             :     0U, // VGFMH
   10256             :     0U, // VGM
   10257             :     0U, // VGMB
   10258             :     0U, // VGMF
   10259             :     0U, // VGMG
   10260             :     0U, // VGMH
   10261             :     0U, // VISTR
   10262             :     0U, // VISTRB
   10263             :     0U, // VISTRBS
   10264             :     0U, // VISTRF
   10265             :     0U, // VISTRFS
   10266             :     0U, // VISTRH
   10267             :     0U, // VISTRHS
   10268             :     0U, // VL
   10269             :     0U, // VLBB
   10270             :     0U, // VLC
   10271             :     0U, // VLCB
   10272             :     0U, // VLCF
   10273             :     0U, // VLCG
   10274             :     0U, // VLCH
   10275             :     0U, // VLDE
   10276             :     0U, // VLDEB
   10277             :     0U, // VLEB
   10278             :     6U, // VLED
   10279             :     0U, // VLEDB
   10280             :     0U, // VLEF
   10281             :     0U, // VLEG
   10282             :     0U, // VLEH
   10283             :     0U, // VLEIB
   10284             :     0U, // VLEIF
   10285             :     0U, // VLEIG
   10286             :     0U, // VLEIH
   10287             :     0U, // VLGV
   10288             :     0U, // VLGVB
   10289             :     0U, // VLGVF
   10290             :     0U, // VLGVG
   10291             :     0U, // VLGVH
   10292             :     0U, // VLIP
   10293             :     0U, // VLL
   10294             :     0U, // VLLEZ
   10295             :     0U, // VLLEZB
   10296             :     0U, // VLLEZF
   10297             :     0U, // VLLEZG
   10298             :     0U, // VLLEZH
   10299             :     0U, // VLLEZLF
   10300             :     0U, // VLM
   10301             :     0U, // VLP
   10302             :     0U, // VLPB
   10303             :     0U, // VLPF
   10304             :     0U, // VLPG
   10305             :     0U, // VLPH
   10306             :     0U, // VLR
   10307             :     0U, // VLREP
   10308             :     0U, // VLREPB
   10309             :     0U, // VLREPF
   10310             :     0U, // VLREPG
   10311             :     0U, // VLREPH
   10312             :     0U, // VLRL
   10313             :     0U, // VLRLR
   10314             :     1U, // VLVG
   10315             :     0U, // VLVGB
   10316             :     0U, // VLVGF
   10317             :     0U, // VLVGG
   10318             :     0U, // VLVGH
   10319             :     0U, // VLVGP
   10320             :     6U, // VMAE
   10321             :     0U, // VMAEB
   10322             :     0U, // VMAEF
   10323             :     0U, // VMAEH
   10324             :     6U, // VMAH
   10325             :     0U, // VMAHB
   10326             :     0U, // VMAHF
   10327             :     0U, // VMAHH
   10328             :     6U, // VMAL
   10329             :     0U, // VMALB
   10330             :     6U, // VMALE
   10331             :     0U, // VMALEB
   10332             :     0U, // VMALEF
   10333             :     0U, // VMALEH
   10334             :     0U, // VMALF
   10335             :     6U, // VMALH
   10336             :     0U, // VMALHB
   10337             :     0U, // VMALHF
   10338             :     0U, // VMALHH
   10339             :     0U, // VMALHW
   10340             :     6U, // VMALO
   10341             :     0U, // VMALOB
   10342             :     0U, // VMALOF
   10343             :     0U, // VMALOH
   10344             :     6U, // VMAO
   10345             :     0U, // VMAOB
   10346             :     0U, // VMAOF
   10347             :     0U, // VMAOH
   10348             :     0U, // VME
   10349             :     0U, // VMEB
   10350             :     0U, // VMEF
   10351             :     0U, // VMEH
   10352             :     0U, // VMH
   10353             :     0U, // VMHB
   10354             :     0U, // VMHF
   10355             :     0U, // VMHH
   10356             :     0U, // VML
   10357             :     0U, // VMLB
   10358             :     0U, // VMLE
   10359             :     0U, // VMLEB
   10360             :     0U, // VMLEF
   10361             :     0U, // VMLEH
   10362             :     0U, // VMLF
   10363             :     0U, // VMLH
   10364             :     0U, // VMLHB
   10365             :     0U, // VMLHF
   10366             :     0U, // VMLHH
   10367             :     0U, // VMLHW
   10368             :     0U, // VMLO
   10369             :     0U, // VMLOB
   10370             :     0U, // VMLOF
   10371             :     0U, // VMLOH
   10372             :     0U, // VMN
   10373             :     0U, // VMNB
   10374             :     0U, // VMNF
   10375             :     0U, // VMNG
   10376             :     0U, // VMNH
   10377             :     0U, // VMNL
   10378             :     0U, // VMNLB
   10379             :     0U, // VMNLF
   10380             :     0U, // VMNLG
   10381             :     0U, // VMNLH
   10382             :     0U, // VMO
   10383             :     0U, // VMOB
   10384             :     0U, // VMOF
   10385             :     0U, // VMOH
   10386             :     7U, // VMP
   10387             :     0U, // VMRH
   10388             :     0U, // VMRHB
   10389             :     0U, // VMRHF
   10390             :     0U, // VMRHG
   10391             :     0U, // VMRHH
   10392             :     0U, // VMRL
   10393             :     0U, // VMRLB
   10394             :     0U, // VMRLF
   10395             :     0U, // VMRLG
   10396             :     0U, // VMRLH
   10397             :     22U,        // VMSL
   10398             :     6U, // VMSLG
   10399             :     7U, // VMSP
   10400             :     0U, // VMX
   10401             :     0U, // VMXB
   10402             :     0U, // VMXF
   10403             :     0U, // VMXG
   10404             :     0U, // VMXH
   10405             :     0U, // VMXL
   10406             :     0U, // VMXLB
   10407             :     0U, // VMXLF
   10408             :     0U, // VMXLG
   10409             :     0U, // VMXLH
   10410             :     0U, // VN
   10411             :     0U, // VNC
   10412             :     0U, // VNN
   10413             :     0U, // VNO
   10414             :     0U, // VNX
   10415             :     0U, // VO
   10416             :     0U, // VOC
   10417             :     0U, // VONE
   10418             :     0U, // VPDI
   10419             :     0U, // VPERM
   10420             :     0U, // VPK
   10421             :     0U, // VPKF
   10422             :     0U, // VPKG
   10423             :     0U, // VPKH
   10424             :     6U, // VPKLS
   10425             :     0U, // VPKLSF
   10426             :     0U, // VPKLSFS
   10427             :     0U, // VPKLSG
   10428             :     0U, // VPKLSGS
   10429             :     0U, // VPKLSH
   10430             :     0U, // VPKLSHS
   10431             :     6U, // VPKS
   10432             :     0U, // VPKSF
   10433             :     0U, // VPKSFS
   10434             :     0U, // VPKSG
   10435             :     0U, // VPKSGS
   10436             :     0U, // VPKSH
   10437             :     0U, // VPKSHS
   10438             :     0U, // VPKZ
   10439             :     0U, // VPOPCT
   10440             :     0U, // VPOPCTB
   10441             :     0U, // VPOPCTF
   10442             :     0U, // VPOPCTG
   10443             :     0U, // VPOPCTH
   10444             :     0U, // VPSOP
   10445             :     0U, // VREP
   10446             :     0U, // VREPB
   10447             :     0U, // VREPF
   10448             :     0U, // VREPG
   10449             :     0U, // VREPH
   10450             :     0U, // VREPI
   10451             :     0U, // VREPIB
   10452             :     0U, // VREPIF
   10453             :     0U, // VREPIG
   10454             :     0U, // VREPIH
   10455             :     7U, // VRP
   10456             :     0U, // VS
   10457             :     0U, // VSB
   10458             :     6U, // VSBCBI
   10459             :     0U, // VSBCBIQ
   10460             :     6U, // VSBI
   10461             :     0U, // VSBIQ
   10462             :     0U, // VSCBI
   10463             :     0U, // VSCBIB
   10464             :     0U, // VSCBIF
   10465             :     0U, // VSCBIG
   10466             :     0U, // VSCBIH
   10467             :     0U, // VSCBIQ
   10468             :     0U, // VSCEF
   10469             :     0U, // VSCEG
   10470             :     7U, // VSDP
   10471             :     0U, // VSEG
   10472             :     0U, // VSEGB
   10473             :     0U, // VSEGF
   10474             :     0U, // VSEGH
   10475             :     0U, // VSEL
   10476             :     0U, // VSF
   10477             :     0U, // VSG
   10478             :     0U, // VSH
   10479             :     0U, // VSL
   10480             :     0U, // VSLB
   10481             :     1U, // VSLDB
   10482             :     7U, // VSP
   10483             :     0U, // VSQ
   10484             :     0U, // VSRA
   10485             :     0U, // VSRAB
   10486             :     0U, // VSRL
   10487             :     0U, // VSRLB
   10488             :     0U, // VSRP
   10489             :     0U, // VST
   10490             :     0U, // VSTEB
   10491             :     0U, // VSTEF
   10492             :     0U, // VSTEG
   10493             :     0U, // VSTEH
   10494             :     0U, // VSTL
   10495             :     0U, // VSTM
   10496             :     22U,        // VSTRC
   10497             :     6U, // VSTRCB
   10498             :     6U, // VSTRCBS
   10499             :     6U, // VSTRCF
   10500             :     6U, // VSTRCFS
   10501             :     6U, // VSTRCH
   10502             :     6U, // VSTRCHS
   10503             :     6U, // VSTRCZB
   10504             :     6U, // VSTRCZBS
   10505             :     6U, // VSTRCZF
   10506             :     6U, // VSTRCZFS
   10507             :     6U, // VSTRCZH
   10508             :     6U, // VSTRCZHS
   10509             :     0U, // VSTRL
   10510             :     0U, // VSTRLR
   10511             :     0U, // VSUM
   10512             :     0U, // VSUMB
   10513             :     0U, // VSUMG
   10514             :     0U, // VSUMGF
   10515             :     0U, // VSUMGH
   10516             :     0U, // VSUMH
   10517             :     0U, // VSUMQ
   10518             :     0U, // VSUMQF
   10519             :     0U, // VSUMQG
   10520             :     0U, // VTM
   10521             :     0U, // VTP
   10522             :     0U, // VUPH
   10523             :     0U, // VUPHB
   10524             :     0U, // VUPHF
   10525             :     0U, // VUPHH
   10526             :     0U, // VUPKZ
   10527             :     0U, // VUPL
   10528             :     0U, // VUPLB
   10529             :     0U, // VUPLF
   10530             :     0U, // VUPLH
   10531             :     0U, // VUPLHB
   10532             :     0U, // VUPLHF
   10533             :     0U, // VUPLHH
   10534             :     0U, // VUPLHW
   10535             :     0U, // VUPLL
   10536             :     0U, // VUPLLB
   10537             :     0U, // VUPLLF
   10538             :     0U, // VUPLLH
   10539             :     0U, // VX
   10540             :     0U, // VZERO
   10541             :     0U, // WCDGB
   10542             :     0U, // WCDLGB
   10543             :     0U, // WCGDB
   10544             :     0U, // WCLGDB
   10545             :     0U, // WFADB
   10546             :     0U, // WFASB
   10547             :     0U, // WFAXB
   10548             :     0U, // WFC
   10549             :     0U, // WFCDB
   10550             :     0U, // WFCEDB
   10551             :     0U, // WFCEDBS
   10552             :     0U, // WFCESB
   10553             :     0U, // WFCESBS
   10554             :     0U, // WFCEXB
   10555             :     0U, // WFCEXBS
   10556             :     0U, // WFCHDB
   10557             :     0U, // WFCHDBS
   10558             :     0U, // WFCHEDB
   10559             :     0U, // WFCHEDBS
   10560             :     0U, // WFCHESB
   10561             :     0U, // WFCHESBS
   10562             :     0U, // WFCHEXB
   10563             :     0U, // WFCHEXBS
   10564             :     0U, // WFCHSB
   10565             :     0U, // WFCHSBS
   10566             :     0U, // WFCHXB
   10567             :     0U, // WFCHXBS
   10568             :     0U, // WFCSB
   10569             :     0U, // WFCXB
   10570             :     0U, // WFDDB
   10571             :     0U, // WFDSB
   10572             :     0U, // WFDXB
   10573             :     0U, // WFIDB
   10574             :     0U, // WFISB
   10575             :     0U, // WFIXB
   10576             :     0U, // WFK
   10577             :     0U, // WFKDB
   10578             :     0U, // WFKEDB
   10579             :     0U, // WFKEDBS
   10580             :     0U, // WFKESB
   10581             :     0U, // WFKESBS
   10582             :     0U, // WFKEXB
   10583             :     0U, // WFKEXBS
   10584             :     0U, // WFKHDB
   10585             :     0U, // WFKHDBS
   10586             :     0U, // WFKHEDB
   10587             :     0U, // WFKHEDBS
   10588             :     0U, // WFKHESB
   10589             :     0U, // WFKHESBS
   10590             :     0U, // WFKHEXB
   10591             :     0U, // WFKHEXBS
   10592             :     0U, // WFKHSB
   10593             :     0U, // WFKHSBS
   10594             :     0U, // WFKHXB
   10595             :     0U, // WFKHXBS
   10596             :     0U, // WFKSB
   10597             :     0U, // WFKXB
   10598             :     0U, // WFLCDB
   10599             :     0U, // WFLCSB
   10600             :     0U, // WFLCXB
   10601             :     0U, // WFLLD
   10602             :     0U, // WFLLS
   10603             :     0U, // WFLNDB
   10604             :     0U, // WFLNSB
   10605             :     0U, // WFLNXB
   10606             :     0U, // WFLPDB
   10607             :     0U, // WFLPSB
   10608             :     0U, // WFLPXB
   10609             :     0U, // WFLRD
   10610             :     0U, // WFLRX
   10611             :     0U, // WFMADB
   10612             :     0U, // WFMASB
   10613             :     0U, // WFMAXB
   10614             :     0U, // WFMAXDB
   10615             :     0U, // WFMAXSB
   10616             :     0U, // WFMAXXB
   10617             :     0U, // WFMDB
   10618             :     0U, // WFMINDB
   10619             :     0U, // WFMINSB
   10620             :     0U, // WFMINXB
   10621             :     0U, // WFMSB
   10622             :     0U, // WFMSDB
   10623             :     0U, // WFMSSB
   10624             :     0U, // WFMSXB
   10625             :     0U, // WFMXB
   10626             :     0U, // WFNMADB
   10627             :     0U, // WFNMASB
   10628             :     0U, // WFNMAXB
   10629             :     0U, // WFNMSDB
   10630             :     0U, // WFNMSSB
   10631             :     0U, // WFNMSXB
   10632             :     0U, // WFPSODB
   10633             :     0U, // WFPSOSB
   10634             :     0U, // WFPSOXB
   10635             :     0U, // WFSDB
   10636             :     0U, // WFSQDB
   10637             :     0U, // WFSQSB
   10638             :     0U, // WFSQXB
   10639             :     0U, // WFSSB
   10640             :     0U, // WFSXB
   10641             :     0U, // WFTCIDB
   10642             :     0U, // WFTCISB
   10643             :     0U, // WFTCIXB
   10644             :     0U, // WLDEB
   10645             :     0U, // WLEDB
   10646             :     0U, // X
   10647             :     0U, // XC
   10648             :     0U, // XG
   10649             :     0U, // XGR
   10650             :     0U, // XGRK
   10651             :     0U, // XI
   10652             :     0U, // XIHF
   10653             :     0U, // XILF
   10654             :     0U, // XIY
   10655             :     0U, // XR
   10656             :     0U, // XRK
   10657             :     0U, // XSCH
   10658             :     0U, // XY
   10659             :     0U, // ZAP
   10660             :   };
   10661             : 
   10662       69489 :   O << "\t";
   10663             : 
   10664             :   // Emit the opcode for the instruction.
   10665             :   uint64_t Bits = 0;
   10666       69489 :   Bits |= (uint64_t)OpInfo0[MI->getOpcode()] << 0;
   10667       69489 :   Bits |= (uint64_t)OpInfo1[MI->getOpcode()] << 32;
   10668       69489 :   Bits |= (uint64_t)OpInfo2[MI->getOpcode()] << 48;
   10669             :   assert(Bits != 0 && "Cannot print this instruction.");
   10670       69489 :   O << AsmStrs+(Bits & 16383)-1;
   10671             : 
   10672             : 
   10673             :   // Fragment 0 encoded into 5 bits for 18 unique commands.
   10674       69489 :   switch ((Bits >> 14) & 31) {
   10675           0 :   default: llvm_unreachable("Invalid command number.");
   10676             :   case 0:
   10677             :     // DBG_VALUE, DBG_LABEL, BUNDLE, LIFETIME_START, LIFETIME_END, FENTRY_CAL...
   10678             :     return;
   10679             :     break;
   10680       63056 :   case 1:
   10681             :     // A, AD, ADB, ADBR, ADR, ADTR, ADTRA, AE, AEB, AEBR, AER, AFI, AG, AGF, ...
   10682       63056 :     printOperand(MI, 0, O);
   10683       63056 :     break;
   10684        2322 :   case 2:
   10685             :     // AGSI, ALGSI, ALSI, ASI, CFC, CGHSI, CHHSI, CHSI, CLFHSI, CLGHSI, CLHHS...
   10686        2322 :     printBDAddrOperand(MI, 0, O);
   10687        2322 :     break;
   10688         981 :   case 3:
   10689             :     // AP, CLC, CP, DP, ED, EDMK, MP, MVC, MVCIN, MVN, MVO, MVZ, NC, OC, PACK...
   10690         981 :     printBDLAddrOperand(MI, 0, O);
   10691         981 :     break;
   10692         110 :   case 4:
   10693             :     // B, BAsmE, BAsmH, BAsmHE, BAsmL, BAsmLE, BAsmLH, BAsmM, BAsmNE, BAsmNH,...
   10694         110 :     printBDXAddrOperand(MI, 0, O);
   10695         110 :     return;
   10696             :     break;
   10697        1549 :   case 5:
   10698             :     // BC, BCR, BIC, BRC, BRCL
   10699        1549 :     printCond4Operand(MI, 1, O);
   10700        1549 :     break;
   10701         391 :   case 6:
   10702             :     // BCAsm, BCRAsm, BICAsm, BPP, BPRP, BRCAsm, BRCLAsm, NIAI, PFD, PFDRL
   10703         391 :     printU4ImmOperand(MI, 0, O);
   10704         391 :     O << ", ";
   10705         391 :     break;
   10706         373 :   case 7:
   10707             :     // CGIB, CGIJ, CGIT, CGRB, CGRJ, CGRT, CIB, CIJ, CIT, CLFIT, CLGIB, CLGIJ...
   10708         373 :     printCond4Operand(MI, 2, O);
   10709         373 :     O << "\t";
   10710         373 :     printOperand(MI, 0, O);
   10711         373 :     O << ", ";
   10712         373 :     break;
   10713           2 :   case 8:
   10714             :     // CLGT, CLT
   10715           2 :     printCond4Operand(MI, 3, O);
   10716           2 :     O << "\t";
   10717           2 :     printOperand(MI, 0, O);
   10718           2 :     O << ", ";
   10719           2 :     printBDAddrOperand(MI, 1, O);
   10720           2 :     return;
   10721             :     break;
   10722           0 :   case 9:
   10723             :     // InsnE, InsnRR
   10724           0 :     printU16ImmOperand(MI, 0, O);
   10725           0 :     break;
   10726           0 :   case 10:
   10727             :     // InsnRI, InsnRRE, InsnRRF, InsnRS, InsnRX, InsnS, InsnSI
   10728           0 :     printU32ImmOperand(MI, 0, O);
   10729             :     O << ',';
   10730             :     break;
   10731           0 :   case 11:
   10732             :     // InsnRIE, InsnRIL, InsnRILU, InsnRIS, InsnRRS, InsnRSE, InsnRSI, InsnRS...
   10733           0 :     printU48ImmOperand(MI, 0, O);
   10734             :     O << ',';
   10735             :     break;
   10736         291 :   case 12:
   10737             :     // J, JAsmE, JAsmH, JAsmHE, JAsmL, JAsmLE, JAsmLH, JAsmM, JAsmNE, JAsmNH,...
   10738         291 :     printPCRelOperand(MI, 0, O);
   10739         291 :     return;
   10740             :     break;
   10741          58 :   case 13:
   10742             :     // KIMD, KLMD, KMAC, PFMF, TRTE, TRTEOpt, TRTRE, TRTREOpt
   10743          58 :     printOperand(MI, 1, O);
   10744          58 :     O << ", ";
   10745          58 :     printOperand(MI, 0, O);
   10746          58 :     break;
   10747          35 :   case 14:
   10748             :     // LOC, LOCFH, LOCG
   10749          35 :     printCond4Operand(MI, 5, O);
   10750          35 :     O << "\t";
   10751          35 :     printOperand(MI, 0, O);
   10752          35 :     O << ", ";
   10753          35 :     printBDAddrOperand(MI, 2, O);
   10754          35 :     return;
   10755             :     break;
   10756         187 :   case 15:
   10757             :     // LOCFHR, LOCGHI, LOCGR, LOCHHI, LOCHI, LOCR, STOC, STOCFH, STOCG
   10758         187 :     printCond4Operand(MI, 4, O);
   10759         187 :     O << "\t";
   10760         187 :     printOperand(MI, 0, O);
   10761         187 :     O << ", ";
   10762         187 :     break;
   10763          73 :   case 16:
   10764             :     // MVCK, MVCP, MVCS
   10765          73 :     printBDRAddrOperand(MI, 0, O);
   10766          73 :     O << ", ";
   10767          73 :     printBDAddrOperand(MI, 3, O);
   10768          73 :     O << ", ";
   10769          73 :     printOperand(MI, 5, O);
   10770          73 :     return;
   10771             :     break;
   10772           8 :   case 17:
   10773             :     // SVC
   10774           8 :     printU8ImmOperand(MI, 0, O);
   10775           8 :     return;
   10776             :     break;
   10777             :   }
   10778             : 
   10779             : 
   10780             :   // Fragment 1 encoded into 5 bits for 17 unique commands.
   10781       68917 :   switch ((Bits >> 19) & 31) {
   10782           0 :   default: llvm_unreachable("Invalid command number.");
   10783       57498 :   case 0:
   10784             :     // A, AD, ADB, ADBR, ADR, ADTR, ADTRA, AE, AEB, AEBR, AER, AFI, AG, AGF, ...
   10785       57498 :     O << ", ";
   10786       57498 :     break;
   10787         934 :   case 1:
   10788             :     // BC, BIC, BRC, BRCL
   10789         934 :     O << "\t";
   10790         934 :     break;
   10791         129 :   case 2:
   10792             :     // BCAsm, BICAsm, PFD
   10793         129 :     printBDXAddrOperand(MI, 1, O);
   10794         129 :     return;
   10795             :     break;
   10796         615 :   case 3:
   10797             :     // BCR
   10798         615 :     O << "r\t";
   10799         615 :     printOperand(MI, 2, O);
   10800         615 :     return;
   10801             :     break;
   10802         224 :   case 4:
   10803             :     // BCRAsm, CGRB, CGRJ, CGRT, CLGRB, CLGRJ, CLGRT, CLRB, CLRJ, CLRT, CRB, ...
   10804         224 :     printOperand(MI, 1, O);
   10805         224 :     break;
   10806         202 :   case 5:
   10807             :     // BPP, BPRP, BRCAsm, BRCLAsm, PFDRL
   10808         202 :     printPCRelOperand(MI, 1, O);
   10809         202 :     break;
   10810             :   case 6:
   10811             :     // BR, BRAsmE, BRAsmH, BRAsmHE, BRAsmL, BRAsmLE, BRAsmLH, BRAsmM, BRAsmNE...
   10812             :     return;
   10813             :     break;
   10814         177 :   case 7:
   10815             :     // CGIB, CGIJ, CIB, CIJ
   10816         177 :     printS8ImmOperand(MI, 1, O);
   10817         177 :     O << ", ";
   10818         177 :     break;
   10819           2 :   case 8:
   10820             :     // CGIT, CIT
   10821           2 :     printS16ImmOperand(MI, 1, O);
   10822           2 :     return;
   10823             :     break;
   10824           2 :   case 9:
   10825             :     // CLFIT, CLGIT
   10826           2 :     printU16ImmOperand(MI, 1, O);
   10827           2 :     return;
   10828             :     break;
   10829          16 :   case 10:
   10830             :     // CLGIB, CLGIJ, CLIB, CLIJ
   10831          16 :     printU8ImmOperand(MI, 1, O);
   10832          16 :     O << ", ";
   10833          16 :     break;
   10834             :   case 11:
   10835             :     // InsnRR
   10836             :     O << ',';
   10837           0 :     printOperand(MI, 1, O);
   10838             :     O << ',';
   10839           0 :     printOperand(MI, 2, O);
   10840           0 :     return;
   10841             :     break;
   10842          39 :   case 12:
   10843             :     // InsnS, InsnSI, InsnSIL, InsnSIY, InsnSSE, InsnSSF, STOC, STOCFH, STOCG
   10844          39 :     printBDAddrOperand(MI, 1, O);
   10845          39 :     break;
   10846           0 :   case 13:
   10847             :     // InsnSS
   10848           0 :     printBDRAddrOperand(MI, 1, O);
   10849             :     O << ',';
   10850           0 :     printBDAddrOperand(MI, 4, O);
   10851             :     O << ',';
   10852           0 :     printOperand(MI, 6, O);
   10853           0 :     return;
   10854             :     break;
   10855          69 :   case 14:
   10856             :     // LOCFHR, LOCGR, LOCR
   10857          69 :     printOperand(MI, 2, O);
   10858          69 :     return;
   10859             :     break;
   10860          79 :   case 15:
   10861             :     // LOCGHI, LOCHHI, LOCHI
   10862          79 :     printS16ImmOperand(MI, 2, O);
   10863          79 :     return;
   10864             :     break;
   10865          12 :   case 16:
   10866             :     // NIAI
   10867          12 :     printU4ImmOperand(MI, 1, O);
   10868          12 :     return;
   10869             :     break;
   10870             :   }
   10871             : 
   10872             : 
   10873             :   // Fragment 2 encoded into 6 bits for 34 unique commands.
   10874       59090 :   switch ((Bits >> 24) & 63) {
   10875           0 :   default: llvm_unreachable("Invalid command number.");
   10876        2952 :   case 0:
   10877             :     // A, AD, ADB, AE, AEB, AG, AGF, AGH, AH, AHY, AL, ALC, ALCG, ALG, ALGF, ...
   10878        2952 :     printBDXAddrOperand(MI, 2, O);
   10879        2952 :     break;
   10880        5461 :   case 1:
   10881             :     // ADBR, ADR, AEBR, AER, AGFR, AGR, ALCGR, ALCR, ALGFR, ALGR, ALR, AR, AU...
   10882        5461 :     printOperand(MI, 2, O);
   10883        5461 :     break;
   10884       22514 :   case 2:
   10885             :     // ADTR, ADTRA, AGHIK, AGRK, AHHHR, AHHLR, AHIK, ALGHSIK, ALGRK, ALHHHR, ...
   10886       22514 :     printOperand(MI, 1, O);
   10887       22514 :     break;
   10888        1345 :   case 3:
   10889             :     // AFI, AGFI, AIH, ALSIH, ALSIHN, MSFI, MSGFI
   10890        1345 :     printS32ImmOperand(MI, 2, O);
   10891        1345 :     return;
   10892             :     break;
   10893        1817 :   case 4:
   10894             :     // AGHI, AHI, CGHSI, CHHSI, CHSI, LOCGHIAsm, LOCGHIAsmE, LOCGHIAsmH, LOCG...
   10895        1817 :     printS16ImmOperand(MI, 2, O);
   10896        1817 :     break;
   10897         282 :   case 5:
   10898             :     // AGSI, ALGSI, ALSI, ASI
   10899         282 :     printS8ImmOperand(MI, 2, O);
   10900         282 :     return;
   10901             :     break;
   10902         411 :   case 6:
   10903             :     // ALFI, ALGFI, NIHF, NILF, OIHF, OILF, SLFI, SLGFI, XIHF, XILF
   10904         411 :     printU32ImmOperand(MI, 2, O);
   10905         411 :     return;
   10906             :     break;
   10907         252 :   case 7:
   10908             :     // AP, CP, DP, MP, MVO, PACK, SP, UNPK, ZAP
   10909         252 :     printBDLAddrOperand(MI, 3, O);
   10910         252 :     return;
   10911             :     break;
   10912       12469 :   case 8:
   10913             :     // BAL, BAS, C, CD, CDB, CE, CEB, CG, CGF, CGH, CH, CHF, CHY, CL, CLG, CL...
   10914       12469 :     printBDXAddrOperand(MI, 1, O);
   10915       12469 :     break;
   10916             :   case 9:
   10917             :     // BCRAsm, BRCAsm, BRCLAsm, CGRT, CLGRT, CLRT, CRT, InsnS, PFDRL, STOC, S...
   10918             :     return;
   10919             :     break;
   10920         246 :   case 10:
   10921             :     // BPP, BPRP, CGRB, CGRJ, CLGRB, CLGRJ, CLRB, CLRJ, CRB, CRJ
   10922         246 :     O << ", ";
   10923         246 :     break;
   10924         491 :   case 11:
   10925             :     // BRAS, BRASL
   10926         491 :     printPCRelTLSOperand(MI, 1, O);
   10927         491 :     return;
   10928             :     break;
   10929         996 :   case 12:
   10930             :     // BRC, BRCL, BRCT, BRCTG, BRCTH
   10931         996 :     printPCRelOperand(MI, 2, O);
   10932         996 :     return;
   10933             :     break;
   10934        1279 :   case 13:
   10935             :     // CDFBRA, CDFTR, CDGBRA, CDGTRA, CDLFBR, CDLFTR, CDLGBR, CDLGTR, CEFBRA,...
   10936        1279 :     printU4ImmOperand(MI, 1, O);
   10937        1279 :     O << ", ";
   10938        1279 :     break;
   10939         216 :   case 14:
   10940             :     // CDPT, CDZT, CPDT, CPXT, CXPT, CXZT, CZDT, CZXT
   10941         216 :     printBDLAddrOperand(MI, 1, O);
   10942         216 :     O << ", ";
   10943         216 :     printU4ImmOperand(MI, 4, O);
   10944         216 :     return;
   10945             :     break;
   10946         113 :   case 15:
   10947             :     // CFI, CGFI, CIH, LGFI
   10948         113 :     printS32ImmOperand(MI, 1, O);
   10949         113 :     return;
   10950             :     break;
   10951        1238 :   case 16:
   10952             :     // CGFRL, CGHRL, CGRL, CHRL, CLGFRL, CLGHRL, CLGRL, CLHRL, CLRL, CRL, EXR...
   10953        1238 :     printPCRelOperand(MI, 1, O);
   10954        1238 :     return;
   10955             :     break;
   10956        1350 :   case 17:
   10957             :     // CGHI, CGITAsm, CGITAsmE, CGITAsmH, CGITAsmHE, CGITAsmL, CGITAsmLE, CGI...
   10958        1350 :     printS16ImmOperand(MI, 1, O);
   10959        1350 :     break;
   10960         760 :   case 18:
   10961             :     // CGIB, CIB, CLC, CLGIB, CLIB, ED, EDMK, MVC, MVCIN, MVN, MVZ, NC, OC, S...
   10962         760 :     printBDAddrOperand(MI, 3, O);
   10963         760 :     break;
   10964         295 :   case 19:
   10965             :     // CGIBAsm, CGIBAsmE, CGIBAsmH, CGIBAsmHE, CGIBAsmL, CGIBAsmLE, CGIBAsmLH...
   10966         295 :     printS8ImmOperand(MI, 1, O);
   10967         295 :     O << ", ";
   10968         295 :     break;
   10969         148 :   case 20:
   10970             :     // CGIJ, CIJ, CLGIJ, CLIJ
   10971         148 :     printPCRelOperand(MI, 3, O);
   10972         148 :     return;
   10973             :     break;
   10974         560 :   case 21:
   10975             :     // CLFHSI, CLGHSI, CLHHSI, IIHH, IIHL, IILH, IILL, NIHH, NIHL, NILH, NILL...
   10976         560 :     printU16ImmOperand(MI, 2, O);
   10977         560 :     return;
   10978             :     break;
   10979         383 :   case 22:
   10980             :     // CLFI, CLGFI, CLIH, IIHF, IILF, LLIHF, LLILF
   10981         383 :     printU32ImmOperand(MI, 1, O);
   10982         383 :     return;
   10983             :     break;
   10984         547 :   case 23:
   10985             :     // CLFITAsm, CLFITAsmE, CLFITAsmH, CLFITAsmHE, CLFITAsmL, CLFITAsmLE, CLF...
   10986         547 :     printU16ImmOperand(MI, 1, O);
   10987         547 :     break;
   10988         462 :   case 24:
   10989             :     // CLGIBAsm, CLGIBAsmE, CLGIBAsmH, CLGIBAsmHE, CLGIBAsmL, CLGIBAsmLE, CLG...
   10990         462 :     printU8ImmOperand(MI, 1, O);
   10991         462 :     O << ", ";
   10992         462 :     break;
   10993          44 :   case 25:
   10994             :     // CLGTAsm, CLTAsm, TRTE, TRTRE
   10995          44 :     printU4ImmOperand(MI, 3, O);
   10996          44 :     break;
   10997         417 :   case 26:
   10998             :     // CLGTAsmE, CLGTAsmH, CLGTAsmHE, CLGTAsmL, CLGTAsmLE, CLGTAsmLH, CLGTAsm...
   10999         417 :     printBDAddrOperand(MI, 1, O);
   11000         417 :     break;
   11001         528 :   case 27:
   11002             :     // CLI, CLIY, MC, MVI, MVIY, NI, NIY, OI, OIY, STNSM, STOSM, TM, TMY, XI,...
   11003         528 :     printU8ImmOperand(MI, 2, O);
   11004         528 :     return;
   11005             :     break;
   11006        1083 :   case 28:
   11007             :     // CSST, ECTG, LASP, LOCAsm, LOCAsmE, LOCAsmH, LOCAsmHE, LOCAsmL, LOCAsmL...
   11008        1083 :     printBDAddrOperand(MI, 2, O);
   11009        1083 :     break;
   11010          54 :   case 29:
   11011             :     // ICM, ICMH, ICMY
   11012          54 :     printU4ImmOperand(MI, 2, O);
   11013          54 :     O << ", ";
   11014          54 :     printBDAddrOperand(MI, 3, O);
   11015          54 :     return;
   11016             :     break;
   11017             :   case 30:
   11018             :     // InsnRI, InsnRIE, InsnRIL, InsnRILU, InsnRIS, InsnRRE, InsnRRF, InsnRRS...
   11019             :     O << ',';
   11020             :     break;
   11021          48 :   case 31:
   11022             :     // PKA, PKU
   11023          48 :     printBDLAddrOperand(MI, 2, O);
   11024          48 :     return;
   11025             :     break;
   11026          55 :   case 32:
   11027             :     // VGEF, VGEG
   11028          55 :     printBDVAddrOperand(MI, 2, O);
   11029          55 :     O << ", ";
   11030          55 :     break;
   11031          55 :   case 33:
   11032             :     // VSCEF, VSCEG
   11033          55 :     printBDVAddrOperand(MI, 1, O);
   11034          55 :     O << ", ";
   11035          55 :     break;
   11036             :   }
   11037             : 
   11038             : 
   11039             :   // Fragment 3 encoded into 5 bits for 20 unique commands.
   11040       51806 :   switch ((Bits >> 30) & 31) {
   11041           0 :   default: llvm_unreachable("Invalid command number.");
   11042             :   case 0:
   11043             :     // A, AD, ADB, ADBR, ADR, AE, AEB, AEBR, AER, AG, AGF, AGFR, AGH, AGHI, A...
   11044             :     return;
   11045             :     break;
   11046       19769 :   case 1:
   11047             :     // ADTR, ADTRA, AGHIK, AGRK, AHHHR, AHHLR, AHIK, ALGHSIK, ALGRK, ALHHHR, ...
   11048       19769 :     O << ", ";
   11049       19769 :     break;
   11050          28 :   case 2:
   11051             :     // BPP, InsnRX, InsnRXE, InsnRXY
   11052          28 :     printBDXAddrOperand(MI, 2, O);
   11053          28 :     return;
   11054             :     break;
   11055         214 :   case 3:
   11056             :     // BPRP, CGIJAsmE, CGIJAsmH, CGIJAsmHE, CGIJAsmL, CGIJAsmLE, CGIJAsmLH, C...
   11057         214 :     printPCRelOperand(MI, 2, O);
   11058         214 :     return;
   11059             :     break;
   11060        1171 :   case 4:
   11061             :     // CDFBRA, CDFTR, CDGBRA, CDGTRA, CDLFBR, CDLFTR, CDLGBR, CDLGTR, CEFBRA,...
   11062        1171 :     printOperand(MI, 2, O);
   11063        1171 :     break;
   11064         312 :   case 5:
   11065             :     // CGIBAsm, CGIJAsm, CIBAsm, CIJAsm, CLGIBAsm, CLGIJAsm, CLIBAsm, CLIJAsm
   11066         312 :     printU4ImmOperand(MI, 2, O);
   11067         312 :     O << ", ";
   11068         312 :     break;
   11069         213 :   case 6:
   11070             :     // CGIBAsmE, CGIBAsmH, CGIBAsmHE, CGIBAsmL, CGIBAsmLE, CGIBAsmLH, CGIBAsm...
   11071         213 :     printBDAddrOperand(MI, 2, O);
   11072         213 :     return;
   11073             :     break;
   11074          32 :   case 7:
   11075             :     // CGRB, CLGRB, CLRB, CRB, InsnSSE, InsnSSF
   11076          32 :     printBDAddrOperand(MI, 3, O);
   11077          32 :     break;
   11078         140 :   case 8:
   11079             :     // CGRJ, CLGRJ, CLRJ, CRJ
   11080         140 :     printPCRelOperand(MI, 3, O);
   11081         140 :     return;
   11082             :     break;
   11083           0 :   case 9:
   11084             :     // InsnRI
   11085           0 :     printS16ImmOperand(MI, 2, O);
   11086           0 :     return;
   11087             :     break;
   11088           0 :   case 10:
   11089             :     // InsnRILU
   11090           0 :     printU32ImmOperand(MI, 2, O);
   11091           0 :     return;
   11092             :     break;
   11093           0 :   case 11:
   11094             :     // InsnRIS
   11095           0 :     printS8ImmOperand(MI, 2, O);
   11096             :     O << ',';
   11097           0 :     printU4ImmOperand(MI, 3, O);
   11098             :     O << ',';
   11099           0 :     printBDAddrOperand(MI, 4, O);
   11100           0 :     return;
   11101             :     break;
   11102           0 :   case 12:
   11103             :     // InsnSI
   11104           0 :     printS8ImmOperand(MI, 3, O);
   11105           0 :     return;
   11106             :     break;
   11107           0 :   case 13:
   11108             :     // InsnSIL
   11109           0 :     printU16ImmOperand(MI, 3, O);
   11110           0 :     return;
   11111             :     break;
   11112           0 :   case 14:
   11113             :     // InsnSIY
   11114           0 :     printU8ImmOperand(MI, 3, O);
   11115           0 :     return;
   11116             :     break;
   11117          28 :   case 15:
   11118             :     // VGEF
   11119          28 :     printU2ImmOperand(MI, 5, O);
   11120          28 :     return;
   11121             :     break;
   11122          27 :   case 16:
   11123             :     // VGEG
   11124          27 :     printU1ImmOperand(MI, 5, O);
   11125          27 :     return;
   11126             :     break;
   11127         172 :   case 17:
   11128             :     // VGM, VGMB, VGMF, VGMG, VGMH
   11129         172 :     printU8ImmOperand(MI, 2, O);
   11130         172 :     break;
   11131          28 :   case 18:
   11132             :     // VSCEF
   11133          28 :     printU2ImmOperand(MI, 4, O);
   11134          28 :     return;
   11135             :     break;
   11136          27 :   case 19:
   11137             :     // VSCEG
   11138          27 :     printU1ImmOperand(MI, 4, O);
   11139          27 :     return;
   11140             :     break;
   11141             :   }
   11142             : 
   11143             : 
   11144             :   // Fragment 4 encoded into 6 bits for 33 unique commands.
   11145       21456 :   switch ((Bits >> 35) & 63) {
   11146           0 :   default: llvm_unreachable("Invalid command number.");
   11147        8745 :   case 0:
   11148             :     // ADTR, ADTRA, AGRK, AHHHR, AHHLR, ALGRK, ALHHHR, ALHHLR, ALRK, ARK, AXT...
   11149        8745 :     printOperand(MI, 2, O);
   11150        8745 :     break;
   11151         127 :   case 1:
   11152             :     // AGHIK, AHIK, ALGHSIK, ALHSIK
   11153         127 :     printS16ImmOperand(MI, 2, O);
   11154         127 :     return;
   11155             :     break;
   11156         254 :   case 2:
   11157             :     // BRXH, BRXHG, BRXLE, BRXLG, CGIJAsm, CIJAsm, CLGIJAsm, CLIJAsm
   11158         254 :     printPCRelOperand(MI, 3, O);
   11159         254 :     return;
   11160             :     break;
   11161        1100 :   case 3:
   11162             :     // BXH, BXHG, BXLE, BXLEG, CDS, CDSG, CDSY, CGIBAsm, CIBAsm, CLGIBAsm, CL...
   11163        1100 :     printBDAddrOperand(MI, 3, O);
   11164        1100 :     break;
   11165         963 :   case 4:
   11166             :     // CDFBRA, CDFTR, CDGBRA, CDGTRA, CDLFBR, CDLFTR, CDLGBR, CDLGTR, CEFBRA,...
   11167         963 :     O << ", ";
   11168         963 :     printU4ImmOperand(MI, 3, O);
   11169         963 :     return;
   11170             :     break;
   11171             :   case 5:
   11172             :     // CFDBR, CFDR, CFEBR, CFER, CFXBR, CFXR, CGDBR, CGDR, CGDTR, CGEBR, CGER...
   11173             :     return;
   11174             :     break;
   11175        1492 :   case 6:
   11176             :     // CGITAsm, CGRBAsm, CGRJAsm, CGRTAsm, CITAsm, CLFITAsm, CLGITAsm, CLGRBA...
   11177        1492 :     printU4ImmOperand(MI, 2, O);
   11178        1492 :     break;
   11179        4471 :   case 7:
   11180             :     // CGRBAsmE, CGRBAsmH, CGRBAsmHE, CGRBAsmL, CGRBAsmLE, CGRBAsmLH, CGRBAsm...
   11181        4471 :     printBDAddrOperand(MI, 2, O);
   11182        4471 :     break;
   11183         168 :   case 8:
   11184             :     // CGRJAsmE, CGRJAsmH, CGRJAsmHE, CGRJAsmL, CGRJAsmLE, CGRJAsmLH, CGRJAsm...
   11185         168 :     printPCRelOperand(MI, 2, O);
   11186         168 :     return;
   11187             :     break;
   11188          68 :   case 9:
   11189             :     // CLCLE, CLCLU, MVCLE, MVCLU
   11190          68 :     printBDAddrOperand(MI, 4, O);
   11191          68 :     return;
   11192             :     break;
   11193          36 :   case 10:
   11194             :     // CLGTAsm, CLTAsm
   11195          36 :     printBDAddrOperand(MI, 1, O);
   11196          36 :     return;
   11197             :     break;
   11198         101 :   case 11:
   11199             :     // CPSDRdd, CPSDRds, CPSDRsd, CPSDRss, CRDTE, CRDTEOpt, IDTE, IDTEOpt, IE...
   11200         101 :     printOperand(MI, 1, O);
   11201         101 :     break;
   11202          39 :   case 12:
   11203             :     // CSST, ECTG, MVCOS
   11204          39 :     printOperand(MI, 4, O);
   11205          39 :     return;
   11206             :     break;
   11207         211 :   case 13:
   11208             :     // CU12, CU14, CU21, CU24, CUTFU, CUUTF, LCBB, LOCAsm, LOCFHAsm, LOCGAsm,...
   11209         211 :     printU4ImmOperand(MI, 4, O);
   11210         211 :     return;
   11211             :     break;
   11212         315 :   case 14:
   11213             :     // DIDBR, DIEBR, LPTEA, MADBR, MADR, MAEBR, MAER, MAYHR, MAYLR, MAYR, MSD...
   11214         315 :     printOperand(MI, 3, O);
   11215         315 :     break;
   11216             :   case 15:
   11217             :     // InsnRIE, InsnRRF, InsnRRS, InsnRS, InsnRSE, InsnRSI, InsnRSY, InsnRXF,...
   11218             :     O << ',';
   11219             :     break;
   11220         118 :   case 16:
   11221             :     // LOCFHRAsm, LOCGHIAsm, LOCGRAsm, LOCHHIAsm, LOCHIAsm, LOCRAsm, STOCAsm,...
   11222         118 :     printU4ImmOperand(MI, 3, O);
   11223         118 :     return;
   11224             :     break;
   11225         255 :   case 17:
   11226             :     // MAD, MADB, MAE, MAEB, MAY, MAYH, MAYL, MSD, MSDB, MSE, MSEB
   11227         255 :     printBDXAddrOperand(MI, 3, O);
   11228         255 :     return;
   11229             :     break;
   11230         126 :   case 18:
   11231             :     // MY, MYH, MYL, SLDT, SLXT, SRDT, SRXT
   11232         126 :     printBDXAddrOperand(MI, 2, O);
   11233         126 :     return;
   11234             :     break;
   11235        1708 :   case 19:
   11236             :     // RISBG, RISBG32, RISBGN, RISBHG, RISBLG, RNSBG, ROSBG, RXSBG, VLRL, VPK...
   11237        1708 :     printU8ImmOperand(MI, 3, O);
   11238        1708 :     break;
   11239          49 :   case 20:
   11240             :     // SRP, VLEB
   11241          49 :     printU4ImmOperand(MI, 5, O);
   11242          49 :     return;
   11243             :     break;
   11244          84 :   case 21:
   11245             :     // VCVD, VCVDG, VPSOP, VSRP
   11246          84 :     printU8ImmOperand(MI, 2, O);
   11247          84 :     O << ", ";
   11248          84 :     break;
   11249         127 :   case 22:
   11250             :     // VFTCI, VFTCIDB, VFTCISB, WFTCIDB, WFTCISB, WFTCIXB
   11251         127 :     printU12ImmOperand(MI, 2, O);
   11252         127 :     break;
   11253          39 :   case 23:
   11254             :     // VLEF
   11255          39 :     printU2ImmOperand(MI, 5, O);
   11256          39 :     return;
   11257             :     break;
   11258          27 :   case 24:
   11259             :     // VLEG
   11260          27 :     printU1ImmOperand(MI, 5, O);
   11261          27 :     return;
   11262             :     break;
   11263          23 :   case 25:
   11264             :     // VLEH
   11265          23 :     printU3ImmOperand(MI, 5, O);
   11266          23 :     return;
   11267             :     break;
   11268          23 :   case 26:
   11269             :     // VLEIF
   11270          23 :     printU2ImmOperand(MI, 3, O);
   11271          23 :     return;
   11272             :     break;
   11273          26 :   case 27:
   11274             :     // VLEIG
   11275          26 :     printU1ImmOperand(MI, 3, O);
   11276          26 :     return;
   11277             :     break;
   11278          22 :   case 28:
   11279             :     // VLEIH
   11280          22 :     printU3ImmOperand(MI, 3, O);
   11281          22 :     return;
   11282             :     break;
   11283         150 :   case 29:
   11284             :     // VREP, VREPB, VREPF, VREPG, VREPH
   11285         150 :     printU16ImmOperand(MI, 2, O);
   11286         150 :     break;
   11287          40 :   case 30:
   11288             :     // VSTEF
   11289          40 :     printU2ImmOperand(MI, 4, O);
   11290          40 :     return;
   11291             :     break;
   11292         112 :   case 31:
   11293             :     // VSTEG
   11294         112 :     printU1ImmOperand(MI, 4, O);
   11295         112 :     return;
   11296             :     break;
   11297          25 :   case 32:
   11298             :     // VSTEH
   11299          25 :     printU3ImmOperand(MI, 4, O);
   11300          25 :     return;
   11301             :     break;
   11302             :   }
   11303             : 
   11304             : 
   11305             :   // Fragment 5 encoded into 4 bits for 9 unique commands.
   11306       18293 :   switch ((Bits >> 41) & 15) {
   11307           0 :   default: llvm_unreachable("Invalid command number.");
   11308             :   case 0:
   11309             :     // ADTR, AGRK, AHHHR, AHHLR, ALGRK, ALHHHR, ALHHLR, ALRK, ARK, AXTR, BXH,...
   11310             :     return;
   11311             :     break;
   11312        6309 :   case 1:
   11313             :     // ADTRA, AXTRA, CGRBAsm, CGRJAsm, CLGRBAsm, CLGRJAsm, CLRBAsm, CLRJAsm, ...
   11314        6309 :     O << ", ";
   11315        6309 :     break;
   11316           0 :   case 2:
   11317             :     // InsnRIE, InsnRSI
   11318           0 :     printPCRelOperand(MI, 3, O);
   11319           0 :     return;
   11320             :     break;
   11321           0 :   case 3:
   11322             :     // InsnRRF
   11323           0 :     printOperand(MI, 3, O);
   11324             :     O << ',';
   11325           0 :     printU4ImmOperand(MI, 4, O);
   11326           0 :     return;
   11327             :     break;
   11328          42 :   case 4:
   11329             :     // InsnRRS, VCVD, VCVDG
   11330          42 :     printU4ImmOperand(MI, 3, O);
   11331          42 :     break;
   11332           0 :   case 5:
   11333             :     // InsnRS, InsnRSE, InsnRSY
   11334           0 :     printBDAddrOperand(MI, 3, O);
   11335           0 :     return;
   11336             :     break;
   11337           0 :   case 6:
   11338             :     // InsnRXF
   11339           0 :     printBDXAddrOperand(MI, 3, O);
   11340           0 :     return;
   11341             :     break;
   11342           0 :   case 7:
   11343             :     // InsnSSF
   11344           0 :     printOperand(MI, 5, O);
   11345           0 :     return;
   11346             :     break;
   11347          42 :   case 8:
   11348             :     // VPSOP, VSRP
   11349          42 :     printU8ImmOperand(MI, 3, O);
   11350          42 :     O << ", ";
   11351          42 :     printU4ImmOperand(MI, 4, O);
   11352          42 :     return;
   11353             :     break;
   11354             :   }
   11355             : 
   11356             : 
   11357             :   // Fragment 6 encoded into 4 bits for 11 unique commands.
   11358        6351 :   switch ((Bits >> 45) & 15) {
   11359           0 :   default: llvm_unreachable("Invalid command number.");
   11360        2189 :   case 0:
   11361             :     // ADTRA, AXTRA, CRDTE, DDTRA, DXTRA, IDTE, IPTE, MDTRA, MXTRA, SDTRA, SX...
   11362        2189 :     printU4ImmOperand(MI, 3, O);
   11363        2189 :     break;
   11364         124 :   case 1:
   11365             :     // CGRBAsm, CLGRBAsm, CLRBAsm, CRBAsm
   11366         124 :     printBDAddrOperand(MI, 3, O);
   11367         124 :     return;
   11368             :     break;
   11369         170 :   case 2:
   11370             :     // CGRJAsm, CLGRJAsm, CLRJAsm, CRJAsm
   11371         170 :     printPCRelOperand(MI, 3, O);
   11372         170 :     return;
   11373             :     break;
   11374         171 :   case 3:
   11375             :     // DIDBR, DIEBR, LPTEA, QADTR, QAXTR, RRDTR, RRXTR, VERLL, VESL, VESRA, V...
   11376         171 :     printU4ImmOperand(MI, 4, O);
   11377         171 :     return;
   11378             :     break;
   11379             :   case 4:
   11380             :     // InsnRRS
   11381             :     O << ',';
   11382           0 :     printBDAddrOperand(MI, 4, O);
   11383           0 :     return;
   11384             :     break;
   11385          29 :   case 5:
   11386             :     // LMD, PLO
   11387          29 :     printBDAddrOperand(MI, 4, O);
   11388          29 :     return;
   11389             :     break;
   11390        1698 :   case 6:
   11391             :     // RISBG, RISBG32, RISBGN, RISBHG, RISBLG, RNSBG, ROSBG, RXSBG, VERIM, VE...
   11392        1698 :     printU8ImmOperand(MI, 4, O);
   11393        1698 :     break;
   11394        1731 :   case 7:
   11395             :     // VAC, VACCC, VACCCQ, VACQ, VFMA, VFMADB, VFMASB, VFMS, VFMSDB, VFMSSB, ...
   11396        1731 :     printOperand(MI, 3, O);
   11397        1731 :     break;
   11398         178 :   case 8:
   11399             :     // VAP, VDP, VMP, VMSP, VRP, VSDP, VSLDB, VSP
   11400         178 :     printU8ImmOperand(MI, 3, O);
   11401         178 :     break;
   11402             :   case 9:
   11403             :     // VCVD, VCVDG
   11404             :     return;
   11405             :     break;
   11406          19 :   case 10:
   11407             :     // VLVG
   11408          19 :     printU4ImmOperand(MI, 5, O);
   11409          19 :     return;
   11410             :     break;
   11411             :   }
   11412             : 
   11413             : 
   11414             :   // Fragment 7 encoded into 1 bits for 2 unique commands.
   11415        5796 :   if ((Bits >> 49) & 1) {
   11416             :     // RISBG, RISBG32, RISBGN, RISBHG, RISBLG, RNSBG, ROSBG, RXSBG, VAC, VACC...
   11417        2859 :     O << ", ";
   11418             :   } else {
   11419             :     // ADTRA, AXTRA, CRDTE, DDTRA, DXTRA, IDTE, IPTE, MDTRA, MXTRA, SDTRA, SX...
   11420             :     return;
   11421             :   }
   11422             : 
   11423             : 
   11424             :   // Fragment 8 encoded into 2 bits for 3 unique commands.
   11425        2859 :   switch ((Bits >> 50) & 3) {
   11426           0 :   default: llvm_unreachable("Invalid command number.");
   11427        1616 :   case 0:
   11428             :     // RISBG, RISBG32, RISBGN, RISBHG, RISBLG, RNSBG, ROSBG, RXSBG
   11429        1616 :     printU6ImmOperand(MI, 5, O);
   11430        1616 :     return;
   11431             :     break;
   11432        1226 :   case 1:
   11433             :     // VAC, VACCC, VAP, VCDG, VCDLG, VCEQ, VCGD, VCH, VCHL, VCLGD, VDP, VFA, ...
   11434        1226 :     printU4ImmOperand(MI, 4, O);
   11435             :     break;
   11436          17 :   case 2:
   11437             :     // VERIM
   11438          17 :     printU4ImmOperand(MI, 5, O);
   11439          17 :     return;
   11440             :     break;
   11441             :   }
   11442             : 
   11443             : 
   11444             :   // Fragment 9 encoded into 1 bits for 2 unique commands.
   11445        1226 :   if ((Bits >> 52) & 1) {
   11446             :     // VFCE, VFCH, VFCHE, VFMA, VFMAX, VFMIN, VFMS, VFNMA, VFNMS, VMSL, VSTRC
   11447         264 :     O << ", ";
   11448         264 :     printU4ImmOperand(MI, 5, O);
   11449         264 :     return;
   11450             :   } else {
   11451             :     // VAC, VACCC, VAP, VCDG, VCDLG, VCEQ, VCGD, VCH, VCHL, VCLGD, VDP, VFA, ...
   11452             :     return;
   11453             :   }
   11454             : 
   11455             : }
   11456             : 
   11457             : 
   11458             : /// getRegisterName - This method is automatically generated by tblgen
   11459             : /// from the register set description.  This returns the assembler name
   11460             : /// for the specified register.
   11461      131187 : const char *SystemZInstPrinter::getRegisterName(unsigned RegNo) {
   11462             :   assert(RegNo && RegNo < 194 && "Invalid register number!");
   11463             : 
   11464             :   static const char AsmStrs[] = {
   11465             :   /* 0 */ 'a', '1', '0', 0,
   11466             :   /* 4 */ 'c', '1', '0', 0,
   11467             :   /* 8 */ 'f', '1', '0', 0,
   11468             :   /* 12 */ 'r', '1', '0', 0,
   11469             :   /* 16 */ 'v', '1', '0', 0,
   11470             :   /* 20 */ 'v', '2', '0', 0,
   11471             :   /* 24 */ 'v', '3', '0', 0,
   11472             :   /* 28 */ 'a', '0', 0,
   11473             :   /* 31 */ 'c', '0', 0,
   11474             :   /* 34 */ 'f', '0', 0,
   11475             :   /* 37 */ 'r', '0', 0,
   11476             :   /* 40 */ 'v', '0', 0,
   11477             :   /* 43 */ 'a', '1', '1', 0,
   11478             :   /* 47 */ 'c', '1', '1', 0,
   11479             :   /* 51 */ 'f', '1', '1', 0,
   11480             :   /* 55 */ 'r', '1', '1', 0,
   11481             :   /* 59 */ 'v', '1', '1', 0,
   11482             :   /* 63 */ 'v', '2', '1', 0,
   11483             :   /* 67 */ 'v', '3', '1', 0,
   11484             :   /* 71 */ 'a', '1', 0,
   11485             :   /* 74 */ 'c', '1', 0,
   11486             :   /* 77 */ 'f', '1', 0,
   11487             :   /* 80 */ 'r', '1', 0,
   11488             :   /* 83 */ 'v', '1', 0,
   11489             :   /* 86 */ 'a', '1', '2', 0,
   11490             :   /* 90 */ 'c', '1', '2', 0,
   11491             :   /* 94 */ 'f', '1', '2', 0,
   11492             :   /* 98 */ 'r', '1', '2', 0,
   11493             :   /* 102 */ 'v', '1', '2', 0,
   11494             :   /* 106 */ 'v', '2', '2', 0,
   11495             :   /* 110 */ 'a', '2', 0,
   11496             :   /* 113 */ 'c', '2', 0,
   11497             :   /* 116 */ 'f', '2', 0,
   11498             :   /* 119 */ 'r', '2', 0,
   11499             :   /* 122 */ 'v', '2', 0,
   11500             :   /* 125 */ 'a', '1', '3', 0,
   11501             :   /* 129 */ 'c', '1', '3', 0,
   11502             :   /* 133 */ 'f', '1', '3', 0,
   11503             :   /* 137 */ 'r', '1', '3', 0,
   11504             :   /* 141 */ 'v', '1', '3', 0,
   11505             :   /* 145 */ 'v', '2', '3', 0,
   11506             :   /* 149 */ 'a', '3', 0,
   11507             :   /* 152 */ 'c', '3', 0,
   11508             :   /* 155 */ 'f', '3', 0,
   11509             :   /* 158 */ 'r', '3', 0,
   11510             :   /* 161 */ 'v', '3', 0,
   11511             :   /* 164 */ 'a', '1', '4', 0,
   11512             :   /* 168 */ 'c', '1', '4', 0,
   11513             :   /* 172 */ 'f', '1', '4', 0,
   11514             :   /* 176 */ 'r', '1', '4', 0,
   11515             :   /* 180 */ 'v', '1', '4', 0,
   11516             :   /* 184 */ 'v', '2', '4', 0,
   11517             :   /* 188 */ 'a', '4', 0,
   11518             :   /* 191 */ 'c', '4', 0,
   11519             :   /* 194 */ 'f', '4', 0,
   11520             :   /* 197 */ 'r', '4', 0,
   11521             :   /* 200 */ 'v', '4', 0,
   11522             :   /* 203 */ 'a', '1', '5', 0,
   11523             :   /* 207 */ 'c', '1', '5', 0,
   11524             :   /* 211 */ 'f', '1', '5', 0,
   11525             :   /* 215 */ 'r', '1', '5', 0,
   11526             :   /* 219 */ 'v', '1', '5', 0,
   11527             :   /* 223 */ 'v', '2', '5', 0,
   11528             :   /* 227 */ 'a', '5', 0,
   11529             :   /* 230 */ 'c', '5', 0,
   11530             :   /* 233 */ 'f', '5', 0,
   11531             :   /* 236 */ 'r', '5', 0,
   11532             :   /* 239 */ 'v', '5', 0,
   11533             :   /* 242 */ 'v', '1', '6', 0,
   11534             :   /* 246 */ 'v', '2', '6', 0,
   11535             :   /* 250 */ 'a', '6', 0,
   11536             :   /* 253 */ 'c', '6', 0,
   11537             :   /* 256 */ 'f', '6', 0,
   11538             :   /* 259 */ 'r', '6', 0,
   11539             :   /* 262 */ 'v', '6', 0,
   11540             :   /* 265 */ 'v', '1', '7', 0,
   11541             :   /* 269 */ 'v', '2', '7', 0,
   11542             :   /* 273 */ 'a', '7', 0,
   11543             :   /* 276 */ 'c', '7', 0,
   11544             :   /* 279 */ 'f', '7', 0,
   11545             :   /* 282 */ 'r', '7', 0,
   11546             :   /* 285 */ 'v', '7', 0,
   11547             :   /* 288 */ 'v', '1', '8', 0,
   11548             :   /* 292 */ 'v', '2', '8', 0,
   11549             :   /* 296 */ 'a', '8', 0,
   11550             :   /* 299 */ 'c', '8', 0,
   11551             :   /* 302 */ 'f', '8', 0,
   11552             :   /* 305 */ 'r', '8', 0,
   11553             :   /* 308 */ 'v', '8', 0,
   11554             :   /* 311 */ 'v', '1', '9', 0,
   11555             :   /* 315 */ 'v', '2', '9', 0,
   11556             :   /* 319 */ 'a', '9', 0,
   11557             :   /* 322 */ 'c', '9', 0,
   11558             :   /* 325 */ 'f', '9', 0,
   11559             :   /* 328 */ 'r', '9', 0,
   11560             :   /* 331 */ 'v', '9', 0,
   11561             :   /* 334 */ 'c', 'c', 0,
   11562             :   };
   11563             : 
   11564             :   static const uint16_t RegAsmOffset[] = {
   11565             :     334, 28, 71, 110, 149, 188, 227, 250, 273, 296, 319, 0, 43, 86, 
   11566             :     125, 164, 203, 31, 74, 113, 152, 191, 230, 253, 276, 299, 322, 4, 
   11567             :     47, 90, 129, 168, 207, 40, 83, 122, 161, 200, 239, 262, 285, 308, 
   11568             :     331, 16, 59, 102, 141, 180, 219, 242, 265, 288, 311, 20, 63, 106, 
   11569             :     145, 184, 223, 246, 269, 292, 315, 24, 67, 34, 77, 116, 155, 194, 
   11570             :     233, 256, 279, 302, 325, 8, 51, 94, 133, 172, 211, 242, 265, 288, 
   11571             :     311, 20, 63, 106, 145, 184, 223, 246, 269, 292, 315, 24, 67, 34, 
   11572             :     77, 194, 233, 302, 325, 94, 133, 34, 77, 116, 155, 194, 233, 256, 
   11573             :     279, 302, 325, 8, 51, 94, 133, 172, 211, 242, 265, 288, 311, 20, 
   11574             :     63, 106, 145, 184, 223, 246, 269, 292, 315, 24, 67, 37, 80, 119, 
   11575             :     158, 197, 236, 259, 282, 305, 328, 12, 55, 98, 137, 176, 215, 37, 
   11576             :     80, 119, 158, 197, 236, 259, 282, 305, 328, 12, 55, 98, 137, 176, 
   11577             :     215, 37, 80, 119, 158, 197, 236, 259, 282, 305, 328, 12, 55, 98, 
   11578             :     137, 176, 215, 37, 119, 197, 259, 305, 12, 98, 176, 
   11579             :   };
   11580             : 
   11581             :   assert (*(AsmStrs+RegAsmOffset[RegNo-1]) &&
   11582             :           "Invalid alt name index for register!");
   11583      131187 :   return AsmStrs+RegAsmOffset[RegNo-1];
   11584             : }
   11585             : 
   11586             : #ifdef PRINT_ALIAS_INSTR
   11587             : #undef PRINT_ALIAS_INSTR
   11588             : 
   11589             : bool SystemZInstPrinter::printAliasInstr(const MCInst *MI, raw_ostream &OS) {
   11590             :   const char *AsmString;
   11591             :   switch (MI->getOpcode()) {
   11592             :   default: return false;
   11593             :   case SystemZ::VFAE:
   11594             :     if (MI->getNumOperands() == 5 &&
   11595             :         MI->getOperand(0).isReg() &&
   11596             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11597             :         MI->getOperand(1).isReg() &&
   11598             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11599             :         MI->getOperand(2).isReg() &&
   11600             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11601             :         MI->getOperand(4).isImm() &&
   11602             :         MI->getOperand(4).getImm() == 0) {
   11603             :       // (VFAE VR128:$V1, VR128:$V2, VR128:$V3, imm32zx4:$M4, 0)
   11604             :       AsmString = "vfae    $\x01, $\x02, $\x03, $\xFF\x04\x01";
   11605             :       break;
   11606             :     }
   11607             :     return false;
   11608             :   case SystemZ::VFAEB:
   11609             :     if (MI->getNumOperands() == 4 &&
   11610             :         MI->getOperand(0).isReg() &&
   11611             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11612             :         MI->getOperand(1).isReg() &&
   11613             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11614             :         MI->getOperand(2).isReg() &&
   11615             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11616             :         MI->getOperand(3).isImm() &&
   11617             :         MI->getOperand(3).getImm() == 0) {
   11618             :       // (VFAEB VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11619             :       AsmString = "vfaeb   $\x01, $\x02, $\x03";
   11620             :       break;
   11621             :     }
   11622             :     return false;
   11623             :   case SystemZ::VFAEBS:
   11624             :     if (MI->getNumOperands() == 4 &&
   11625             :         MI->getOperand(0).isReg() &&
   11626             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11627             :         MI->getOperand(1).isReg() &&
   11628             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11629             :         MI->getOperand(2).isReg() &&
   11630             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11631             :         MI->getOperand(3).isImm() &&
   11632             :         MI->getOperand(3).getImm() == 0) {
   11633             :       // (VFAEBS VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11634             :       AsmString = "vfaebs  $\x01, $\x02, $\x03";
   11635             :       break;
   11636             :     }
   11637             :     return false;
   11638             :   case SystemZ::VFAEF:
   11639             :     if (MI->getNumOperands() == 4 &&
   11640             :         MI->getOperand(0).isReg() &&
   11641             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11642             :         MI->getOperand(1).isReg() &&
   11643             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11644             :         MI->getOperand(2).isReg() &&
   11645             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11646             :         MI->getOperand(3).isImm() &&
   11647             :         MI->getOperand(3).getImm() == 0) {
   11648             :       // (VFAEF VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11649             :       AsmString = "vfaef   $\x01, $\x02, $\x03";
   11650             :       break;
   11651             :     }
   11652             :     return false;
   11653             :   case SystemZ::VFAEFS:
   11654             :     if (MI->getNumOperands() == 4 &&
   11655             :         MI->getOperand(0).isReg() &&
   11656             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11657             :         MI->getOperand(1).isReg() &&
   11658             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11659             :         MI->getOperand(2).isReg() &&
   11660             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11661             :         MI->getOperand(3).isImm() &&
   11662             :         MI->getOperand(3).getImm() == 0) {
   11663             :       // (VFAEFS VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11664             :       AsmString = "vfaefs  $\x01, $\x02, $\x03";
   11665             :       break;
   11666             :     }
   11667             :     return false;
   11668             :   case SystemZ::VFAEH:
   11669             :     if (MI->getNumOperands() == 4 &&
   11670             :         MI->getOperand(0).isReg() &&
   11671             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11672             :         MI->getOperand(1).isReg() &&
   11673             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11674             :         MI->getOperand(2).isReg() &&
   11675             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11676             :         MI->getOperand(3).isImm() &&
   11677             :         MI->getOperand(3).getImm() == 0) {
   11678             :       // (VFAEH VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11679             :       AsmString = "vfaeh   $\x01, $\x02, $\x03";
   11680             :       break;
   11681             :     }
   11682             :     return false;
   11683             :   case SystemZ::VFAEHS:
   11684             :     if (MI->getNumOperands() == 4 &&
   11685             :         MI->getOperand(0).isReg() &&
   11686             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11687             :         MI->getOperand(1).isReg() &&
   11688             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11689             :         MI->getOperand(2).isReg() &&
   11690             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11691             :         MI->getOperand(3).isImm() &&
   11692             :         MI->getOperand(3).getImm() == 0) {
   11693             :       // (VFAEHS VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11694             :       AsmString = "vfaehs  $\x01, $\x02, $\x03";
   11695             :       break;
   11696             :     }
   11697             :     return false;
   11698             :   case SystemZ::VFAEZB:
   11699             :     if (MI->getNumOperands() == 4 &&
   11700             :         MI->getOperand(0).isReg() &&
   11701             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11702             :         MI->getOperand(1).isReg() &&
   11703             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11704             :         MI->getOperand(2).isReg() &&
   11705             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11706             :         MI->getOperand(3).isImm() &&
   11707             :         MI->getOperand(3).getImm() == 0) {
   11708             :       // (VFAEZB VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11709             :       AsmString = "vfaezb  $\x01, $\x02, $\x03";
   11710             :       break;
   11711             :     }
   11712             :     return false;
   11713             :   case SystemZ::VFAEZBS:
   11714             :     if (MI->getNumOperands() == 4 &&
   11715             :         MI->getOperand(0).isReg() &&
   11716             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11717             :         MI->getOperand(1).isReg() &&
   11718             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11719             :         MI->getOperand(2).isReg() &&
   11720             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11721             :         MI->getOperand(3).isImm() &&
   11722             :         MI->getOperand(3).getImm() == 0) {
   11723             :       // (VFAEZBS VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11724             :       AsmString = "vfaezbs $\x01, $\x02, $\x03";
   11725             :       break;
   11726             :     }
   11727             :     return false;
   11728             :   case SystemZ::VFAEZF:
   11729             :     if (MI->getNumOperands() == 4 &&
   11730             :         MI->getOperand(0).isReg() &&
   11731             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11732             :         MI->getOperand(1).isReg() &&
   11733             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11734             :         MI->getOperand(2).isReg() &&
   11735             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11736             :         MI->getOperand(3).isImm() &&
   11737             :         MI->getOperand(3).getImm() == 0) {
   11738             :       // (VFAEZF VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11739             :       AsmString = "vfaezf  $\x01, $\x02, $\x03";
   11740             :       break;
   11741             :     }
   11742             :     return false;
   11743             :   case SystemZ::VFAEZFS:
   11744             :     if (MI->getNumOperands() == 4 &&
   11745             :         MI->getOperand(0).isReg() &&
   11746             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11747             :         MI->getOperand(1).isReg() &&
   11748             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11749             :         MI->getOperand(2).isReg() &&
   11750             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11751             :         MI->getOperand(3).isImm() &&
   11752             :         MI->getOperand(3).getImm() == 0) {
   11753             :       // (VFAEZFS VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11754             :       AsmString = "vfaezfs $\x01, $\x02, $\x03";
   11755             :       break;
   11756             :     }
   11757             :     return false;
   11758             :   case SystemZ::VFAEZH:
   11759             :     if (MI->getNumOperands() == 4 &&
   11760             :         MI->getOperand(0).isReg() &&
   11761             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11762             :         MI->getOperand(1).isReg() &&
   11763             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11764             :         MI->getOperand(2).isReg() &&
   11765             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11766             :         MI->getOperand(3).isImm() &&
   11767             :         MI->getOperand(3).getImm() == 0) {
   11768             :       // (VFAEZH VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11769             :       AsmString = "vfaezh  $\x01, $\x02, $\x03";
   11770             :       break;
   11771             :     }
   11772             :     return false;
   11773             :   case SystemZ::VFAEZHS:
   11774             :     if (MI->getNumOperands() == 4 &&
   11775             :         MI->getOperand(0).isReg() &&
   11776             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11777             :         MI->getOperand(1).isReg() &&
   11778             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11779             :         MI->getOperand(2).isReg() &&
   11780             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11781             :         MI->getOperand(3).isImm() &&
   11782             :         MI->getOperand(3).getImm() == 0) {
   11783             :       // (VFAEZHS VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11784             :       AsmString = "vfaezhs $\x01, $\x02, $\x03";
   11785             :       break;
   11786             :     }
   11787             :     return false;
   11788             :   case SystemZ::VFEE:
   11789             :     if (MI->getNumOperands() == 5 &&
   11790             :         MI->getOperand(0).isReg() &&
   11791             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11792             :         MI->getOperand(1).isReg() &&
   11793             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11794             :         MI->getOperand(2).isReg() &&
   11795             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11796             :         MI->getOperand(4).isImm() &&
   11797             :         MI->getOperand(4).getImm() == 0) {
   11798             :       // (VFEE VR128:$V1, VR128:$V2, VR128:$V3, imm32zx4:$M4, 0)
   11799             :       AsmString = "vfee    $\x01, $\x02, $\x03, $\xFF\x04\x01";
   11800             :       break;
   11801             :     }
   11802             :     return false;
   11803             :   case SystemZ::VFEEB:
   11804             :     if (MI->getNumOperands() == 4 &&
   11805             :         MI->getOperand(0).isReg() &&
   11806             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11807             :         MI->getOperand(1).isReg() &&
   11808             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11809             :         MI->getOperand(2).isReg() &&
   11810             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11811             :         MI->getOperand(3).isImm() &&
   11812             :         MI->getOperand(3).getImm() == 0) {
   11813             :       // (VFEEB VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11814             :       AsmString = "vfeeb   $\x01, $\x02, $\x03";
   11815             :       break;
   11816             :     }
   11817             :     return false;
   11818             :   case SystemZ::VFEEF:
   11819             :     if (MI->getNumOperands() == 4 &&
   11820             :         MI->getOperand(0).isReg() &&
   11821             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11822             :         MI->getOperand(1).isReg() &&
   11823             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11824             :         MI->getOperand(2).isReg() &&
   11825             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11826             :         MI->getOperand(3).isImm() &&
   11827             :         MI->getOperand(3).getImm() == 0) {
   11828             :       // (VFEEF VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11829             :       AsmString = "vfeef   $\x01, $\x02, $\x03";
   11830             :       break;
   11831             :     }
   11832             :     return false;
   11833             :   case SystemZ::VFEEH:
   11834             :     if (MI->getNumOperands() == 4 &&
   11835             :         MI->getOperand(0).isReg() &&
   11836             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11837             :         MI->getOperand(1).isReg() &&
   11838             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11839             :         MI->getOperand(2).isReg() &&
   11840             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11841             :         MI->getOperand(3).isImm() &&
   11842             :         MI->getOperand(3).getImm() == 0) {
   11843             :       // (VFEEH VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11844             :       AsmString = "vfeeh   $\x01, $\x02, $\x03";
   11845             :       break;
   11846             :     }
   11847             :     return false;
   11848             :   case SystemZ::VFENE:
   11849             :     if (MI->getNumOperands() == 5 &&
   11850             :         MI->getOperand(0).isReg() &&
   11851             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11852             :         MI->getOperand(1).isReg() &&
   11853             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11854             :         MI->getOperand(2).isReg() &&
   11855             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11856             :         MI->getOperand(4).isImm() &&
   11857             :         MI->getOperand(4).getImm() == 0) {
   11858             :       // (VFENE VR128:$V1, VR128:$V2, VR128:$V3, imm32zx4:$M4, 0)
   11859             :       AsmString = "vfene   $\x01, $\x02, $\x03, $\xFF\x04\x01";
   11860             :       break;
   11861             :     }
   11862             :     return false;
   11863             :   case SystemZ::VFENEB:
   11864             :     if (MI->getNumOperands() == 4 &&
   11865             :         MI->getOperand(0).isReg() &&
   11866             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11867             :         MI->getOperand(1).isReg() &&
   11868             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11869             :         MI->getOperand(2).isReg() &&
   11870             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11871             :         MI->getOperand(3).isImm() &&
   11872             :         MI->getOperand(3).getImm() == 0) {
   11873             :       // (VFENEB VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11874             :       AsmString = "vfeneb  $\x01, $\x02, $\x03";
   11875             :       break;
   11876             :     }
   11877             :     return false;
   11878             :   case SystemZ::VFENEF:
   11879             :     if (MI->getNumOperands() == 4 &&
   11880             :         MI->getOperand(0).isReg() &&
   11881             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11882             :         MI->getOperand(1).isReg() &&
   11883             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11884             :         MI->getOperand(2).isReg() &&
   11885             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11886             :         MI->getOperand(3).isImm() &&
   11887             :         MI->getOperand(3).getImm() == 0) {
   11888             :       // (VFENEF VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11889             :       AsmString = "vfenef  $\x01, $\x02, $\x03";
   11890             :       break;
   11891             :     }
   11892             :     return false;
   11893             :   case SystemZ::VFENEH:
   11894             :     if (MI->getNumOperands() == 4 &&
   11895             :         MI->getOperand(0).isReg() &&
   11896             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11897             :         MI->getOperand(1).isReg() &&
   11898             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11899             :         MI->getOperand(2).isReg() &&
   11900             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11901             :         MI->getOperand(3).isImm() &&
   11902             :         MI->getOperand(3).getImm() == 0) {
   11903             :       // (VFENEH VR128:$V1, VR128:$V2, VR128:$V3, 0)
   11904             :       AsmString = "vfeneh  $\x01, $\x02, $\x03";
   11905             :       break;
   11906             :     }
   11907             :     return false;
   11908             :   case SystemZ::VISTR:
   11909             :     if (MI->getNumOperands() == 4 &&
   11910             :         MI->getOperand(0).isReg() &&
   11911             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11912             :         MI->getOperand(1).isReg() &&
   11913             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11914             :         MI->getOperand(3).isImm() &&
   11915             :         MI->getOperand(3).getImm() == 0) {
   11916             :       // (VISTR VR128:$V1, VR128:$V2, imm32zx4:$M3, 0)
   11917             :       AsmString = "vistr   $\x01, $\x02, $\xFF\x03\x01";
   11918             :       break;
   11919             :     }
   11920             :     return false;
   11921             :   case SystemZ::VISTRB:
   11922             :     if (MI->getNumOperands() == 3 &&
   11923             :         MI->getOperand(0).isReg() &&
   11924             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11925             :         MI->getOperand(1).isReg() &&
   11926             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11927             :         MI->getOperand(2).isImm() &&
   11928             :         MI->getOperand(2).getImm() == 0) {
   11929             :       // (VISTRB VR128:$V1, VR128:$V2, 0)
   11930             :       AsmString = "vistrb  $\x01, $\x02";
   11931             :       break;
   11932             :     }
   11933             :     return false;
   11934             :   case SystemZ::VISTRF:
   11935             :     if (MI->getNumOperands() == 3 &&
   11936             :         MI->getOperand(0).isReg() &&
   11937             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11938             :         MI->getOperand(1).isReg() &&
   11939             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11940             :         MI->getOperand(2).isImm() &&
   11941             :         MI->getOperand(2).getImm() == 0) {
   11942             :       // (VISTRF VR128:$V1, VR128:$V2, 0)
   11943             :       AsmString = "vistrf  $\x01, $\x02";
   11944             :       break;
   11945             :     }
   11946             :     return false;
   11947             :   case SystemZ::VISTRH:
   11948             :     if (MI->getNumOperands() == 3 &&
   11949             :         MI->getOperand(0).isReg() &&
   11950             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11951             :         MI->getOperand(1).isReg() &&
   11952             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11953             :         MI->getOperand(2).isImm() &&
   11954             :         MI->getOperand(2).getImm() == 0) {
   11955             :       // (VISTRH VR128:$V1, VR128:$V2, 0)
   11956             :       AsmString = "vistrh  $\x01, $\x02";
   11957             :       break;
   11958             :     }
   11959             :     return false;
   11960             :   case SystemZ::VSTRC:
   11961             :     if (MI->getNumOperands() == 6 &&
   11962             :         MI->getOperand(0).isReg() &&
   11963             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11964             :         MI->getOperand(1).isReg() &&
   11965             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11966             :         MI->getOperand(2).isReg() &&
   11967             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11968             :         MI->getOperand(3).isReg() &&
   11969             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   11970             :         MI->getOperand(5).isImm() &&
   11971             :         MI->getOperand(5).getImm() == 0) {
   11972             :       // (VSTRC VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, imm32zx4:$M5, 0)
   11973             :       AsmString = "vstrc   $\x01, $\x02, $\x03, $\x04, $\xFF\x05\x01";
   11974             :       break;
   11975             :     }
   11976             :     return false;
   11977             :   case SystemZ::VSTRCB:
   11978             :     if (MI->getNumOperands() == 5 &&
   11979             :         MI->getOperand(0).isReg() &&
   11980             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11981             :         MI->getOperand(1).isReg() &&
   11982             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   11983             :         MI->getOperand(2).isReg() &&
   11984             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   11985             :         MI->getOperand(3).isReg() &&
   11986             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   11987             :         MI->getOperand(4).isImm() &&
   11988             :         MI->getOperand(4).getImm() == 0) {
   11989             :       // (VSTRCB VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   11990             :       AsmString = "vstrcb  $\x01, $\x02, $\x03, $\x04";
   11991             :       break;
   11992             :     }
   11993             :     return false;
   11994             :   case SystemZ::VSTRCBS:
   11995             :     if (MI->getNumOperands() == 5 &&
   11996             :         MI->getOperand(0).isReg() &&
   11997             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   11998             :         MI->getOperand(1).isReg() &&
   11999             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12000             :         MI->getOperand(2).isReg() &&
   12001             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12002             :         MI->getOperand(3).isReg() &&
   12003             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12004             :         MI->getOperand(4).isImm() &&
   12005             :         MI->getOperand(4).getImm() == 0) {
   12006             :       // (VSTRCBS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12007             :       AsmString = "vstrcbs $\x01, $\x02, $\x03, $\x04";
   12008             :       break;
   12009             :     }
   12010             :     return false;
   12011             :   case SystemZ::VSTRCF:
   12012             :     if (MI->getNumOperands() == 5 &&
   12013             :         MI->getOperand(0).isReg() &&
   12014             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12015             :         MI->getOperand(1).isReg() &&
   12016             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12017             :         MI->getOperand(2).isReg() &&
   12018             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12019             :         MI->getOperand(3).isReg() &&
   12020             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12021             :         MI->getOperand(4).isImm() &&
   12022             :         MI->getOperand(4).getImm() == 0) {
   12023             :       // (VSTRCF VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12024             :       AsmString = "vstrcf  $\x01, $\x02, $\x03, $\x04";
   12025             :       break;
   12026             :     }
   12027             :     return false;
   12028             :   case SystemZ::VSTRCFS:
   12029             :     if (MI->getNumOperands() == 5 &&
   12030             :         MI->getOperand(0).isReg() &&
   12031             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12032             :         MI->getOperand(1).isReg() &&
   12033             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12034             :         MI->getOperand(2).isReg() &&
   12035             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12036             :         MI->getOperand(3).isReg() &&
   12037             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12038             :         MI->getOperand(4).isImm() &&
   12039             :         MI->getOperand(4).getImm() == 0) {
   12040             :       // (VSTRCFS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12041             :       AsmString = "vstrcfs $\x01, $\x02, $\x03, $\x04";
   12042             :       break;
   12043             :     }
   12044             :     return false;
   12045             :   case SystemZ::VSTRCH:
   12046             :     if (MI->getNumOperands() == 5 &&
   12047             :         MI->getOperand(0).isReg() &&
   12048             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12049             :         MI->getOperand(1).isReg() &&
   12050             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12051             :         MI->getOperand(2).isReg() &&
   12052             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12053             :         MI->getOperand(3).isReg() &&
   12054             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12055             :         MI->getOperand(4).isImm() &&
   12056             :         MI->getOperand(4).getImm() == 0) {
   12057             :       // (VSTRCH VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12058             :       AsmString = "vstrch  $\x01, $\x02, $\x03, $\x04";
   12059             :       break;
   12060             :     }
   12061             :     return false;
   12062             :   case SystemZ::VSTRCHS:
   12063             :     if (MI->getNumOperands() == 5 &&
   12064             :         MI->getOperand(0).isReg() &&
   12065             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12066             :         MI->getOperand(1).isReg() &&
   12067             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12068             :         MI->getOperand(2).isReg() &&
   12069             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12070             :         MI->getOperand(3).isReg() &&
   12071             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12072             :         MI->getOperand(4).isImm() &&
   12073             :         MI->getOperand(4).getImm() == 0) {
   12074             :       // (VSTRCHS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12075             :       AsmString = "vstrchs $\x01, $\x02, $\x03, $\x04";
   12076             :       break;
   12077             :     }
   12078             :     return false;
   12079             :   case SystemZ::VSTRCZB:
   12080             :     if (MI->getNumOperands() == 5 &&
   12081             :         MI->getOperand(0).isReg() &&
   12082             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12083             :         MI->getOperand(1).isReg() &&
   12084             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12085             :         MI->getOperand(2).isReg() &&
   12086             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12087             :         MI->getOperand(3).isReg() &&
   12088             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12089             :         MI->getOperand(4).isImm() &&
   12090             :         MI->getOperand(4).getImm() == 0) {
   12091             :       // (VSTRCZB VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12092             :       AsmString = "vstrczb $\x01, $\x02, $\x03, $\x04";
   12093             :       break;
   12094             :     }
   12095             :     return false;
   12096             :   case SystemZ::VSTRCZBS:
   12097             :     if (MI->getNumOperands() == 5 &&
   12098             :         MI->getOperand(0).isReg() &&
   12099             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12100             :         MI->getOperand(1).isReg() &&
   12101             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12102             :         MI->getOperand(2).isReg() &&
   12103             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12104             :         MI->getOperand(3).isReg() &&
   12105             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12106             :         MI->getOperand(4).isImm() &&
   12107             :         MI->getOperand(4).getImm() == 0) {
   12108             :       // (VSTRCZBS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12109             :       AsmString = "vstrczbs        $\x01, $\x02, $\x03, $\x04";
   12110             :       break;
   12111             :     }
   12112             :     return false;
   12113             :   case SystemZ::VSTRCZF:
   12114             :     if (MI->getNumOperands() == 5 &&
   12115             :         MI->getOperand(0).isReg() &&
   12116             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12117             :         MI->getOperand(1).isReg() &&
   12118             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12119             :         MI->getOperand(2).isReg() &&
   12120             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12121             :         MI->getOperand(3).isReg() &&
   12122             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12123             :         MI->getOperand(4).isImm() &&
   12124             :         MI->getOperand(4).getImm() == 0) {
   12125             :       // (VSTRCZF VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12126             :       AsmString = "vstrczf $\x01, $\x02, $\x03, $\x04";
   12127             :       break;
   12128             :     }
   12129             :     return false;
   12130             :   case SystemZ::VSTRCZFS:
   12131             :     if (MI->getNumOperands() == 5 &&
   12132             :         MI->getOperand(0).isReg() &&
   12133             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12134             :         MI->getOperand(1).isReg() &&
   12135             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12136             :         MI->getOperand(2).isReg() &&
   12137             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12138             :         MI->getOperand(3).isReg() &&
   12139             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12140             :         MI->getOperand(4).isImm() &&
   12141             :         MI->getOperand(4).getImm() == 0) {
   12142             :       // (VSTRCZFS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12143             :       AsmString = "vstrczfs        $\x01, $\x02, $\x03, $\x04";
   12144             :       break;
   12145             :     }
   12146             :     return false;
   12147             :   case SystemZ::VSTRCZH:
   12148             :     if (MI->getNumOperands() == 5 &&
   12149             :         MI->getOperand(0).isReg() &&
   12150             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12151             :         MI->getOperand(1).isReg() &&
   12152             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12153             :         MI->getOperand(2).isReg() &&
   12154             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12155             :         MI->getOperand(3).isReg() &&
   12156             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12157             :         MI->getOperand(4).isImm() &&
   12158             :         MI->getOperand(4).getImm() == 0) {
   12159             :       // (VSTRCZH VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12160             :       AsmString = "vstrczh $\x01, $\x02, $\x03, $\x04";
   12161             :       break;
   12162             :     }
   12163             :     return false;
   12164             :   case SystemZ::VSTRCZHS:
   12165             :     if (MI->getNumOperands() == 5 &&
   12166             :         MI->getOperand(0).isReg() &&
   12167             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(0).getReg()) &&
   12168             :         MI->getOperand(1).isReg() &&
   12169             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(1).getReg()) &&
   12170             :         MI->getOperand(2).isReg() &&
   12171             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(2).getReg()) &&
   12172             :         MI->getOperand(3).isReg() &&
   12173             :         MRI.getRegClass(SystemZ::VR128BitRegClassID).contains(MI->getOperand(3).getReg()) &&
   12174             :         MI->getOperand(4).isImm() &&
   12175             :         MI->getOperand(4).getImm() == 0) {
   12176             :       // (VSTRCZHS VR128:$V1, VR128:$V2, VR128:$V3, VR128:$V4, 0)
   12177             :       AsmString = "vstrczhs        $\x01, $\x02, $\x03, $\x04";
   12178             :       break;
   12179             :     }
   12180             :     return false;
   12181             :   }
   12182             : 
   12183             :   unsigned I = 0;
   12184             :   while (AsmString[I] != ' ' && AsmString[I] != '\t' &&
   12185             :          AsmString[I] != '$' && AsmString[I] != '\0')
   12186             :     ++I;
   12187             :   OS << '\t' << StringRef(AsmString, I);
   12188             :   if (AsmString[I] != '\0') {
   12189             :     if (AsmString[I] == ' ' || AsmString[I] == '\t') {
   12190             :       OS << '\t';
   12191             :       ++I;
   12192             :     }
   12193             :     do {
   12194             :       if (AsmString[I] == '$') {
   12195             :         ++I;
   12196             :         if (AsmString[I] == (char)0xff) {
   12197             :           ++I;
   12198             :           int OpIdx = AsmString[I++] - 1;
   12199             :           int PrintMethodIdx = AsmString[I++] - 1;
   12200             :           printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
   12201             :         } else
   12202             :           printOperand(MI, unsigned(AsmString[I++]) - 1, OS);
   12203             :       } else {
   12204             :         OS << AsmString[I++];
   12205             :       }
   12206             :     } while (AsmString[I] != '\0');
   12207             :   }
   12208             : 
   12209             :   return true;
   12210             : }
   12211             : 
   12212             : void SystemZInstPrinter::printCustomAliasOperand(
   12213             :          const MCInst *MI, unsigned OpIdx,
   12214             :          unsigned PrintMethodIdx,
   12215             :          raw_ostream &OS) {
   12216             :   switch (PrintMethodIdx) {
   12217             :   default:
   12218             :     llvm_unreachable("Unknown PrintMethod kind");
   12219             :     break;
   12220             :   case 0:
   12221             :     printU4ImmOperand(MI, OpIdx, OS);
   12222             :     break;
   12223             :   }
   12224             : }
   12225             : 
   12226             : #endif // PRINT_ALIAS_INSTR

Generated by: LCOV version 1.13