Bug Summary

File:build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc
Location:line 14498, column 10
Description:Excessive padding in 'struct (anonymous namespace)::OperandMatchEntry' (2 padding bytes, where 0 is optimal). Consider reordering the fields or adding explicit padding members

Annotated Source Code

1/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
2|* *|
3|* Assembly Matcher Source Fragment *|
4|* *|
5|* Automatically generated file, do not edit! *|
6|* *|
7\*===----------------------------------------------------------------------===*/
8
9
10#ifdef GET_ASSEMBLER_HEADER
11#undef GET_ASSEMBLER_HEADER
12 // This should be included into the middle of the declaration of
13 // your subclasses implementation of MCTargetAsmParser.
14 uint64_t ComputeAvailableFeatures(const FeatureBitset& FB) const;
15 void convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
16 const OperandVector &Operands);
17 void convertToMapAndConstraints(unsigned Kind,
18 const OperandVector &Operands) override;
19 bool mnemonicIsValid(StringRef Mnemonic, unsigned VariantID);
20 unsigned MatchInstructionImpl(const OperandVector &Operands,
21 MCInst &Inst,
22 uint64_t &ErrorInfo, bool matchingInlineAsm,
23 unsigned VariantID = 0);
24
25 enum OperandMatchResultTy {
26 MatchOperand_Success, // operand matched successfully
27 MatchOperand_NoMatch, // operand did not match
28 MatchOperand_ParseFail // operand matched but had errors
29 };
30 OperandMatchResultTy MatchOperandParserImpl(
31 OperandVector &Operands,
32 StringRef Mnemonic);
33 OperandMatchResultTy tryCustomParseOperand(
34 OperandVector &Operands,
35 unsigned MCK);
36
37#endif // GET_ASSEMBLER_HEADER_INFO
38
39
40#ifdef GET_OPERAND_DIAGNOSTIC_TYPES
41#undef GET_OPERAND_DIAGNOSTIC_TYPES
42
43 Match_AddSubRegExtendLarge,
44 Match_AddSubRegExtendSmall,
45 Match_AddSubRegShift32,
46 Match_AddSubRegShift64,
47 Match_AddSubSecondSource,
48 Match_InvalidCondCode,
49 Match_InvalidFPImm,
50 Match_InvalidImm0_1,
51 Match_InvalidImm0_127,
52 Match_InvalidImm0_15,
53 Match_InvalidImm0_31,
54 Match_InvalidImm0_63,
55 Match_InvalidImm0_65535,
56 Match_InvalidImm0_7,
57 Match_InvalidImm1_16,
58 Match_InvalidImm1_32,
59 Match_InvalidImm1_64,
60 Match_InvalidImm1_8,
61 Match_InvalidIndex1,
62 Match_InvalidIndexB,
63 Match_InvalidIndexD,
64 Match_InvalidIndexH,
65 Match_InvalidIndexS,
66 Match_InvalidLabel,
67 Match_InvalidMemoryIndexed1,
68 Match_InvalidMemoryIndexed16,
69 Match_InvalidMemoryIndexed16SImm7,
70 Match_InvalidMemoryIndexed2,
71 Match_InvalidMemoryIndexed4,
72 Match_InvalidMemoryIndexed4SImm7,
73 Match_InvalidMemoryIndexed8,
74 Match_InvalidMemoryIndexed8SImm7,
75 Match_InvalidMemoryIndexedSImm9,
76 Match_InvalidMemoryWExtend128,
77 Match_InvalidMemoryWExtend16,
78 Match_InvalidMemoryWExtend32,
79 Match_InvalidMemoryWExtend64,
80 Match_InvalidMemoryWExtend8,
81 Match_InvalidMemoryXExtend128,
82 Match_InvalidMemoryXExtend16,
83 Match_InvalidMemoryXExtend32,
84 Match_InvalidMemoryXExtend64,
85 Match_InvalidMemoryXExtend8,
86 Match_InvalidMovImm32Shift,
87 Match_InvalidMovImm64Shift,
88 Match_LogicalSecondSource,
89 Match_MRS,
90 Match_MSR,
91 END_OPERAND_DIAGNOSTIC_TYPES
92#endif // GET_OPERAND_DIAGNOSTIC_TYPES
93
94
95#ifdef GET_REGISTER_MATCHER
96#undef GET_REGISTER_MATCHER
97
98// Flags for subtarget features that participate in instruction matching.
99enum SubtargetFeatureFlag : uint8_t {
100 Feature_HasV8_1a = (1ULL << 6),
101 Feature_HasV8_2a = (1ULL << 7),
102 Feature_HasFPARMv8 = (1ULL << 2),
103 Feature_HasNEON = (1ULL << 4),
104 Feature_HasCrypto = (1ULL << 1),
105 Feature_HasCRC = (1ULL << 0),
106 Feature_HasFullFP16 = (1ULL << 3),
107 Feature_HasSPE = (1ULL << 5),
108 Feature_None = 0
109};
110
111static unsigned MatchRegisterName(StringRef Name) {
112 switch (Name.size()) {
113 default: break;
114 case 2: // 71 strings to match.
115 switch (Name[0]) {
116 default: break;
117 case 'b': // 10 strings to match.
118 switch (Name[1]) {
119 default: break;
120 case '0': // 1 string to match.
121 return 8; // "b0"
122 case '1': // 1 string to match.
123 return 9; // "b1"
124 case '2': // 1 string to match.
125 return 10; // "b2"
126 case '3': // 1 string to match.
127 return 11; // "b3"
128 case '4': // 1 string to match.
129 return 12; // "b4"
130 case '5': // 1 string to match.
131 return 13; // "b5"
132 case '6': // 1 string to match.
133 return 14; // "b6"
134 case '7': // 1 string to match.
135 return 15; // "b7"
136 case '8': // 1 string to match.
137 return 16; // "b8"
138 case '9': // 1 string to match.
139 return 17; // "b9"
140 }
141 break;
142 case 'd': // 10 strings to match.
143 switch (Name[1]) {
144 default: break;
145 case '0': // 1 string to match.
146 return 40; // "d0"
147 case '1': // 1 string to match.
148 return 41; // "d1"
149 case '2': // 1 string to match.
150 return 42; // "d2"
151 case '3': // 1 string to match.
152 return 43; // "d3"
153 case '4': // 1 string to match.
154 return 44; // "d4"
155 case '5': // 1 string to match.
156 return 45; // "d5"
157 case '6': // 1 string to match.
158 return 46; // "d6"
159 case '7': // 1 string to match.
160 return 47; // "d7"
161 case '8': // 1 string to match.
162 return 48; // "d8"
163 case '9': // 1 string to match.
164 return 49; // "d9"
165 }
166 break;
167 case 'h': // 10 strings to match.
168 switch (Name[1]) {
169 default: break;
170 case '0': // 1 string to match.
171 return 72; // "h0"
172 case '1': // 1 string to match.
173 return 73; // "h1"
174 case '2': // 1 string to match.
175 return 74; // "h2"
176 case '3': // 1 string to match.
177 return 75; // "h3"
178 case '4': // 1 string to match.
179 return 76; // "h4"
180 case '5': // 1 string to match.
181 return 77; // "h5"
182 case '6': // 1 string to match.
183 return 78; // "h6"
184 case '7': // 1 string to match.
185 return 79; // "h7"
186 case '8': // 1 string to match.
187 return 80; // "h8"
188 case '9': // 1 string to match.
189 return 81; // "h9"
190 }
191 break;
192 case 'q': // 10 strings to match.
193 switch (Name[1]) {
194 default: break;
195 case '0': // 1 string to match.
196 return 104; // "q0"
197 case '1': // 1 string to match.
198 return 105; // "q1"
199 case '2': // 1 string to match.
200 return 106; // "q2"
201 case '3': // 1 string to match.
202 return 107; // "q3"
203 case '4': // 1 string to match.
204 return 108; // "q4"
205 case '5': // 1 string to match.
206 return 109; // "q5"
207 case '6': // 1 string to match.
208 return 110; // "q6"
209 case '7': // 1 string to match.
210 return 111; // "q7"
211 case '8': // 1 string to match.
212 return 112; // "q8"
213 case '9': // 1 string to match.
214 return 113; // "q9"
215 }
216 break;
217 case 's': // 11 strings to match.
218 switch (Name[1]) {
219 default: break;
220 case '0': // 1 string to match.
221 return 136; // "s0"
222 case '1': // 1 string to match.
223 return 137; // "s1"
224 case '2': // 1 string to match.
225 return 138; // "s2"
226 case '3': // 1 string to match.
227 return 139; // "s3"
228 case '4': // 1 string to match.
229 return 140; // "s4"
230 case '5': // 1 string to match.
231 return 141; // "s5"
232 case '6': // 1 string to match.
233 return 142; // "s6"
234 case '7': // 1 string to match.
235 return 143; // "s7"
236 case '8': // 1 string to match.
237 return 144; // "s8"
238 case '9': // 1 string to match.
239 return 145; // "s9"
240 case 'p': // 1 string to match.
241 return 4; // "sp"
242 }
243 break;
244 case 'w': // 10 strings to match.
245 switch (Name[1]) {
246 default: break;
247 case '0': // 1 string to match.
248 return 168; // "w0"
249 case '1': // 1 string to match.
250 return 169; // "w1"
251 case '2': // 1 string to match.
252 return 170; // "w2"
253 case '3': // 1 string to match.
254 return 171; // "w3"
255 case '4': // 1 string to match.
256 return 172; // "w4"
257 case '5': // 1 string to match.
258 return 173; // "w5"
259 case '6': // 1 string to match.
260 return 174; // "w6"
261 case '7': // 1 string to match.
262 return 175; // "w7"
263 case '8': // 1 string to match.
264 return 176; // "w8"
265 case '9': // 1 string to match.
266 return 177; // "w9"
267 }
268 break;
269 case 'x': // 10 strings to match.
270 switch (Name[1]) {
271 default: break;
272 case '0': // 1 string to match.
273 return 199; // "x0"
274 case '1': // 1 string to match.
275 return 200; // "x1"
276 case '2': // 1 string to match.
277 return 201; // "x2"
278 case '3': // 1 string to match.
279 return 202; // "x3"
280 case '4': // 1 string to match.
281 return 203; // "x4"
282 case '5': // 1 string to match.
283 return 204; // "x5"
284 case '6': // 1 string to match.
285 return 205; // "x6"
286 case '7': // 1 string to match.
287 return 206; // "x7"
288 case '8': // 1 string to match.
289 return 207; // "x8"
290 case '9': // 1 string to match.
291 return 208; // "x9"
292 }
293 break;
294 }
295 break;
296 case 3: // 155 strings to match.
297 switch (Name[0]) {
298 default: break;
299 case 'b': // 22 strings to match.
300 switch (Name[1]) {
301 default: break;
302 case '1': // 10 strings to match.
303 switch (Name[2]) {
304 default: break;
305 case '0': // 1 string to match.
306 return 18; // "b10"
307 case '1': // 1 string to match.
308 return 19; // "b11"
309 case '2': // 1 string to match.
310 return 20; // "b12"
311 case '3': // 1 string to match.
312 return 21; // "b13"
313 case '4': // 1 string to match.
314 return 22; // "b14"
315 case '5': // 1 string to match.
316 return 23; // "b15"
317 case '6': // 1 string to match.
318 return 24; // "b16"
319 case '7': // 1 string to match.
320 return 25; // "b17"
321 case '8': // 1 string to match.
322 return 26; // "b18"
323 case '9': // 1 string to match.
324 return 27; // "b19"
325 }
326 break;
327 case '2': // 10 strings to match.
328 switch (Name[2]) {
329 default: break;
330 case '0': // 1 string to match.
331 return 28; // "b20"
332 case '1': // 1 string to match.
333 return 29; // "b21"
334 case '2': // 1 string to match.
335 return 30; // "b22"
336 case '3': // 1 string to match.
337 return 31; // "b23"
338 case '4': // 1 string to match.
339 return 32; // "b24"
340 case '5': // 1 string to match.
341 return 33; // "b25"
342 case '6': // 1 string to match.
343 return 34; // "b26"
344 case '7': // 1 string to match.
345 return 35; // "b27"
346 case '8': // 1 string to match.
347 return 36; // "b28"
348 case '9': // 1 string to match.
349 return 37; // "b29"
350 }
351 break;
352 case '3': // 2 strings to match.
353 switch (Name[2]) {
354 default: break;
355 case '0': // 1 string to match.
356 return 38; // "b30"
357 case '1': // 1 string to match.
358 return 39; // "b31"
359 }
360 break;
361 }
362 break;
363 case 'd': // 22 strings to match.
364 switch (Name[1]) {
365 default: break;
366 case '1': // 10 strings to match.
367 switch (Name[2]) {
368 default: break;
369 case '0': // 1 string to match.
370 return 50; // "d10"
371 case '1': // 1 string to match.
372 return 51; // "d11"
373 case '2': // 1 string to match.
374 return 52; // "d12"
375 case '3': // 1 string to match.
376 return 53; // "d13"
377 case '4': // 1 string to match.
378 return 54; // "d14"
379 case '5': // 1 string to match.
380 return 55; // "d15"
381 case '6': // 1 string to match.
382 return 56; // "d16"
383 case '7': // 1 string to match.
384 return 57; // "d17"
385 case '8': // 1 string to match.
386 return 58; // "d18"
387 case '9': // 1 string to match.
388 return 59; // "d19"
389 }
390 break;
391 case '2': // 10 strings to match.
392 switch (Name[2]) {
393 default: break;
394 case '0': // 1 string to match.
395 return 60; // "d20"
396 case '1': // 1 string to match.
397 return 61; // "d21"
398 case '2': // 1 string to match.
399 return 62; // "d22"
400 case '3': // 1 string to match.
401 return 63; // "d23"
402 case '4': // 1 string to match.
403 return 64; // "d24"
404 case '5': // 1 string to match.
405 return 65; // "d25"
406 case '6': // 1 string to match.
407 return 66; // "d26"
408 case '7': // 1 string to match.
409 return 67; // "d27"
410 case '8': // 1 string to match.
411 return 68; // "d28"
412 case '9': // 1 string to match.
413 return 69; // "d29"
414 }
415 break;
416 case '3': // 2 strings to match.
417 switch (Name[2]) {
418 default: break;
419 case '0': // 1 string to match.
420 return 70; // "d30"
421 case '1': // 1 string to match.
422 return 71; // "d31"
423 }
424 break;
425 }
426 break;
427 case 'h': // 22 strings to match.
428 switch (Name[1]) {
429 default: break;
430 case '1': // 10 strings to match.
431 switch (Name[2]) {
432 default: break;
433 case '0': // 1 string to match.
434 return 82; // "h10"
435 case '1': // 1 string to match.
436 return 83; // "h11"
437 case '2': // 1 string to match.
438 return 84; // "h12"
439 case '3': // 1 string to match.
440 return 85; // "h13"
441 case '4': // 1 string to match.
442 return 86; // "h14"
443 case '5': // 1 string to match.
444 return 87; // "h15"
445 case '6': // 1 string to match.
446 return 88; // "h16"
447 case '7': // 1 string to match.
448 return 89; // "h17"
449 case '8': // 1 string to match.
450 return 90; // "h18"
451 case '9': // 1 string to match.
452 return 91; // "h19"
453 }
454 break;
455 case '2': // 10 strings to match.
456 switch (Name[2]) {
457 default: break;
458 case '0': // 1 string to match.
459 return 92; // "h20"
460 case '1': // 1 string to match.
461 return 93; // "h21"
462 case '2': // 1 string to match.
463 return 94; // "h22"
464 case '3': // 1 string to match.
465 return 95; // "h23"
466 case '4': // 1 string to match.
467 return 96; // "h24"
468 case '5': // 1 string to match.
469 return 97; // "h25"
470 case '6': // 1 string to match.
471 return 98; // "h26"
472 case '7': // 1 string to match.
473 return 99; // "h27"
474 case '8': // 1 string to match.
475 return 100; // "h28"
476 case '9': // 1 string to match.
477 return 101; // "h29"
478 }
479 break;
480 case '3': // 2 strings to match.
481 switch (Name[2]) {
482 default: break;
483 case '0': // 1 string to match.
484 return 102; // "h30"
485 case '1': // 1 string to match.
486 return 103; // "h31"
487 }
488 break;
489 }
490 break;
491 case 'q': // 22 strings to match.
492 switch (Name[1]) {
493 default: break;
494 case '1': // 10 strings to match.
495 switch (Name[2]) {
496 default: break;
497 case '0': // 1 string to match.
498 return 114; // "q10"
499 case '1': // 1 string to match.
500 return 115; // "q11"
501 case '2': // 1 string to match.
502 return 116; // "q12"
503 case '3': // 1 string to match.
504 return 117; // "q13"
505 case '4': // 1 string to match.
506 return 118; // "q14"
507 case '5': // 1 string to match.
508 return 119; // "q15"
509 case '6': // 1 string to match.
510 return 120; // "q16"
511 case '7': // 1 string to match.
512 return 121; // "q17"
513 case '8': // 1 string to match.
514 return 122; // "q18"
515 case '9': // 1 string to match.
516 return 123; // "q19"
517 }
518 break;
519 case '2': // 10 strings to match.
520 switch (Name[2]) {
521 default: break;
522 case '0': // 1 string to match.
523 return 124; // "q20"
524 case '1': // 1 string to match.
525 return 125; // "q21"
526 case '2': // 1 string to match.
527 return 126; // "q22"
528 case '3': // 1 string to match.
529 return 127; // "q23"
530 case '4': // 1 string to match.
531 return 128; // "q24"
532 case '5': // 1 string to match.
533 return 129; // "q25"
534 case '6': // 1 string to match.
535 return 130; // "q26"
536 case '7': // 1 string to match.
537 return 131; // "q27"
538 case '8': // 1 string to match.
539 return 132; // "q28"
540 case '9': // 1 string to match.
541 return 133; // "q29"
542 }
543 break;
544 case '3': // 2 strings to match.
545 switch (Name[2]) {
546 default: break;
547 case '0': // 1 string to match.
548 return 134; // "q30"
549 case '1': // 1 string to match.
550 return 135; // "q31"
551 }
552 break;
553 }
554 break;
555 case 's': // 22 strings to match.
556 switch (Name[1]) {
557 default: break;
558 case '1': // 10 strings to match.
559 switch (Name[2]) {
560 default: break;
561 case '0': // 1 string to match.
562 return 146; // "s10"
563 case '1': // 1 string to match.
564 return 147; // "s11"
565 case '2': // 1 string to match.
566 return 148; // "s12"
567 case '3': // 1 string to match.
568 return 149; // "s13"
569 case '4': // 1 string to match.
570 return 150; // "s14"
571 case '5': // 1 string to match.
572 return 151; // "s15"
573 case '6': // 1 string to match.
574 return 152; // "s16"
575 case '7': // 1 string to match.
576 return 153; // "s17"
577 case '8': // 1 string to match.
578 return 154; // "s18"
579 case '9': // 1 string to match.
580 return 155; // "s19"
581 }
582 break;
583 case '2': // 10 strings to match.
584 switch (Name[2]) {
585 default: break;
586 case '0': // 1 string to match.
587 return 156; // "s20"
588 case '1': // 1 string to match.
589 return 157; // "s21"
590 case '2': // 1 string to match.
591 return 158; // "s22"
592 case '3': // 1 string to match.
593 return 159; // "s23"
594 case '4': // 1 string to match.
595 return 160; // "s24"
596 case '5': // 1 string to match.
597 return 161; // "s25"
598 case '6': // 1 string to match.
599 return 162; // "s26"
600 case '7': // 1 string to match.
601 return 163; // "s27"
602 case '8': // 1 string to match.
603 return 164; // "s28"
604 case '9': // 1 string to match.
605 return 165; // "s29"
606 }
607 break;
608 case '3': // 2 strings to match.
609 switch (Name[2]) {
610 default: break;
611 case '0': // 1 string to match.
612 return 166; // "s30"
613 case '1': // 1 string to match.
614 return 167; // "s31"
615 }
616 break;
617 }
618 break;
619 case 'w': // 23 strings to match.
620 switch (Name[1]) {
621 default: break;
622 case '1': // 10 strings to match.
623 switch (Name[2]) {
624 default: break;
625 case '0': // 1 string to match.
626 return 178; // "w10"
627 case '1': // 1 string to match.
628 return 179; // "w11"
629 case '2': // 1 string to match.
630 return 180; // "w12"
631 case '3': // 1 string to match.
632 return 181; // "w13"
633 case '4': // 1 string to match.
634 return 182; // "w14"
635 case '5': // 1 string to match.
636 return 183; // "w15"
637 case '6': // 1 string to match.
638 return 184; // "w16"
639 case '7': // 1 string to match.
640 return 185; // "w17"
641 case '8': // 1 string to match.
642 return 186; // "w18"
643 case '9': // 1 string to match.
644 return 187; // "w19"
645 }
646 break;
647 case '2': // 10 strings to match.
648 switch (Name[2]) {
649 default: break;
650 case '0': // 1 string to match.
651 return 188; // "w20"
652 case '1': // 1 string to match.
653 return 189; // "w21"
654 case '2': // 1 string to match.
655 return 190; // "w22"
656 case '3': // 1 string to match.
657 return 191; // "w23"
658 case '4': // 1 string to match.
659 return 192; // "w24"
660 case '5': // 1 string to match.
661 return 193; // "w25"
662 case '6': // 1 string to match.
663 return 194; // "w26"
664 case '7': // 1 string to match.
665 return 195; // "w27"
666 case '8': // 1 string to match.
667 return 196; // "w28"
668 case '9': // 1 string to match.
669 return 197; // "w29"
670 }
671 break;
672 case '3': // 1 string to match.
673 if (Name[2] != '0')
674 break;
675 return 198; // "w30"
676 case 's': // 1 string to match.
677 if (Name[2] != 'p')
678 break;
679 return 5; // "wsp"
680 case 'z': // 1 string to match.
681 if (Name[2] != 'r')
682 break;
683 return 6; // "wzr"
684 }
685 break;
686 case 'x': // 22 strings to match.
687 switch (Name[1]) {
688 default: break;
689 case '1': // 10 strings to match.
690 switch (Name[2]) {
691 default: break;
692 case '0': // 1 string to match.
693 return 209; // "x10"
694 case '1': // 1 string to match.
695 return 210; // "x11"
696 case '2': // 1 string to match.
697 return 211; // "x12"
698 case '3': // 1 string to match.
699 return 212; // "x13"
700 case '4': // 1 string to match.
701 return 213; // "x14"
702 case '5': // 1 string to match.
703 return 214; // "x15"
704 case '6': // 1 string to match.
705 return 215; // "x16"
706 case '7': // 1 string to match.
707 return 216; // "x17"
708 case '8': // 1 string to match.
709 return 217; // "x18"
710 case '9': // 1 string to match.
711 return 218; // "x19"
712 }
713 break;
714 case '2': // 10 strings to match.
715 switch (Name[2]) {
716 default: break;
717 case '0': // 1 string to match.
718 return 219; // "x20"
719 case '1': // 1 string to match.
720 return 220; // "x21"
721 case '2': // 1 string to match.
722 return 221; // "x22"
723 case '3': // 1 string to match.
724 return 222; // "x23"
725 case '4': // 1 string to match.
726 return 223; // "x24"
727 case '5': // 1 string to match.
728 return 224; // "x25"
729 case '6': // 1 string to match.
730 return 225; // "x26"
731 case '7': // 1 string to match.
732 return 226; // "x27"
733 case '8': // 1 string to match.
734 return 227; // "x28"
735 case '9': // 1 string to match.
736 return 1; // "x29"
737 }
738 break;
739 case '3': // 1 string to match.
740 if (Name[2] != '0')
741 break;
742 return 2; // "x30"
743 case 'z': // 1 string to match.
744 if (Name[2] != 'r')
745 break;
746 return 7; // "xzr"
747 }
748 break;
749 }
750 break;
751 case 4: // 1 string to match.
752 if (memcmp(Name.data()+0, "nzcv", 4))
753 break;
754 return 3; // "nzcv"
755 }
756 return 0;
757}
758
759#endif // GET_REGISTER_MATCHER
760
761
762#ifdef GET_SUBTARGET_FEATURE_NAME
763#undef GET_SUBTARGET_FEATURE_NAME
764
765// User-level names for subtarget features that participate in
766// instruction matching.
767static const char *getSubtargetFeatureName(uint64_t Val) {
768 switch(Val) {
769 case Feature_HasV8_1a: return "armv8.1a";
770 case Feature_HasV8_2a: return "armv8.2a";
771 case Feature_HasFPARMv8: return "fp-armv8";
772 case Feature_HasNEON: return "neon";
773 case Feature_HasCrypto: return "crypto";
774 case Feature_HasCRC: return "crc";
775 case Feature_HasFullFP16: return "fullfp16";
776 case Feature_HasSPE: return "spe";
777 default: return "(unknown)";
778 }
779}
780
781#endif // GET_SUBTARGET_FEATURE_NAME
782
783
784#ifdef GET_MATCHER_IMPLEMENTATION
785#undef GET_MATCHER_IMPLEMENTATION
786
787namespace {
788enum OperatorConversionKind {
789 CVT_Done,
790 CVT_Reg,
791 CVT_Tied,
792 CVT_95_Reg,
793 CVT_95_addVectorReg128Operands,
794 CVT_95_addVectorReg64Operands,
795 CVT_imm_95_16,
796 CVT_imm_95_24,
797 CVT_imm_95_0,
798 CVT_95_addAddSubImmNegOperands,
799 CVT_95_addAddSubImmOperands,
800 CVT_95_addShifterOperands,
801 CVT_95_addExtendOperands,
802 CVT_95_addExtend64Operands,
803 CVT_95_addAdrLabelOperands,
804 CVT_95_addAdrpLabelOperands,
805 CVT_95_addLogicalImm32Operands,
806 CVT_95_addLogicalImm64Operands,
807 CVT_95_addImm0_95_31Operands,
808 CVT_imm_95_31,
809 CVT_95_addImm0_95_63Operands,
810 CVT_imm_95_63,
811 CVT_95_addBranchTarget26Operands,
812 CVT_95_addCondCodeOperands,
813 CVT_95_addPCRelLabel19Operands,
814 CVT_95_addImm0_95_255Operands,
815 CVT_95_addLogicalImm32NotOperands,
816 CVT_95_addLogicalImm64NotOperands,
817 CVT_95_addImm0_95_65535Operands,
818 CVT_95_addRegOperands,
819 CVT_95_addImm0_95_15Operands,
820 CVT_imm_95_15,
821 CVT_regWZR,
822 CVT_regXZR,
823 CVT_95_addBarrierOperands,
824 CVT_95_addVectorIndexHOperands,
825 CVT_95_addVectorIndexSOperands,
826 CVT_95_addVectorIndexDOperands,
827 CVT_95_addVectorIndexBOperands,
828 CVT_95_addImmOperands,
829 CVT_95_addImm1_95_16Operands,
830 CVT_95_addImm1_95_32Operands,
831 CVT_95_addImm1_95_64Operands,
832 CVT_95_addVectorRegLoOperands,
833 CVT_95_addFPImmOperands,
834 CVT_95_addVectorIndex1Operands,
835 CVT_95_addImm0_95_127Operands,
836 CVT_95_addVectorList128Operands_LT_4_GT_,
837 CVT_95_addVectorList64Operands_LT_4_GT_,
838 CVT_95_addVectorList128Operands_LT_1_GT_,
839 CVT_95_addVectorList64Operands_LT_1_GT_,
840 CVT_95_addVectorList128Operands_LT_3_GT_,
841 CVT_95_addVectorList64Operands_LT_3_GT_,
842 CVT_95_addVectorList128Operands_LT_2_GT_,
843 CVT_95_addVectorList64Operands_LT_2_GT_,
844 CVT_95_addSImm7s16Operands,
845 CVT_95_addSImm7s4Operands,
846 CVT_95_addSImm7s8Operands,
847 CVT_95_addSImm9Operands,
848 CVT_95_addUImm12OffsetOperands_LT_16_GT_,
849 CVT_95_addUImm12OffsetOperands_LT_2_GT_,
850 CVT_95_addUImm12OffsetOperands_LT_4_GT_,
851 CVT_95_addUImm12OffsetOperands_LT_8_GT_,
852 CVT_95_addUImm12OffsetOperands_LT_1_GT_,
853 CVT_95_addMemExtendOperands,
854 CVT_95_addMemExtend8Operands,
855 CVT_95_addMOVZMovAliasOperands_LT_0_GT_,
856 CVT_95_addMOVZMovAliasOperands_LT_16_GT_,
857 CVT_95_addMOVNMovAliasOperands_LT_0_GT_,
858 CVT_95_addMOVNMovAliasOperands_LT_16_GT_,
859 CVT_95_addMOVZMovAliasOperands_LT_32_GT_,
860 CVT_imm_95_32,
861 CVT_95_addMOVZMovAliasOperands_LT_48_GT_,
862 CVT_imm_95_48,
863 CVT_95_addMOVNMovAliasOperands_LT_32_GT_,
864 CVT_95_addMOVNMovAliasOperands_LT_48_GT_,
865 CVT_95_addSIMDImmType10Operands,
866 CVT_95_addMRSSystemRegisterOperands,
867 CVT_95_addMSRSystemRegisterOperands,
868 CVT_95_addSystemPStateFieldWithImm0_95_15Operands,
869 CVT_95_addSystemPStateFieldWithImm0_95_1Operands,
870 CVT_95_addImm0_95_1Operands,
871 CVT_95_addPrefetchOperands,
872 CVT_95_addPSBHintOperands,
873 CVT_regLR,
874 CVT_95_addImm1_95_8Operands,
875 CVT_imm_95_4,
876 CVT_imm_95_5,
877 CVT_95_addImm0_95_7Operands,
878 CVT_imm_95_7,
879 CVT_95_addSysCROperands,
880 CVT_95_addBranchTarget14Operands,
881 CVT_95_addImm32_95_63Operands,
882 CVT_95_addGPR32as64Operands,
883 CVT_imm_95_2,
884 CVT_imm_95_3,
885 CVT_imm_95_1,
886 CVT_NUM_CONVERTERS
887};
888
889enum InstructionConversionKind {
890 Convert__Reg1_0__Reg1_1,
891 Convert__VectorReg1281_1__VectorReg1281_2,
892 Convert__VectorReg641_1__VectorReg641_2,
893 Convert__VectorReg1281_0__VectorReg1281_2,
894 Convert__VectorReg641_0__VectorReg641_2,
895 Convert__Reg1_0__Reg1_1__Reg1_2,
896 Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16,
897 Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24,
898 Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0,
899 Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2,
900 Convert__Reg1_0__Reg1_1__AddSubImm2_2,
901 Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3,
902 Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3,
903 Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3,
904 Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3,
905 Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3,
906 Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3,
907 Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3,
908 Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4,
909 Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4,
910 Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3,
911 Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4,
912 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3,
913 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4,
914 Convert__Reg1_1__VectorReg1281_2,
915 Convert__Reg1_0__VectorReg1281_1,
916 Convert__Reg1_1__VectorReg641_2,
917 Convert__Reg1_0__VectorReg641_1,
918 Convert__Reg1_0__AdrLabel1_1,
919 Convert__Reg1_0__AdrpLabel1_1,
920 Convert__VectorReg1281_1__Tie0__VectorReg1281_2,
921 Convert__VectorReg1281_0__Tie0__VectorReg1281_2,
922 Convert__Reg1_0__Reg1_1__LogicalImm321_2,
923 Convert__Reg1_0__Reg1_1__LogicalImm641_2,
924 Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3,
925 Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3,
926 Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31,
927 Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63,
928 Convert__BranchTarget261_0,
929 Convert__CondCode1_1__PCRelLabel191_2,
930 Convert__Reg1_0__Tie0__Reg1_1__Imm0_311_2__Imm0_311_3,
931 Convert__Reg1_0__Tie0__Reg1_1__Imm0_631_2__Imm0_631_3,
932 Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0,
933 Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0,
934 Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2,
935 Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2,
936 Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0,
937 Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0,
938 Convert__VectorReg641_1__Tie0__Imm0_2551_2__LogicalVecShifter1_3,
939 Convert__VectorReg641_1__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
940 Convert__VectorReg1281_1__Tie0__Imm0_2551_2__LogicalVecShifter1_3,
941 Convert__VectorReg1281_1__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
942 Convert__VectorReg1281_0__Tie0__Imm0_2551_2__LogicalVecShifter1_3,
943 Convert__VectorReg1281_0__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
944 Convert__VectorReg641_0__Tie0__Imm0_2551_2__LogicalVecShifter1_3,
945 Convert__VectorReg641_0__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
946 Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3,
947 Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4,
948 Convert__Reg1_0,
949 Convert__Imm0_655351_0,
950 Convert__Reg1_0__Tie0__Reg1_1__Reg1_3,
951 Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3,
952 Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3,
953 Convert__Reg1_0__PCRelLabel191_1,
954 Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3,
955 Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3,
956 Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2,
957 Convert__imm_95_15,
958 Convert__Imm0_151_0,
959 Convert__Reg1_0__Reg1_2__Reg1_1,
960 Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2,
961 Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2,
962 Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2,
963 Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2,
964 Convert__regWZR__Reg1_0__Reg1_1__imm_95_16,
965 Convert__regXZR__Reg1_0__Reg1_1__imm_95_24,
966 Convert__regWZR__Reg1_0__Reg1_1__imm_95_0,
967 Convert__regWZR__Reg1_0__AddSubImmNeg2_1,
968 Convert__regWZR__Reg1_0__AddSubImm2_1,
969 Convert__regXZR__Reg1_0__Reg1_1__imm_95_0,
970 Convert__regXZR__Reg1_0__AddSubImmNeg2_1,
971 Convert__regXZR__Reg1_0__AddSubImm2_1,
972 Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2,
973 Convert__regWZR__Reg1_0__Reg1_1__Extend1_2,
974 Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2,
975 Convert__regXZR__Reg1_0__Reg1_1__Extend1_2,
976 Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2,
977 Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3,
978 Convert__Reg1_0__regWZR__regWZR__CondCode1_1,
979 Convert__Reg1_0__regXZR__regXZR__CondCode1_1,
980 Convert__imm_95_0,
981 Convert__Barrier1_0,
982 Convert_NoOperands,
983 Convert__VectorReg1281_1__Reg1_2,
984 Convert__VectorReg641_1__Reg1_2,
985 Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_2,
986 Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_2,
987 Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_2,
988 Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_2,
989 Convert__VectorReg1281_0__Reg1_2,
990 Convert__VectorReg641_0__Reg1_2,
991 Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexB1_3,
992 Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexD1_3,
993 Convert__VectorReg641_1__VectorReg1281_2__VectorIndexS1_3,
994 Convert__VectorReg641_1__VectorReg1281_2__VectorIndexH1_3,
995 Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexS1_3,
996 Convert__VectorReg641_1__VectorReg1281_2__VectorIndexB1_3,
997 Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexH1_3,
998 Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_3,
999 Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_3,
1000 Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_3,
1001 Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_3,
1002 Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexB1_4,
1003 Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexD1_4,
1004 Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexS1_4,
1005 Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexH1_4,
1006 Convert__VectorReg641_0__VectorReg1281_2__VectorIndexS1_4,
1007 Convert__VectorReg641_0__VectorReg1281_2__VectorIndexH1_4,
1008 Convert__VectorReg641_0__VectorReg1281_2__VectorIndexB1_4,
1009 Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__Imm1_4,
1010 Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__Imm1_4,
1011 Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__Imm1_6,
1012 Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__Imm1_6,
1013 Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3,
1014 Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3,
1015 Convert__VectorReg1281_0__VectorReg641_2,
1016 Convert__VectorReg641_0__VectorReg1281_2,
1017 Convert__Reg1_0__Reg1_1__Imm1_161_2,
1018 Convert__Reg1_0__Reg1_1__Imm1_321_2,
1019 Convert__Reg1_0__Reg1_1__Imm1_641_2,
1020 Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3,
1021 Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3,
1022 Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3,
1023 Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3,
1024 Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3,
1025 Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4,
1026 Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4,
1027 Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4,
1028 Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4,
1029 Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4,
1030 Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3,
1031 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexD1_4,
1032 Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4,
1033 Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4,
1034 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4,
1035 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4,
1036 Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexD1_4,
1037 Convert__Reg1_1__Tie0__Reg1_2__VectorRegLo1_3__VectorIndexH1_4,
1038 Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexS1_4,
1039 Convert__Reg1_0__Tie0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4,
1040 Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexS1_4,
1041 Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexD1_4,
1042 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexD1_6,
1043 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6,
1044 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6,
1045 Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6,
1046 Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6,
1047 Convert__Reg1_0__FPImm1_1,
1048 Convert__VectorReg1281_1__FPImm1_2,
1049 Convert__VectorReg641_1__FPImm1_2,
1050 Convert__VectorReg1281_0__FPImm1_2,
1051 Convert__VectorReg641_0__FPImm1_2,
1052 Convert__Reg1_1__VectorReg1281_2__VectorIndex11_3,
1053 Convert__VectorReg1281_1__Reg1_3__VectorIndex11_2,
1054 Convert__Reg1_0__VectorReg1281_1__VectorIndex11_3,
1055 Convert__VectorReg1281_0__Reg1_3__VectorIndex11_2,
1056 Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexD1_4,
1057 Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4,
1058 Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4,
1059 Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4,
1060 Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4,
1061 Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexD1_4,
1062 Convert__Reg1_1__Reg1_2__VectorRegLo1_3__VectorIndexH1_4,
1063 Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexS1_4,
1064 Convert__Reg1_0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4,
1065 Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexS1_4,
1066 Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexD1_4,
1067 Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexD1_6,
1068 Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6,
1069 Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6,
1070 Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6,
1071 Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6,
1072 Convert__Imm0_1271_0,
1073 Convert__VectorReg1281_1__Tie0__VectorIndexB1_2__Reg1_3,
1074 Convert__VectorReg1281_1__Tie0__VectorIndexD1_2__Reg1_3,
1075 Convert__VectorReg1281_1__Tie0__VectorIndexH1_2__Reg1_3,
1076 Convert__VectorReg1281_1__Tie0__VectorIndexS1_2__Reg1_3,
1077 Convert__VectorReg1281_0__Tie0__VectorIndexB1_2__Reg1_3,
1078 Convert__VectorReg1281_0__Tie0__VectorIndexD1_2__Reg1_3,
1079 Convert__VectorReg1281_0__Tie0__VectorIndexH1_2__Reg1_3,
1080 Convert__VectorReg1281_0__Tie0__VectorIndexS1_2__Reg1_3,
1081 Convert__VectorReg1281_1__Tie0__VectorIndexB1_2__VectorReg1281_3__VectorIndexB1_4,
1082 Convert__VectorReg1281_1__Tie0__VectorIndexD1_2__VectorReg1281_3__VectorIndexD1_4,
1083 Convert__VectorReg1281_1__Tie0__VectorIndexH1_2__VectorReg1281_3__VectorIndexH1_4,
1084 Convert__VectorReg1281_1__Tie0__VectorIndexS1_2__VectorReg1281_3__VectorIndexS1_4,
1085 Convert__VectorReg1281_0__Tie0__VectorIndexB1_2__VectorReg1281_3__VectorIndexB1_5,
1086 Convert__VectorReg1281_0__Tie0__VectorIndexD1_2__VectorReg1281_3__VectorIndexD1_5,
1087 Convert__VectorReg1281_0__Tie0__VectorIndexH1_2__VectorReg1281_3__VectorIndexH1_5,
1088 Convert__VectorReg1281_0__Tie0__VectorIndexS1_2__VectorReg1281_3__VectorIndexS1_5,
1089 Convert__TypedVectorList4_16b1_0__Reg1_2,
1090 Convert__TypedVectorList4_1d1_0__Reg1_2,
1091 Convert__TypedVectorList4_2d1_0__Reg1_2,
1092 Convert__TypedVectorList4_2s1_0__Reg1_2,
1093 Convert__TypedVectorList4_4h1_0__Reg1_2,
1094 Convert__TypedVectorList4_4s1_0__Reg1_2,
1095 Convert__TypedVectorList4_8b1_0__Reg1_2,
1096 Convert__TypedVectorList4_8h1_0__Reg1_2,
1097 Convert__TypedVectorList1_16b1_0__Reg1_2,
1098 Convert__TypedVectorList1_1d1_0__Reg1_2,
1099 Convert__TypedVectorList1_2d1_0__Reg1_2,
1100 Convert__TypedVectorList1_2s1_0__Reg1_2,
1101 Convert__TypedVectorList1_4h1_0__Reg1_2,
1102 Convert__TypedVectorList1_4s1_0__Reg1_2,
1103 Convert__TypedVectorList1_8b1_0__Reg1_2,
1104 Convert__TypedVectorList1_8h1_0__Reg1_2,
1105 Convert__TypedVectorList3_16b1_0__Reg1_2,
1106 Convert__TypedVectorList3_1d1_0__Reg1_2,
1107 Convert__TypedVectorList3_2d1_0__Reg1_2,
1108 Convert__TypedVectorList3_2s1_0__Reg1_2,
1109 Convert__TypedVectorList3_4h1_0__Reg1_2,
1110 Convert__TypedVectorList3_4s1_0__Reg1_2,
1111 Convert__TypedVectorList3_8b1_0__Reg1_2,
1112 Convert__TypedVectorList3_8h1_0__Reg1_2,
1113 Convert__TypedVectorList2_16b1_0__Reg1_2,
1114 Convert__TypedVectorList2_1d1_0__Reg1_2,
1115 Convert__TypedVectorList2_2d1_0__Reg1_2,
1116 Convert__TypedVectorList2_2s1_0__Reg1_2,
1117 Convert__TypedVectorList2_4h1_0__Reg1_2,
1118 Convert__TypedVectorList2_4s1_0__Reg1_2,
1119 Convert__TypedVectorList2_8b1_0__Reg1_2,
1120 Convert__TypedVectorList2_8h1_0__Reg1_2,
1121 Convert__VecListFour1281_1__Reg1_3,
1122 Convert__VecListOne1281_1__Reg1_3,
1123 Convert__VecListThree1281_1__Reg1_3,
1124 Convert__VecListTwo1281_1__Reg1_3,
1125 Convert__VecListFour641_1__Reg1_3,
1126 Convert__VecListOne641_1__Reg1_3,
1127 Convert__VecListThree641_1__Reg1_3,
1128 Convert__VecListTwo641_1__Reg1_3,
1129 Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR,
1130 Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4,
1131 Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__regXZR,
1132 Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__Reg1_4,
1133 Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR,
1134 Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4,
1135 Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR,
1136 Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4,
1137 Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR,
1138 Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4,
1139 Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR,
1140 Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4,
1141 Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR,
1142 Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4,
1143 Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR,
1144 Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4,
1145 Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__regXZR,
1146 Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__Reg1_4,
1147 Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__regXZR,
1148 Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__Reg1_4,
1149 Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__regXZR,
1150 Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__Reg1_4,
1151 Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__regXZR,
1152 Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__Reg1_4,
1153 Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__regXZR,
1154 Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__Reg1_4,
1155 Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__regXZR,
1156 Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__Reg1_4,
1157 Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__regXZR,
1158 Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__Reg1_4,
1159 Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__regXZR,
1160 Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__Reg1_4,
1161 Convert__TypedVectorList1_0b1_0__Tie0__VectorIndexB1_1__Reg1_3,
1162 Convert__TypedVectorList1_0d1_0__Tie0__VectorIndexD1_1__Reg1_3,
1163 Convert__TypedVectorList1_0h1_0__Tie0__VectorIndexH1_1__Reg1_3,
1164 Convert__TypedVectorList1_0s1_0__Tie0__VectorIndexS1_1__Reg1_3,
1165 Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR,
1166 Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4,
1167 Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__regXZR,
1168 Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__Reg1_4,
1169 Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR,
1170 Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4,
1171 Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR,
1172 Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4,
1173 Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR,
1174 Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4,
1175 Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR,
1176 Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4,
1177 Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR,
1178 Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4,
1179 Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR,
1180 Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4,
1181 Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR,
1182 Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4,
1183 Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__regXZR,
1184 Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__Reg1_4,
1185 Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR,
1186 Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4,
1187 Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR,
1188 Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4,
1189 Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR,
1190 Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4,
1191 Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR,
1192 Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4,
1193 Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR,
1194 Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4,
1195 Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR,
1196 Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4,
1197 Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR,
1198 Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5,
1199 Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR,
1200 Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5,
1201 Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR,
1202 Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5,
1203 Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR,
1204 Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5,
1205 Convert__Reg1_3__VecListFour641_1__Tie0__regXZR,
1206 Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5,
1207 Convert__Reg1_3__VecListOne641_1__Tie0__regXZR,
1208 Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5,
1209 Convert__Reg1_3__VecListThree641_1__Tie0__regXZR,
1210 Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5,
1211 Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR,
1212 Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5,
1213 Convert__VecListOne1281_1__Tie0__VectorIndexB1_2__Reg1_4,
1214 Convert__VecListOne1281_1__Tie0__VectorIndexD1_2__Reg1_4,
1215 Convert__VecListOne1281_1__Tie0__VectorIndexH1_2__Reg1_4,
1216 Convert__VecListOne1281_1__Tie0__VectorIndexS1_2__Reg1_4,
1217 Convert__Reg1_3__TypedVectorList1_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR,
1218 Convert__Reg1_3__TypedVectorList1_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5,
1219 Convert__Reg1_3__TypedVectorList1_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR,
1220 Convert__Reg1_3__TypedVectorList1_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5,
1221 Convert__Reg1_3__TypedVectorList1_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR,
1222 Convert__Reg1_3__TypedVectorList1_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5,
1223 Convert__Reg1_3__TypedVectorList1_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR,
1224 Convert__Reg1_3__TypedVectorList1_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5,
1225 Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR,
1226 Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6,
1227 Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR,
1228 Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6,
1229 Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR,
1230 Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6,
1231 Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR,
1232 Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6,
1233 Convert__TypedVectorList2_0b1_0__Tie0__VectorIndexB1_1__Reg1_3,
1234 Convert__TypedVectorList2_0d1_0__Tie0__VectorIndexD1_1__Reg1_3,
1235 Convert__TypedVectorList2_0h1_0__Tie0__VectorIndexH1_1__Reg1_3,
1236 Convert__TypedVectorList2_0s1_0__Tie0__VectorIndexS1_1__Reg1_3,
1237 Convert__VecListTwo1281_1__Tie0__VectorIndexB1_2__Reg1_4,
1238 Convert__VecListTwo1281_1__Tie0__VectorIndexD1_2__Reg1_4,
1239 Convert__VecListTwo1281_1__Tie0__VectorIndexH1_2__Reg1_4,
1240 Convert__VecListTwo1281_1__Tie0__VectorIndexS1_2__Reg1_4,
1241 Convert__Reg1_3__TypedVectorList2_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR,
1242 Convert__Reg1_3__TypedVectorList2_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5,
1243 Convert__Reg1_3__TypedVectorList2_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR,
1244 Convert__Reg1_3__TypedVectorList2_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5,
1245 Convert__Reg1_3__TypedVectorList2_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR,
1246 Convert__Reg1_3__TypedVectorList2_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5,
1247 Convert__Reg1_3__TypedVectorList2_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR,
1248 Convert__Reg1_3__TypedVectorList2_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5,
1249 Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR,
1250 Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6,
1251 Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR,
1252 Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6,
1253 Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR,
1254 Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6,
1255 Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR,
1256 Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6,
1257 Convert__TypedVectorList3_0b1_0__Tie0__VectorIndexB1_1__Reg1_3,
1258 Convert__TypedVectorList3_0d1_0__Tie0__VectorIndexD1_1__Reg1_3,
1259 Convert__TypedVectorList3_0h1_0__Tie0__VectorIndexH1_1__Reg1_3,
1260 Convert__TypedVectorList3_0s1_0__Tie0__VectorIndexS1_1__Reg1_3,
1261 Convert__VecListThree1281_1__Tie0__VectorIndexB1_2__Reg1_4,
1262 Convert__VecListThree1281_1__Tie0__VectorIndexD1_2__Reg1_4,
1263 Convert__VecListThree1281_1__Tie0__VectorIndexH1_2__Reg1_4,
1264 Convert__VecListThree1281_1__Tie0__VectorIndexS1_2__Reg1_4,
1265 Convert__Reg1_3__TypedVectorList3_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR,
1266 Convert__Reg1_3__TypedVectorList3_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5,
1267 Convert__Reg1_3__TypedVectorList3_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR,
1268 Convert__Reg1_3__TypedVectorList3_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5,
1269 Convert__Reg1_3__TypedVectorList3_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR,
1270 Convert__Reg1_3__TypedVectorList3_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5,
1271 Convert__Reg1_3__TypedVectorList3_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR,
1272 Convert__Reg1_3__TypedVectorList3_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5,
1273 Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR,
1274 Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6,
1275 Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR,
1276 Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6,
1277 Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR,
1278 Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6,
1279 Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR,
1280 Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6,
1281 Convert__TypedVectorList4_0b1_0__Tie0__VectorIndexB1_1__Reg1_3,
1282 Convert__TypedVectorList4_0d1_0__Tie0__VectorIndexD1_1__Reg1_3,
1283 Convert__TypedVectorList4_0h1_0__Tie0__VectorIndexH1_1__Reg1_3,
1284 Convert__TypedVectorList4_0s1_0__Tie0__VectorIndexS1_1__Reg1_3,
1285 Convert__VecListFour1281_1__Tie0__VectorIndexB1_2__Reg1_4,
1286 Convert__VecListFour1281_1__Tie0__VectorIndexD1_2__Reg1_4,
1287 Convert__VecListFour1281_1__Tie0__VectorIndexH1_2__Reg1_4,
1288 Convert__VecListFour1281_1__Tie0__VectorIndexS1_2__Reg1_4,
1289 Convert__Reg1_3__TypedVectorList4_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR,
1290 Convert__Reg1_3__TypedVectorList4_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5,
1291 Convert__Reg1_3__TypedVectorList4_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR,
1292 Convert__Reg1_3__TypedVectorList4_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5,
1293 Convert__Reg1_3__TypedVectorList4_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR,
1294 Convert__Reg1_3__TypedVectorList4_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5,
1295 Convert__Reg1_3__TypedVectorList4_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR,
1296 Convert__Reg1_3__TypedVectorList4_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5,
1297 Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR,
1298 Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6,
1299 Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR,
1300 Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6,
1301 Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR,
1302 Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6,
1303 Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR,
1304 Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6,
1305 Convert__Reg1_1__Reg1_0__Reg1_3,
1306 Convert__Reg1_0__GPR64sp01_2,
1307 Convert__Reg1_0__Reg1_1__GPR64sp01_3,
1308 Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0,
1309 Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4,
1310 Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4,
1311 Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4,
1312 Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_5,
1313 Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5,
1314 Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5,
1315 Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_4,
1316 Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4,
1317 Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4,
1318 Convert__Reg1_0__Reg1_2__imm_95_0,
1319 Convert__Reg1_2__Reg1_0__Tie0__SImm91_4,
1320 Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
1321 Convert__Reg1_0__Reg1_2__SImm9OffsetFB1281_3,
1322 Convert__Reg1_0__Reg1_2__UImm12Offset161_3,
1323 Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3,
1324 Convert__Reg1_0__Reg1_2__UImm12Offset21_3,
1325 Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3,
1326 Convert__Reg1_0__Reg1_2__UImm12Offset41_3,
1327 Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3,
1328 Convert__Reg1_0__Reg1_2__UImm12Offset81_3,
1329 Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3,
1330 Convert__Reg1_0__Reg1_2__UImm12Offset11_3,
1331 Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend1282_4,
1332 Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend1282_4,
1333 Convert__Reg1_2__Reg1_0__Tie0__SImm91_3,
1334 Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4,
1335 Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4,
1336 Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4,
1337 Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4,
1338 Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4,
1339 Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4,
1340 Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4,
1341 Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4,
1342 Convert__Reg1_0__Reg1_2__SImm91_3,
1343 Convert__Reg1_0__Reg1_1__Reg1_2__regWZR,
1344 Convert__Reg1_0__Reg1_1__Reg1_2__regXZR,
1345 Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0,
1346 Convert__Reg1_0__regWZR__Reg1_1__imm_95_0,
1347 Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0,
1348 Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16,
1349 Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0,
1350 Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16,
1351 Convert__Reg1_0__regWZR__LogicalImm321_1,
1352 Convert__Reg1_0__regXZR__Reg1_1__imm_95_0,
1353 Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0,
1354 Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16,
1355 Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32,
1356 Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48,
1357 Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0,
1358 Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16,
1359 Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32,
1360 Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48,
1361 Convert__Reg1_0__regXZR__LogicalImm641_1,
1362 Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2,
1363 Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2,
1364 Convert__Reg1_1__VectorReg1281_2__VectorIndexD1_3,
1365 Convert__Reg1_1__VectorReg1281_2__VectorIndexS1_3,
1366 Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2,
1367 Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2,
1368 Convert__Reg1_0__SIMDImmType101_1,
1369 Convert__VectorReg1281_1__Imm0_2551_2,
1370 Convert__VectorReg1281_1__SIMDImmType101_2,
1371 Convert__VectorReg641_1__Imm0_2551_2__imm_95_0,
1372 Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0,
1373 Convert__VectorReg641_1__Imm0_2551_2,
1374 Convert__VectorReg1281_0__Imm0_2551_2,
1375 Convert__VectorReg1281_0__SIMDImmType101_2,
1376 Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0,
1377 Convert__VectorReg641_0__Imm0_2551_2__imm_95_0,
1378 Convert__VectorReg641_0__Imm0_2551_2,
1379 Convert__VectorReg641_1__Imm0_2551_2__LogicalVecShifter1_3,
1380 Convert__VectorReg641_1__Imm0_2551_2__MoveVecShifter1_3,
1381 Convert__VectorReg641_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
1382 Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecShifter1_3,
1383 Convert__VectorReg1281_1__Imm0_2551_2__MoveVecShifter1_3,
1384 Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
1385 Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecShifter1_3,
1386 Convert__VectorReg1281_0__Imm0_2551_2__MoveVecShifter1_3,
1387 Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
1388 Convert__VectorReg641_0__Imm0_2551_2__LogicalVecShifter1_3,
1389 Convert__VectorReg641_0__Imm0_2551_2__MoveVecShifter1_3,
1390 Convert__VectorReg641_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3,
1391 Convert__Reg1_0__Tie0__Imm0_655351_1__imm_95_0,
1392 Convert__Reg1_0__Tie0__MovKSymbolG01_1__imm_95_0,
1393 Convert__Reg1_0__Tie0__MovKSymbolG11_1__imm_95_16,
1394 Convert__Reg1_0__Tie0__MovKSymbolG21_1__imm_95_32,
1395 Convert__Reg1_0__Tie0__MovKSymbolG31_1__imm_95_48,
1396 Convert__Reg1_0__Tie0__Imm0_655351_1__MovImm32Shifter1_2,
1397 Convert__Reg1_0__Tie0__Imm0_655351_1__MovImm64Shifter1_2,
1398 Convert__Reg1_0__Imm0_655351_1__imm_95_0,
1399 Convert__Reg1_0__MovZSymbolG01_1__imm_95_0,
1400 Convert__Reg1_0__MovZSymbolG11_1__imm_95_16,
1401 Convert__Reg1_0__MovZSymbolG21_1__imm_95_32,
1402 Convert__Reg1_0__MovZSymbolG31_1__imm_95_48,
1403 Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2,
1404 Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2,
1405 Convert__Reg1_0__MRSSystemRegister1_1,
1406 Convert__MSRSystemRegister1_0__Reg1_1,
1407 Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1,
1408 Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1,
1409 Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2,
1410 Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2,
1411 Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2,
1412 Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2,
1413 Convert__Reg1_0__regWZR__Reg1_1,
1414 Convert__Reg1_0__regXZR__Reg1_1,
1415 Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3,
1416 Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4,
1417 Convert__Prefetch1_0__PCRelLabel191_1,
1418 Convert__Prefetch1_0__Reg1_2__imm_95_0,
1419 Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0,
1420 Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3,
1421 Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4,
1422 Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4,
1423 Convert__Prefetch1_0__Reg1_2__SImm91_3,
1424 Convert__PSBHint1_0,
1425 Convert__regLR,
1426 Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2,
1427 Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2,
1428 Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3,
1429 Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3,
1430 Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3,
1431 Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4,
1432 Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4,
1433 Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4,
1434 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3,
1435 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3,
1436 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3,
1437 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4,
1438 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4,
1439 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4,
1440 Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3,
1441 Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4,
1442 Convert__VectorReg641_1__Tie0__VectorReg641_2,
1443 Convert__VectorReg641_0__Tie0__VectorReg641_2,
1444 Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3,
1445 Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4,
1446 Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3,
1447 Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3,
1448 Convert__imm_95_4,
1449 Convert__imm_95_5,
1450 Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3,
1451 Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2,
1452 Convert__Reg1_0__Reg1_1__Imm0_631_2,
1453 Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3,
1454 Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3,
1455 Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3,
1456 Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3,
1457 Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3,
1458 Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3,
1459 Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3,
1460 Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4,
1461 Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4,
1462 Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4,
1463 Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4,
1464 Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4,
1465 Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4,
1466 Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4,
1467 Convert__VectorReg1281_1__VectorReg641_2,
1468 Convert__Reg1_0__Tie0__Reg1_1__Imm0_631_2,
1469 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_71_3,
1470 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_631_3,
1471 Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_311_3,
1472 Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_151_3,
1473 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_311_3,
1474 Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_71_3,
1475 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_151_3,
1476 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_71_4,
1477 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_631_4,
1478 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_311_4,
1479 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_151_4,
1480 Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_311_4,
1481 Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_151_4,
1482 Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_71_4,
1483 Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4,
1484 Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4,
1485 Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6,
1486 Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6,
1487 Convert__Reg1_1__VectorReg1281_2__VectorIndexB1_3,
1488 Convert__Reg1_1__VectorReg1281_2__VectorIndexH1_3,
1489 Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4,
1490 Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4,
1491 Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6,
1492 Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6,
1493 Convert__Reg1_0__Tie0__Reg1_1__Reg1_2,
1494 Convert__Reg1_0__Reg1_1__Imm1_81_2,
1495 Convert__Reg1_0__Reg1_1__Imm0_151_2,
1496 Convert__Reg1_0__Reg1_1__Imm0_311_2,
1497 Convert__Reg1_0__Reg1_1__Imm0_71_2,
1498 Convert__VectorReg641_1__VectorReg1281_2,
1499 Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2,
1500 Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_641_3,
1501 Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_321_3,
1502 Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_161_3,
1503 Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_81_3,
1504 Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_641_4,
1505 Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_321_4,
1506 Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_161_4,
1507 Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_81_4,
1508 Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3,
1509 Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3,
1510 Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4,
1511 Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4,
1512 Convert__VectorReg1281_1__VectorReg641_2__Imm0_311_3,
1513 Convert__VectorReg1281_1__VectorReg641_2__Imm0_151_3,
1514 Convert__VectorReg1281_1__VectorReg641_2__Imm0_71_3,
1515 Convert__VectorReg1281_0__VectorReg641_2__Imm0_311_4,
1516 Convert__VectorReg1281_0__VectorReg641_2__Imm0_151_4,
1517 Convert__VectorReg1281_0__VectorReg641_2__Imm0_71_4,
1518 Convert__TypedVectorList1_0b1_0__VectorIndexB1_1__Reg1_3,
1519 Convert__TypedVectorList1_0d1_0__VectorIndexD1_1__Reg1_3,
1520 Convert__TypedVectorList1_0h1_0__VectorIndexH1_1__Reg1_3,
1521 Convert__TypedVectorList1_0s1_0__VectorIndexS1_1__Reg1_3,
1522 Convert__VecListOne1281_1__VectorIndexB1_2__Reg1_4,
1523 Convert__VecListOne1281_1__VectorIndexD1_2__Reg1_4,
1524 Convert__VecListOne1281_1__VectorIndexH1_2__Reg1_4,
1525 Convert__VecListOne1281_1__VectorIndexS1_2__Reg1_4,
1526 Convert__Reg1_3__TypedVectorList1_0b1_0__VectorIndexB1_1__Tie0__regXZR,
1527 Convert__Reg1_3__TypedVectorList1_0b1_0__VectorIndexB1_1__Tie0__Reg1_5,
1528 Convert__Reg1_3__TypedVectorList1_0d1_0__VectorIndexD1_1__Tie0__regXZR,
1529 Convert__Reg1_3__TypedVectorList1_0d1_0__VectorIndexD1_1__Tie0__Reg1_5,
1530 Convert__Reg1_3__TypedVectorList1_0h1_0__VectorIndexH1_1__Tie0__regXZR,
1531 Convert__Reg1_3__TypedVectorList1_0h1_0__VectorIndexH1_1__Tie0__Reg1_5,
1532 Convert__Reg1_3__TypedVectorList1_0s1_0__VectorIndexS1_1__Tie0__regXZR,
1533 Convert__Reg1_3__TypedVectorList1_0s1_0__VectorIndexS1_1__Tie0__Reg1_5,
1534 Convert__Reg1_4__VecListOne1281_1__VectorIndexB1_2__Tie0__regXZR,
1535 Convert__Reg1_4__VecListOne1281_1__VectorIndexB1_2__Tie0__Reg1_6,
1536 Convert__Reg1_4__VecListOne1281_1__VectorIndexD1_2__Tie0__regXZR,
1537 Convert__Reg1_4__VecListOne1281_1__VectorIndexD1_2__Tie0__Reg1_6,
1538 Convert__Reg1_4__VecListOne1281_1__VectorIndexH1_2__Tie0__regXZR,
1539 Convert__Reg1_4__VecListOne1281_1__VectorIndexH1_2__Tie0__Reg1_6,
1540 Convert__Reg1_4__VecListOne1281_1__VectorIndexS1_2__Tie0__regXZR,
1541 Convert__Reg1_4__VecListOne1281_1__VectorIndexS1_2__Tie0__Reg1_6,
1542 Convert__TypedVectorList2_0b1_0__VectorIndexB1_1__Reg1_3,
1543 Convert__TypedVectorList2_0d1_0__VectorIndexD1_1__Reg1_3,
1544 Convert__TypedVectorList2_0h1_0__VectorIndexH1_1__Reg1_3,
1545 Convert__TypedVectorList2_0s1_0__VectorIndexS1_1__Reg1_3,
1546 Convert__VecListTwo1281_1__VectorIndexB1_2__Reg1_4,
1547 Convert__VecListTwo1281_1__VectorIndexD1_2__Reg1_4,
1548 Convert__VecListTwo1281_1__VectorIndexH1_2__Reg1_4,
1549 Convert__VecListTwo1281_1__VectorIndexS1_2__Reg1_4,
1550 Convert__Reg1_3__TypedVectorList2_0b1_0__VectorIndexB1_1__Tie0__regXZR,
1551 Convert__Reg1_3__TypedVectorList2_0b1_0__VectorIndexB1_1__Tie0__Reg1_5,
1552 Convert__Reg1_3__TypedVectorList2_0d1_0__VectorIndexD1_1__Tie0__regXZR,
1553 Convert__Reg1_3__TypedVectorList2_0d1_0__VectorIndexD1_1__Tie0__Reg1_5,
1554 Convert__Reg1_3__TypedVectorList2_0h1_0__VectorIndexH1_1__Tie0__regXZR,
1555 Convert__Reg1_3__TypedVectorList2_0h1_0__VectorIndexH1_1__Tie0__Reg1_5,
1556 Convert__Reg1_3__TypedVectorList2_0s1_0__VectorIndexS1_1__Tie0__regXZR,
1557 Convert__Reg1_3__TypedVectorList2_0s1_0__VectorIndexS1_1__Tie0__Reg1_5,
1558 Convert__Reg1_4__VecListTwo1281_1__VectorIndexB1_2__Tie0__regXZR,
1559 Convert__Reg1_4__VecListTwo1281_1__VectorIndexB1_2__Tie0__Reg1_6,
1560 Convert__Reg1_4__VecListTwo1281_1__VectorIndexD1_2__Tie0__regXZR,
1561 Convert__Reg1_4__VecListTwo1281_1__VectorIndexD1_2__Tie0__Reg1_6,
1562 Convert__Reg1_4__VecListTwo1281_1__VectorIndexH1_2__Tie0__regXZR,
1563 Convert__Reg1_4__VecListTwo1281_1__VectorIndexH1_2__Tie0__Reg1_6,
1564 Convert__Reg1_4__VecListTwo1281_1__VectorIndexS1_2__Tie0__regXZR,
1565 Convert__Reg1_4__VecListTwo1281_1__VectorIndexS1_2__Tie0__Reg1_6,
1566 Convert__TypedVectorList3_0b1_0__VectorIndexB1_1__Reg1_3,
1567 Convert__TypedVectorList3_0d1_0__VectorIndexD1_1__Reg1_3,
1568 Convert__TypedVectorList3_0h1_0__VectorIndexH1_1__Reg1_3,
1569 Convert__TypedVectorList3_0s1_0__VectorIndexS1_1__Reg1_3,
1570 Convert__VecListThree1281_1__VectorIndexB1_2__Reg1_4,
1571 Convert__VecListThree1281_1__VectorIndexD1_2__Reg1_4,
1572 Convert__VecListThree1281_1__VectorIndexH1_2__Reg1_4,
1573 Convert__VecListThree1281_1__VectorIndexS1_2__Reg1_4,
1574 Convert__Reg1_3__TypedVectorList3_0b1_0__VectorIndexB1_1__Tie0__regXZR,
1575 Convert__Reg1_3__TypedVectorList3_0b1_0__VectorIndexB1_1__Tie0__Reg1_5,
1576 Convert__Reg1_3__TypedVectorList3_0d1_0__VectorIndexD1_1__Tie0__regXZR,
1577 Convert__Reg1_3__TypedVectorList3_0d1_0__VectorIndexD1_1__Tie0__Reg1_5,
1578 Convert__Reg1_3__TypedVectorList3_0h1_0__VectorIndexH1_1__Tie0__regXZR,
1579 Convert__Reg1_3__TypedVectorList3_0h1_0__VectorIndexH1_1__Tie0__Reg1_5,
1580 Convert__Reg1_3__TypedVectorList3_0s1_0__VectorIndexS1_1__Tie0__regXZR,
1581 Convert__Reg1_3__TypedVectorList3_0s1_0__VectorIndexS1_1__Tie0__Reg1_5,
1582 Convert__Reg1_4__VecListThree1281_1__VectorIndexB1_2__Tie0__regXZR,
1583 Convert__Reg1_4__VecListThree1281_1__VectorIndexB1_2__Tie0__Reg1_6,
1584 Convert__Reg1_4__VecListThree1281_1__VectorIndexD1_2__Tie0__regXZR,
1585 Convert__Reg1_4__VecListThree1281_1__VectorIndexD1_2__Tie0__Reg1_6,
1586 Convert__Reg1_4__VecListThree1281_1__VectorIndexH1_2__Tie0__regXZR,
1587 Convert__Reg1_4__VecListThree1281_1__VectorIndexH1_2__Tie0__Reg1_6,
1588 Convert__Reg1_4__VecListThree1281_1__VectorIndexS1_2__Tie0__regXZR,
1589 Convert__Reg1_4__VecListThree1281_1__VectorIndexS1_2__Tie0__Reg1_6,
1590 Convert__TypedVectorList4_0b1_0__VectorIndexB1_1__Reg1_3,
1591 Convert__TypedVectorList4_0d1_0__VectorIndexD1_1__Reg1_3,
1592 Convert__TypedVectorList4_0h1_0__VectorIndexH1_1__Reg1_3,
1593 Convert__TypedVectorList4_0s1_0__VectorIndexS1_1__Reg1_3,
1594 Convert__VecListFour1281_1__VectorIndexB1_2__Reg1_4,
1595 Convert__VecListFour1281_1__VectorIndexD1_2__Reg1_4,
1596 Convert__VecListFour1281_1__VectorIndexH1_2__Reg1_4,
1597 Convert__VecListFour1281_1__VectorIndexS1_2__Reg1_4,
1598 Convert__Reg1_3__TypedVectorList4_0b1_0__VectorIndexB1_1__Tie0__regXZR,
1599 Convert__Reg1_3__TypedVectorList4_0b1_0__VectorIndexB1_1__Tie0__Reg1_5,
1600 Convert__Reg1_3__TypedVectorList4_0d1_0__VectorIndexD1_1__Tie0__regXZR,
1601 Convert__Reg1_3__TypedVectorList4_0d1_0__VectorIndexD1_1__Tie0__Reg1_5,
1602 Convert__Reg1_3__TypedVectorList4_0h1_0__VectorIndexH1_1__Tie0__regXZR,
1603 Convert__Reg1_3__TypedVectorList4_0h1_0__VectorIndexH1_1__Tie0__Reg1_5,
1604 Convert__Reg1_3__TypedVectorList4_0s1_0__VectorIndexS1_1__Tie0__regXZR,
1605 Convert__Reg1_3__TypedVectorList4_0s1_0__VectorIndexS1_1__Tie0__Reg1_5,
1606 Convert__Reg1_4__VecListFour1281_1__VectorIndexB1_2__Tie0__regXZR,
1607 Convert__Reg1_4__VecListFour1281_1__VectorIndexB1_2__Tie0__Reg1_6,
1608 Convert__Reg1_4__VecListFour1281_1__VectorIndexD1_2__Tie0__regXZR,
1609 Convert__Reg1_4__VecListFour1281_1__VectorIndexD1_2__Tie0__Reg1_6,
1610 Convert__Reg1_4__VecListFour1281_1__VectorIndexH1_2__Tie0__regXZR,
1611 Convert__Reg1_4__VecListFour1281_1__VectorIndexH1_2__Tie0__Reg1_6,
1612 Convert__Reg1_4__VecListFour1281_1__VectorIndexS1_2__Tie0__regXZR,
1613 Convert__Reg1_4__VecListFour1281_1__VectorIndexS1_2__Tie0__Reg1_6,
1614 Convert__regWZR__Reg1_0__Reg1_2,
1615 Convert__regXZR__Reg1_0__Reg1_2,
1616 Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4,
1617 Convert__Reg1_0__Tie0__Reg1_1,
1618 Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7,
1619 Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15,
1620 Convert__VectorReg1281_1__VectorReg641_2__imm_95_0,
1621 Convert__VectorReg1281_0__VectorReg641_2__imm_95_0,
1622 Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0,
1623 Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0,
1624 Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31,
1625 Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR,
1626 Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4,
1627 Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4,
1628 Convert__VectorReg1281_1__VecListFour1281_2__VectorReg1281_3,
1629 Convert__VectorReg1281_1__VecListOne1281_2__VectorReg1281_3,
1630 Convert__VectorReg1281_1__VecListThree1281_2__VectorReg1281_3,
1631 Convert__VectorReg1281_1__VecListTwo1281_2__VectorReg1281_3,
1632 Convert__VectorReg641_1__VecListFour1281_2__VectorReg641_3,
1633 Convert__VectorReg641_1__VecListOne1281_2__VectorReg641_3,
1634 Convert__VectorReg641_1__VecListThree1281_2__VectorReg641_3,
1635 Convert__VectorReg641_1__VecListTwo1281_2__VectorReg641_3,
1636 Convert__VectorReg1281_0__TypedVectorList4_16b1_2__VectorReg1281_3,
1637 Convert__VectorReg1281_0__TypedVectorList1_16b1_2__VectorReg1281_3,
1638 Convert__VectorReg1281_0__TypedVectorList3_16b1_2__VectorReg1281_3,
1639 Convert__VectorReg1281_0__TypedVectorList2_16b1_2__VectorReg1281_3,
1640 Convert__VectorReg641_0__TypedVectorList4_16b1_2__VectorReg641_3,
1641 Convert__VectorReg641_0__TypedVectorList1_16b1_2__VectorReg641_3,
1642 Convert__VectorReg641_0__TypedVectorList3_16b1_2__VectorReg641_3,
1643 Convert__VectorReg641_0__TypedVectorList2_16b1_2__VectorReg641_3,
1644 Convert__Reg1_0__Imm0_311_1__BranchTarget141_2,
1645 Convert__Reg1_0__Imm32_631_1__BranchTarget141_2,
1646 Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2,
1647 Convert__VectorReg1281_1__Tie0__VecListFour1281_2__VectorReg1281_3,
1648 Convert__VectorReg1281_1__Tie0__VecListOne1281_2__VectorReg1281_3,
1649 Convert__VectorReg1281_1__Tie0__VecListThree1281_2__VectorReg1281_3,
1650 Convert__VectorReg1281_1__Tie0__VecListTwo1281_2__VectorReg1281_3,
1651 Convert__VectorReg641_1__Tie0__VecListFour1281_2__VectorReg641_3,
1652 Convert__VectorReg641_1__Tie0__VecListOne1281_2__VectorReg641_3,
1653 Convert__VectorReg641_1__Tie0__VecListThree1281_2__VectorReg641_3,
1654 Convert__VectorReg641_1__Tie0__VecListTwo1281_2__VectorReg641_3,
1655 Convert__VectorReg1281_0__Tie0__TypedVectorList4_16b1_2__VectorReg1281_3,
1656 Convert__VectorReg1281_0__Tie0__TypedVectorList1_16b1_2__VectorReg1281_3,
1657 Convert__VectorReg1281_0__Tie0__TypedVectorList3_16b1_2__VectorReg1281_3,
1658 Convert__VectorReg1281_0__Tie0__TypedVectorList2_16b1_2__VectorReg1281_3,
1659 Convert__VectorReg641_0__Tie0__TypedVectorList4_16b1_2__VectorReg641_3,
1660 Convert__VectorReg641_0__Tie0__TypedVectorList1_16b1_2__VectorReg641_3,
1661 Convert__VectorReg641_0__Tie0__TypedVectorList3_16b1_2__VectorReg641_3,
1662 Convert__VectorReg641_0__Tie0__TypedVectorList2_16b1_2__VectorReg641_3,
1663 Convert__regWZR__Reg1_0__LogicalImm321_1,
1664 Convert__regXZR__Reg1_0__LogicalImm641_1,
1665 Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2,
1666 Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2,
1667 Convert__imm_95_2,
1668 Convert__imm_95_3,
1669 Convert__imm_95_1,
1670 CVT_NUM_SIGNATURES
1671};
1672
1673} // end anonymous namespace
1674
1675static const uint8_t ConversionTable[CVT_NUM_SIGNATURES][13] = {
1676 // Convert__Reg1_0__Reg1_1
1677 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Done },
1678 // Convert__VectorReg1281_1__VectorReg1281_2
1679 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
1680 // Convert__VectorReg641_1__VectorReg641_2
1681 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
1682 // Convert__VectorReg1281_0__VectorReg1281_2
1683 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_Done },
1684 // Convert__VectorReg641_0__VectorReg641_2
1685 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_Done },
1686 // Convert__Reg1_0__Reg1_1__Reg1_2
1687 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
1688 // Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16
1689 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_16, 0, CVT_Done },
1690 // Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24
1691 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_24, 0, CVT_Done },
1692 // Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0
1693 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
1694 // Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2
1695 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addAddSubImmNegOperands, 3, CVT_Done },
1696 // Convert__Reg1_0__Reg1_1__AddSubImm2_2
1697 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addAddSubImmOperands, 3, CVT_Done },
1698 // Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3
1699 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
1700 // Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3
1701 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
1702 // Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3
1703 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1704 // Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3
1705 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addExtendOperands, 4, CVT_Done },
1706 // Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3
1707 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1708 // Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3
1709 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addExtend64Operands, 4, CVT_Done },
1710 // Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3
1711 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addExtend64Operands, 4, CVT_Done },
1712 // Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4
1713 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_Done },
1714 // Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4
1715 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
1716 // Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3
1717 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
1718 // Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4
1719 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_Done },
1720 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3
1721 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
1722 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4
1723 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_Done },
1724 // Convert__Reg1_1__VectorReg1281_2
1725 { CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
1726 // Convert__Reg1_0__VectorReg1281_1
1727 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_Done },
1728 // Convert__Reg1_1__VectorReg641_2
1729 { CVT_95_Reg, 2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
1730 // Convert__Reg1_0__VectorReg641_1
1731 { CVT_95_Reg, 1, CVT_95_addVectorReg64Operands, 2, CVT_Done },
1732 // Convert__Reg1_0__AdrLabel1_1
1733 { CVT_95_Reg, 1, CVT_95_addAdrLabelOperands, 2, CVT_Done },
1734 // Convert__Reg1_0__AdrpLabel1_1
1735 { CVT_95_Reg, 1, CVT_95_addAdrpLabelOperands, 2, CVT_Done },
1736 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2
1737 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_Done },
1738 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2
1739 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_Done },
1740 // Convert__Reg1_0__Reg1_1__LogicalImm321_2
1741 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addLogicalImm32Operands, 3, CVT_Done },
1742 // Convert__Reg1_0__Reg1_1__LogicalImm641_2
1743 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addLogicalImm64Operands, 3, CVT_Done },
1744 // Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3
1745 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1746 // Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3
1747 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1748 // Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31
1749 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_31Operands, 3, CVT_imm_95_31, 0, CVT_Done },
1750 // Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63
1751 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_63Operands, 3, CVT_imm_95_63, 0, CVT_Done },
1752 // Convert__BranchTarget261_0
1753 { CVT_95_addBranchTarget26Operands, 1, CVT_Done },
1754 // Convert__CondCode1_1__PCRelLabel191_2
1755 { CVT_95_addCondCodeOperands, 2, CVT_95_addPCRelLabel19Operands, 3, CVT_Done },
1756 // Convert__Reg1_0__Tie0__Reg1_1__Imm0_311_2__Imm0_311_3
1757 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_addImm0_95_31Operands, 3, CVT_95_addImm0_95_31Operands, 4, CVT_Done },
1758 // Convert__Reg1_0__Tie0__Reg1_1__Imm0_631_2__Imm0_631_3
1759 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_addImm0_95_63Operands, 3, CVT_95_addImm0_95_63Operands, 4, CVT_Done },
1760 // Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0
1761 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_imm_95_0, 0, CVT_Done },
1762 // Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0
1763 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_imm_95_0, 0, CVT_Done },
1764 // Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2
1765 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addLogicalImm32NotOperands, 3, CVT_Done },
1766 // Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2
1767 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addLogicalImm64NotOperands, 3, CVT_Done },
1768 // Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0
1769 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_imm_95_0, 0, CVT_Done },
1770 // Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0
1771 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_imm_95_0, 0, CVT_Done },
1772 // Convert__VectorReg641_1__Tie0__Imm0_2551_2__LogicalVecShifter1_3
1773 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1774 // Convert__VectorReg641_1__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3
1775 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1776 // Convert__VectorReg1281_1__Tie0__Imm0_2551_2__LogicalVecShifter1_3
1777 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1778 // Convert__VectorReg1281_1__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3
1779 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1780 // Convert__VectorReg1281_0__Tie0__Imm0_2551_2__LogicalVecShifter1_3
1781 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1782 // Convert__VectorReg1281_0__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3
1783 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1784 // Convert__VectorReg641_0__Tie0__Imm0_2551_2__LogicalVecShifter1_3
1785 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1786 // Convert__VectorReg641_0__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3
1787 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
1788 // Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3
1789 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
1790 // Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4
1791 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
1792 // Convert__Reg1_0
1793 { CVT_95_Reg, 1, CVT_Done },
1794 // Convert__Imm0_655351_0
1795 { CVT_95_addImm0_95_65535Operands, 1, CVT_Done },
1796 // Convert__Reg1_0__Tie0__Reg1_1__Reg1_3
1797 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_Done },
1798 // Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3
1799 { CVT_95_addRegOperands, 1, CVT_Tied, 0, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_Done },
1800 // Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3
1801 { CVT_95_addRegOperands, 1, CVT_Tied, 0, CVT_95_addRegOperands, 2, CVT_95_Reg, 4, CVT_Done },
1802 // Convert__Reg1_0__PCRelLabel191_1
1803 { CVT_95_Reg, 1, CVT_95_addPCRelLabel19Operands, 2, CVT_Done },
1804 // Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3
1805 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_15Operands, 3, CVT_95_addCondCodeOperands, 4, CVT_Done },
1806 // Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3
1807 { CVT_95_Reg, 1, CVT_95_addImm0_95_31Operands, 2, CVT_95_addImm0_95_15Operands, 3, CVT_95_addCondCodeOperands, 4, CVT_Done },
1808 // Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2
1809 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addCondCodeOperands, 3, CVT_Done },
1810 // Convert__imm_95_15
1811 { CVT_imm_95_15, 0, CVT_Done },
1812 // Convert__Imm0_151_0
1813 { CVT_95_addImm0_95_15Operands, 1, CVT_Done },
1814 // Convert__Reg1_0__Reg1_2__Reg1_1
1815 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 2, CVT_Done },
1816 // Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2
1817 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorReg128Operands, 3, CVT_Done },
1818 // Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2
1819 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 4, CVT_95_addVectorReg64Operands, 3, CVT_Done },
1820 // Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2
1821 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorReg128Operands, 3, CVT_Done },
1822 // Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2
1823 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 5, CVT_95_addVectorReg64Operands, 3, CVT_Done },
1824 // Convert__regWZR__Reg1_0__Reg1_1__imm_95_16
1825 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_16, 0, CVT_Done },
1826 // Convert__regXZR__Reg1_0__Reg1_1__imm_95_24
1827 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_24, 0, CVT_Done },
1828 // Convert__regWZR__Reg1_0__Reg1_1__imm_95_0
1829 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
1830 // Convert__regWZR__Reg1_0__AddSubImmNeg2_1
1831 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_addAddSubImmNegOperands, 2, CVT_Done },
1832 // Convert__regWZR__Reg1_0__AddSubImm2_1
1833 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_addAddSubImmOperands, 2, CVT_Done },
1834 // Convert__regXZR__Reg1_0__Reg1_1__imm_95_0
1835 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
1836 // Convert__regXZR__Reg1_0__AddSubImmNeg2_1
1837 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_addAddSubImmNegOperands, 2, CVT_Done },
1838 // Convert__regXZR__Reg1_0__AddSubImm2_1
1839 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_addAddSubImmOperands, 2, CVT_Done },
1840 // Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2
1841 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
1842 // Convert__regWZR__Reg1_0__Reg1_1__Extend1_2
1843 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addExtendOperands, 3, CVT_Done },
1844 // Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2
1845 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
1846 // Convert__regXZR__Reg1_0__Reg1_1__Extend1_2
1847 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addExtendOperands, 3, CVT_Done },
1848 // Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2
1849 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addExtend64Operands, 3, CVT_Done },
1850 // Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3
1851 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addCondCodeOperands, 4, CVT_Done },
1852 // Convert__Reg1_0__regWZR__regWZR__CondCode1_1
1853 { CVT_95_Reg, 1, CVT_regWZR, 0, CVT_regWZR, 0, CVT_95_addCondCodeOperands, 2, CVT_Done },
1854 // Convert__Reg1_0__regXZR__regXZR__CondCode1_1
1855 { CVT_95_Reg, 1, CVT_regXZR, 0, CVT_regXZR, 0, CVT_95_addCondCodeOperands, 2, CVT_Done },
1856 // Convert__imm_95_0
1857 { CVT_imm_95_0, 0, CVT_Done },
1858 // Convert__Barrier1_0
1859 { CVT_95_addBarrierOperands, 1, CVT_Done },
1860 // Convert_NoOperands
1861 { CVT_Done },
1862 // Convert__VectorReg1281_1__Reg1_2
1863 { CVT_95_addVectorReg128Operands, 2, CVT_95_Reg, 3, CVT_Done },
1864 // Convert__VectorReg641_1__Reg1_2
1865 { CVT_95_addVectorReg64Operands, 2, CVT_95_Reg, 3, CVT_Done },
1866 // Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_2
1867 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Done },
1868 // Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_2
1869 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Done },
1870 // Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_2
1871 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Done },
1872 // Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_2
1873 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Done },
1874 // Convert__VectorReg1281_0__Reg1_2
1875 { CVT_95_addVectorReg128Operands, 1, CVT_95_Reg, 3, CVT_Done },
1876 // Convert__VectorReg641_0__Reg1_2
1877 { CVT_95_addVectorReg64Operands, 1, CVT_95_Reg, 3, CVT_Done },
1878 // Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexB1_3
1879 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexBOperands, 4, CVT_Done },
1880 // Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexD1_3
1881 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexDOperands, 4, CVT_Done },
1882 // Convert__VectorReg641_1__VectorReg1281_2__VectorIndexS1_3
1883 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexSOperands, 4, CVT_Done },
1884 // Convert__VectorReg641_1__VectorReg1281_2__VectorIndexH1_3
1885 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexHOperands, 4, CVT_Done },
1886 // Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexS1_3
1887 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexSOperands, 4, CVT_Done },
1888 // Convert__VectorReg641_1__VectorReg1281_2__VectorIndexB1_3
1889 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexBOperands, 4, CVT_Done },
1890 // Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexH1_3
1891 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexHOperands, 4, CVT_Done },
1892 // Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_3
1893 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexHOperands, 4, CVT_Done },
1894 // Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_3
1895 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexSOperands, 4, CVT_Done },
1896 // Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_3
1897 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexDOperands, 4, CVT_Done },
1898 // Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_3
1899 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndexBOperands, 4, CVT_Done },
1900 // Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexB1_4
1901 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexBOperands, 5, CVT_Done },
1902 // Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexD1_4
1903 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexDOperands, 5, CVT_Done },
1904 // Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexS1_4
1905 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
1906 // Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexH1_4
1907 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
1908 // Convert__VectorReg641_0__VectorReg1281_2__VectorIndexS1_4
1909 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
1910 // Convert__VectorReg641_0__VectorReg1281_2__VectorIndexH1_4
1911 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
1912 // Convert__VectorReg641_0__VectorReg1281_2__VectorIndexB1_4
1913 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexBOperands, 5, CVT_Done },
1914 // Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__Imm1_4
1915 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1916 // Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__Imm1_4
1917 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1918 // Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__Imm1_6
1919 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addImmOperands, 7, CVT_Done },
1920 // Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__Imm1_6
1921 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_95_addImmOperands, 7, CVT_Done },
1922 // Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3
1923 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addImm0_95_31Operands, 4, CVT_Done },
1924 // Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3
1925 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addImm0_95_63Operands, 4, CVT_Done },
1926 // Convert__VectorReg1281_0__VectorReg641_2
1927 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_Done },
1928 // Convert__VectorReg641_0__VectorReg1281_2
1929 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_Done },
1930 // Convert__Reg1_0__Reg1_1__Imm1_161_2
1931 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm1_95_16Operands, 3, CVT_Done },
1932 // Convert__Reg1_0__Reg1_1__Imm1_321_2
1933 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm1_95_32Operands, 3, CVT_Done },
1934 // Convert__Reg1_0__Reg1_1__Imm1_641_2
1935 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm1_95_64Operands, 3, CVT_Done },
1936 // Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3
1937 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_64Operands, 4, CVT_Done },
1938 // Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3
1939 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_32Operands, 4, CVT_Done },
1940 // Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3
1941 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_16Operands, 4, CVT_Done },
1942 // Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3
1943 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_32Operands, 4, CVT_Done },
1944 // Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3
1945 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_16Operands, 4, CVT_Done },
1946 // Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4
1947 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_64Operands, 5, CVT_Done },
1948 // Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4
1949 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_32Operands, 5, CVT_Done },
1950 // Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4
1951 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_16Operands, 5, CVT_Done },
1952 // Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4
1953 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_32Operands, 5, CVT_Done },
1954 // Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4
1955 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_16Operands, 5, CVT_Done },
1956 // Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3
1957 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_Done },
1958 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexD1_4
1959 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexDOperands, 5, CVT_Done },
1960 // Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4
1961 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
1962 // Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4
1963 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
1964 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4
1965 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
1966 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4
1967 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
1968 // Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexD1_4
1969 { CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_Reg, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexDOperands, 5, CVT_Done },
1970 // Convert__Reg1_1__Tie0__Reg1_2__VectorRegLo1_3__VectorIndexH1_4
1971 { CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_Reg, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
1972 // Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexS1_4
1973 { CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_Reg, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
1974 // Convert__Reg1_0__Tie0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4
1975 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_addVectorRegLoOperands, 3, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
1976 // Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexS1_4
1977 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
1978 // Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexD1_4
1979 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexDOperands, 5, CVT_Done },
1980 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexD1_6
1981 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexDOperands, 7, CVT_Done },
1982 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6
1983 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexSOperands, 7, CVT_Done },
1984 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6
1985 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexHOperands, 7, CVT_Done },
1986 // Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6
1987 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexSOperands, 7, CVT_Done },
1988 // Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6
1989 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexHOperands, 7, CVT_Done },
1990 // Convert__Reg1_0__FPImm1_1
1991 { CVT_95_Reg, 1, CVT_95_addFPImmOperands, 2, CVT_Done },
1992 // Convert__VectorReg1281_1__FPImm1_2
1993 { CVT_95_addVectorReg128Operands, 2, CVT_95_addFPImmOperands, 3, CVT_Done },
1994 // Convert__VectorReg641_1__FPImm1_2
1995 { CVT_95_addVectorReg64Operands, 2, CVT_95_addFPImmOperands, 3, CVT_Done },
1996 // Convert__VectorReg1281_0__FPImm1_2
1997 { CVT_95_addVectorReg128Operands, 1, CVT_95_addFPImmOperands, 3, CVT_Done },
1998 // Convert__VectorReg641_0__FPImm1_2
1999 { CVT_95_addVectorReg64Operands, 1, CVT_95_addFPImmOperands, 3, CVT_Done },
2000 // Convert__Reg1_1__VectorReg1281_2__VectorIndex11_3
2001 { CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndex1Operands, 4, CVT_Done },
2002 // Convert__VectorReg1281_1__Reg1_3__VectorIndex11_2
2003 { CVT_95_addVectorReg128Operands, 2, CVT_95_Reg, 4, CVT_95_addVectorIndex1Operands, 3, CVT_Done },
2004 // Convert__Reg1_0__VectorReg1281_1__VectorIndex11_3
2005 { CVT_95_Reg, 1, CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorIndex1Operands, 4, CVT_Done },
2006 // Convert__VectorReg1281_0__Reg1_3__VectorIndex11_2
2007 { CVT_95_addVectorReg128Operands, 1, CVT_95_Reg, 4, CVT_95_addVectorIndex1Operands, 3, CVT_Done },
2008 // Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexD1_4
2009 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexDOperands, 5, CVT_Done },
2010 // Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4
2011 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
2012 // Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4
2013 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
2014 // Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4
2015 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
2016 // Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4
2017 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
2018 // Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexD1_4
2019 { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexDOperands, 5, CVT_Done },
2020 // Convert__Reg1_1__Reg1_2__VectorRegLo1_3__VectorIndexH1_4
2021 { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
2022 // Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexS1_4
2023 { CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
2024 // Convert__Reg1_0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4
2025 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addVectorRegLoOperands, 3, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
2026 // Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexS1_4
2027 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
2028 // Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexD1_4
2029 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexDOperands, 5, CVT_Done },
2030 // Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexD1_6
2031 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexDOperands, 7, CVT_Done },
2032 // Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6
2033 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexSOperands, 7, CVT_Done },
2034 // Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6
2035 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexHOperands, 7, CVT_Done },
2036 // Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6
2037 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexSOperands, 7, CVT_Done },
2038 // Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6
2039 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexHOperands, 7, CVT_Done },
2040 // Convert__Imm0_1271_0
2041 { CVT_95_addImm0_95_127Operands, 1, CVT_Done },
2042 // Convert__VectorReg1281_1__Tie0__VectorIndexB1_2__Reg1_3
2043 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 4, CVT_Done },
2044 // Convert__VectorReg1281_1__Tie0__VectorIndexD1_2__Reg1_3
2045 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 4, CVT_Done },
2046 // Convert__VectorReg1281_1__Tie0__VectorIndexH1_2__Reg1_3
2047 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 4, CVT_Done },
2048 // Convert__VectorReg1281_1__Tie0__VectorIndexS1_2__Reg1_3
2049 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 4, CVT_Done },
2050 // Convert__VectorReg1281_0__Tie0__VectorIndexB1_2__Reg1_3
2051 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 4, CVT_Done },
2052 // Convert__VectorReg1281_0__Tie0__VectorIndexD1_2__Reg1_3
2053 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 4, CVT_Done },
2054 // Convert__VectorReg1281_0__Tie0__VectorIndexH1_2__Reg1_3
2055 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 4, CVT_Done },
2056 // Convert__VectorReg1281_0__Tie0__VectorIndexS1_2__Reg1_3
2057 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 4, CVT_Done },
2058 // Convert__VectorReg1281_1__Tie0__VectorIndexB1_2__VectorReg1281_3__VectorIndexB1_4
2059 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexBOperands, 5, CVT_Done },
2060 // Convert__VectorReg1281_1__Tie0__VectorIndexD1_2__VectorReg1281_3__VectorIndexD1_4
2061 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexDOperands, 5, CVT_Done },
2062 // Convert__VectorReg1281_1__Tie0__VectorIndexH1_2__VectorReg1281_3__VectorIndexH1_4
2063 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
2064 // Convert__VectorReg1281_1__Tie0__VectorIndexS1_2__VectorReg1281_3__VectorIndexS1_4
2065 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
2066 // Convert__VectorReg1281_0__Tie0__VectorIndexB1_2__VectorReg1281_3__VectorIndexB1_5
2067 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexBOperands, 6, CVT_Done },
2068 // Convert__VectorReg1281_0__Tie0__VectorIndexD1_2__VectorReg1281_3__VectorIndexD1_5
2069 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexDOperands, 6, CVT_Done },
2070 // Convert__VectorReg1281_0__Tie0__VectorIndexH1_2__VectorReg1281_3__VectorIndexH1_5
2071 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexHOperands, 6, CVT_Done },
2072 // Convert__VectorReg1281_0__Tie0__VectorIndexS1_2__VectorReg1281_3__VectorIndexS1_5
2073 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 6, CVT_Done },
2074 // Convert__TypedVectorList4_16b1_0__Reg1_2
2075 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2076 // Convert__TypedVectorList4_1d1_0__Reg1_2
2077 { CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2078 // Convert__TypedVectorList4_2d1_0__Reg1_2
2079 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2080 // Convert__TypedVectorList4_2s1_0__Reg1_2
2081 { CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2082 // Convert__TypedVectorList4_4h1_0__Reg1_2
2083 { CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2084 // Convert__TypedVectorList4_4s1_0__Reg1_2
2085 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2086 // Convert__TypedVectorList4_8b1_0__Reg1_2
2087 { CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2088 // Convert__TypedVectorList4_8h1_0__Reg1_2
2089 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2090 // Convert__TypedVectorList1_16b1_0__Reg1_2
2091 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2092 // Convert__TypedVectorList1_1d1_0__Reg1_2
2093 { CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2094 // Convert__TypedVectorList1_2d1_0__Reg1_2
2095 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2096 // Convert__TypedVectorList1_2s1_0__Reg1_2
2097 { CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2098 // Convert__TypedVectorList1_4h1_0__Reg1_2
2099 { CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2100 // Convert__TypedVectorList1_4s1_0__Reg1_2
2101 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2102 // Convert__TypedVectorList1_8b1_0__Reg1_2
2103 { CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2104 // Convert__TypedVectorList1_8h1_0__Reg1_2
2105 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2106 // Convert__TypedVectorList3_16b1_0__Reg1_2
2107 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2108 // Convert__TypedVectorList3_1d1_0__Reg1_2
2109 { CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2110 // Convert__TypedVectorList3_2d1_0__Reg1_2
2111 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2112 // Convert__TypedVectorList3_2s1_0__Reg1_2
2113 { CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2114 // Convert__TypedVectorList3_4h1_0__Reg1_2
2115 { CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2116 // Convert__TypedVectorList3_4s1_0__Reg1_2
2117 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2118 // Convert__TypedVectorList3_8b1_0__Reg1_2
2119 { CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2120 // Convert__TypedVectorList3_8h1_0__Reg1_2
2121 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2122 // Convert__TypedVectorList2_16b1_0__Reg1_2
2123 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2124 // Convert__TypedVectorList2_1d1_0__Reg1_2
2125 { CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2126 // Convert__TypedVectorList2_2d1_0__Reg1_2
2127 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2128 // Convert__TypedVectorList2_2s1_0__Reg1_2
2129 { CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2130 // Convert__TypedVectorList2_4h1_0__Reg1_2
2131 { CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2132 // Convert__TypedVectorList2_4s1_0__Reg1_2
2133 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2134 // Convert__TypedVectorList2_8b1_0__Reg1_2
2135 { CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2136 // Convert__TypedVectorList2_8h1_0__Reg1_2
2137 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_Reg, 3, CVT_Done },
2138 // Convert__VecListFour1281_1__Reg1_3
2139 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_Reg, 4, CVT_Done },
2140 // Convert__VecListOne1281_1__Reg1_3
2141 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_Reg, 4, CVT_Done },
2142 // Convert__VecListThree1281_1__Reg1_3
2143 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_Reg, 4, CVT_Done },
2144 // Convert__VecListTwo1281_1__Reg1_3
2145 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_Reg, 4, CVT_Done },
2146 // Convert__VecListFour641_1__Reg1_3
2147 { CVT_95_addVectorList64Operands_LT_4_GT_, 2, CVT_95_Reg, 4, CVT_Done },
2148 // Convert__VecListOne641_1__Reg1_3
2149 { CVT_95_addVectorList64Operands_LT_1_GT_, 2, CVT_95_Reg, 4, CVT_Done },
2150 // Convert__VecListThree641_1__Reg1_3
2151 { CVT_95_addVectorList64Operands_LT_3_GT_, 2, CVT_95_Reg, 4, CVT_Done },
2152 // Convert__VecListTwo641_1__Reg1_3
2153 { CVT_95_addVectorList64Operands_LT_2_GT_, 2, CVT_95_Reg, 4, CVT_Done },
2154 // Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR
2155 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2156 // Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4
2157 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2158 // Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__regXZR
2159 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2160 // Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__Reg1_4
2161 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2162 // Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR
2163 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2164 // Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4
2165 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2166 // Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR
2167 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2168 // Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4
2169 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2170 // Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR
2171 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2172 // Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4
2173 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2174 // Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR
2175 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2176 // Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4
2177 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2178 // Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR
2179 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2180 // Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4
2181 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2182 // Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR
2183 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2184 // Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4
2185 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2186 // Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__regXZR
2187 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2188 // Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__Reg1_4
2189 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2190 // Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__regXZR
2191 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2192 // Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__Reg1_4
2193 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2194 // Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__regXZR
2195 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2196 // Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__Reg1_4
2197 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2198 // Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__regXZR
2199 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2200 // Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__Reg1_4
2201 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2202 // Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__regXZR
2203 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2204 // Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__Reg1_4
2205 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2206 // Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__regXZR
2207 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2208 // Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__Reg1_4
2209 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2210 // Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__regXZR
2211 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2212 // Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__Reg1_4
2213 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2214 // Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__regXZR
2215 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2216 // Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__Reg1_4
2217 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2218 // Convert__TypedVectorList1_0b1_0__Tie0__VectorIndexB1_1__Reg1_3
2219 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 2, CVT_95_Reg, 4, CVT_Done },
2220 // Convert__TypedVectorList1_0d1_0__Tie0__VectorIndexD1_1__Reg1_3
2221 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 2, CVT_95_Reg, 4, CVT_Done },
2222 // Convert__TypedVectorList1_0h1_0__Tie0__VectorIndexH1_1__Reg1_3
2223 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 2, CVT_95_Reg, 4, CVT_Done },
2224 // Convert__TypedVectorList1_0s1_0__Tie0__VectorIndexS1_1__Reg1_3
2225 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 2, CVT_95_Reg, 4, CVT_Done },
2226 // Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR
2227 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2228 // Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4
2229 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2230 // Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__regXZR
2231 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2232 // Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__Reg1_4
2233 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2234 // Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR
2235 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2236 // Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4
2237 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2238 // Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR
2239 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2240 // Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4
2241 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2242 // Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR
2243 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2244 // Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4
2245 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2246 // Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR
2247 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2248 // Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4
2249 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2250 // Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR
2251 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2252 // Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4
2253 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2254 // Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR
2255 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2256 // Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4
2257 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2258 // Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR
2259 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2260 // Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4
2261 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2262 // Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__regXZR
2263 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2264 // Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__Reg1_4
2265 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2266 // Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR
2267 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2268 // Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4
2269 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2270 // Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR
2271 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2272 // Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4
2273 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2274 // Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR
2275 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2276 // Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4
2277 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2278 // Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR
2279 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2280 // Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4
2281 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2282 // Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR
2283 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2284 // Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4
2285 { CVT_95_Reg, 3, CVT_95_addVectorList64Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2286 // Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR
2287 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2288 // Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4
2289 { CVT_95_Reg, 3, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_Reg, 5, CVT_Done },
2290 // Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR
2291 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2292 // Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5
2293 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2294 // Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR
2295 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2296 // Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5
2297 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2298 // Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR
2299 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2300 // Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5
2301 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2302 // Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR
2303 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2304 // Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5
2305 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2306 // Convert__Reg1_3__VecListFour641_1__Tie0__regXZR
2307 { CVT_95_Reg, 4, CVT_95_addVectorList64Operands_LT_4_GT_, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2308 // Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5
2309 { CVT_95_Reg, 4, CVT_95_addVectorList64Operands_LT_4_GT_, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2310 // Convert__Reg1_3__VecListOne641_1__Tie0__regXZR
2311 { CVT_95_Reg, 4, CVT_95_addVectorList64Operands_LT_1_GT_, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2312 // Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5
2313 { CVT_95_Reg, 4, CVT_95_addVectorList64Operands_LT_1_GT_, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2314 // Convert__Reg1_3__VecListThree641_1__Tie0__regXZR
2315 { CVT_95_Reg, 4, CVT_95_addVectorList64Operands_LT_3_GT_, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2316 // Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5
2317 { CVT_95_Reg, 4, CVT_95_addVectorList64Operands_LT_3_GT_, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2318 // Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR
2319 { CVT_95_Reg, 4, CVT_95_addVectorList64Operands_LT_2_GT_, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2320 // Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5
2321 { CVT_95_Reg, 4, CVT_95_addVectorList64Operands_LT_2_GT_, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2322 // Convert__VecListOne1281_1__Tie0__VectorIndexB1_2__Reg1_4
2323 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 5, CVT_Done },
2324 // Convert__VecListOne1281_1__Tie0__VectorIndexD1_2__Reg1_4
2325 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 5, CVT_Done },
2326 // Convert__VecListOne1281_1__Tie0__VectorIndexH1_2__Reg1_4
2327 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 5, CVT_Done },
2328 // Convert__VecListOne1281_1__Tie0__VectorIndexS1_2__Reg1_4
2329 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 5, CVT_Done },
2330 // Convert__Reg1_3__TypedVectorList1_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR
2331 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2332 // Convert__Reg1_3__TypedVectorList1_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5
2333 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2334 // Convert__Reg1_3__TypedVectorList1_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR
2335 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2336 // Convert__Reg1_3__TypedVectorList1_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5
2337 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2338 // Convert__Reg1_3__TypedVectorList1_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR
2339 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2340 // Convert__Reg1_3__TypedVectorList1_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5
2341 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2342 // Convert__Reg1_3__TypedVectorList1_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR
2343 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2344 // Convert__Reg1_3__TypedVectorList1_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5
2345 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2346 // Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR
2347 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2348 // Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6
2349 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2350 // Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR
2351 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2352 // Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6
2353 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2354 // Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR
2355 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2356 // Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6
2357 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2358 // Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR
2359 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2360 // Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6
2361 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2362 // Convert__TypedVectorList2_0b1_0__Tie0__VectorIndexB1_1__Reg1_3
2363 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 2, CVT_95_Reg, 4, CVT_Done },
2364 // Convert__TypedVectorList2_0d1_0__Tie0__VectorIndexD1_1__Reg1_3
2365 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 2, CVT_95_Reg, 4, CVT_Done },
2366 // Convert__TypedVectorList2_0h1_0__Tie0__VectorIndexH1_1__Reg1_3
2367 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 2, CVT_95_Reg, 4, CVT_Done },
2368 // Convert__TypedVectorList2_0s1_0__Tie0__VectorIndexS1_1__Reg1_3
2369 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 2, CVT_95_Reg, 4, CVT_Done },
2370 // Convert__VecListTwo1281_1__Tie0__VectorIndexB1_2__Reg1_4
2371 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 5, CVT_Done },
2372 // Convert__VecListTwo1281_1__Tie0__VectorIndexD1_2__Reg1_4
2373 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 5, CVT_Done },
2374 // Convert__VecListTwo1281_1__Tie0__VectorIndexH1_2__Reg1_4
2375 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 5, CVT_Done },
2376 // Convert__VecListTwo1281_1__Tie0__VectorIndexS1_2__Reg1_4
2377 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 5, CVT_Done },
2378 // Convert__Reg1_3__TypedVectorList2_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR
2379 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2380 // Convert__Reg1_3__TypedVectorList2_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5
2381 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2382 // Convert__Reg1_3__TypedVectorList2_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR
2383 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2384 // Convert__Reg1_3__TypedVectorList2_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5
2385 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2386 // Convert__Reg1_3__TypedVectorList2_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR
2387 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2388 // Convert__Reg1_3__TypedVectorList2_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5
2389 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2390 // Convert__Reg1_3__TypedVectorList2_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR
2391 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2392 // Convert__Reg1_3__TypedVectorList2_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5
2393 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2394 // Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR
2395 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2396 // Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6
2397 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2398 // Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR
2399 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2400 // Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6
2401 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2402 // Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR
2403 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2404 // Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6
2405 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2406 // Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR
2407 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2408 // Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6
2409 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2410 // Convert__TypedVectorList3_0b1_0__Tie0__VectorIndexB1_1__Reg1_3
2411 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 2, CVT_95_Reg, 4, CVT_Done },
2412 // Convert__TypedVectorList3_0d1_0__Tie0__VectorIndexD1_1__Reg1_3
2413 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 2, CVT_95_Reg, 4, CVT_Done },
2414 // Convert__TypedVectorList3_0h1_0__Tie0__VectorIndexH1_1__Reg1_3
2415 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 2, CVT_95_Reg, 4, CVT_Done },
2416 // Convert__TypedVectorList3_0s1_0__Tie0__VectorIndexS1_1__Reg1_3
2417 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 2, CVT_95_Reg, 4, CVT_Done },
2418 // Convert__VecListThree1281_1__Tie0__VectorIndexB1_2__Reg1_4
2419 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 5, CVT_Done },
2420 // Convert__VecListThree1281_1__Tie0__VectorIndexD1_2__Reg1_4
2421 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 5, CVT_Done },
2422 // Convert__VecListThree1281_1__Tie0__VectorIndexH1_2__Reg1_4
2423 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 5, CVT_Done },
2424 // Convert__VecListThree1281_1__Tie0__VectorIndexS1_2__Reg1_4
2425 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 5, CVT_Done },
2426 // Convert__Reg1_3__TypedVectorList3_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR
2427 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2428 // Convert__Reg1_3__TypedVectorList3_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5
2429 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2430 // Convert__Reg1_3__TypedVectorList3_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR
2431 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2432 // Convert__Reg1_3__TypedVectorList3_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5
2433 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2434 // Convert__Reg1_3__TypedVectorList3_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR
2435 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2436 // Convert__Reg1_3__TypedVectorList3_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5
2437 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2438 // Convert__Reg1_3__TypedVectorList3_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR
2439 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2440 // Convert__Reg1_3__TypedVectorList3_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5
2441 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2442 // Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR
2443 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2444 // Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6
2445 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2446 // Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR
2447 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2448 // Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6
2449 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2450 // Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR
2451 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2452 // Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6
2453 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2454 // Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR
2455 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2456 // Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6
2457 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2458 // Convert__TypedVectorList4_0b1_0__Tie0__VectorIndexB1_1__Reg1_3
2459 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 2, CVT_95_Reg, 4, CVT_Done },
2460 // Convert__TypedVectorList4_0d1_0__Tie0__VectorIndexD1_1__Reg1_3
2461 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 2, CVT_95_Reg, 4, CVT_Done },
2462 // Convert__TypedVectorList4_0h1_0__Tie0__VectorIndexH1_1__Reg1_3
2463 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 2, CVT_95_Reg, 4, CVT_Done },
2464 // Convert__TypedVectorList4_0s1_0__Tie0__VectorIndexS1_1__Reg1_3
2465 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 2, CVT_95_Reg, 4, CVT_Done },
2466 // Convert__VecListFour1281_1__Tie0__VectorIndexB1_2__Reg1_4
2467 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 5, CVT_Done },
2468 // Convert__VecListFour1281_1__Tie0__VectorIndexD1_2__Reg1_4
2469 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 5, CVT_Done },
2470 // Convert__VecListFour1281_1__Tie0__VectorIndexH1_2__Reg1_4
2471 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 5, CVT_Done },
2472 // Convert__VecListFour1281_1__Tie0__VectorIndexS1_2__Reg1_4
2473 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 0, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 5, CVT_Done },
2474 // Convert__Reg1_3__TypedVectorList4_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR
2475 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2476 // Convert__Reg1_3__TypedVectorList4_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5
2477 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2478 // Convert__Reg1_3__TypedVectorList4_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR
2479 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2480 // Convert__Reg1_3__TypedVectorList4_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5
2481 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2482 // Convert__Reg1_3__TypedVectorList4_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR
2483 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2484 // Convert__Reg1_3__TypedVectorList4_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5
2485 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2486 // Convert__Reg1_3__TypedVectorList4_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR
2487 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2488 // Convert__Reg1_3__TypedVectorList4_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5
2489 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2490 // Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR
2491 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2492 // Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6
2493 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2494 // Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR
2495 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2496 // Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6
2497 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2498 // Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR
2499 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2500 // Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6
2501 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2502 // Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR
2503 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2504 // Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6
2505 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_Tied, 1, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2506 // Convert__Reg1_1__Reg1_0__Reg1_3
2507 { CVT_95_Reg, 2, CVT_95_Reg, 1, CVT_95_Reg, 4, CVT_Done },
2508 // Convert__Reg1_0__GPR64sp01_2
2509 { CVT_95_Reg, 1, CVT_95_addRegOperands, 3, CVT_Done },
2510 // Convert__Reg1_0__Reg1_1__GPR64sp01_3
2511 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addRegOperands, 4, CVT_Done },
2512 // Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0
2513 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_Done },
2514 // Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4
2515 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addSImm7s16Operands, 5, CVT_Done },
2516 // Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4
2517 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addSImm7s4Operands, 5, CVT_Done },
2518 // Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4
2519 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 4, CVT_95_addSImm7s8Operands, 5, CVT_Done },
2520 // Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_5
2521 { CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_addSImm7s16Operands, 6, CVT_Done },
2522 // Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5
2523 { CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_addSImm7s4Operands, 6, CVT_Done },
2524 // Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5
2525 { CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_addSImm7s8Operands, 6, CVT_Done },
2526 // Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_4
2527 { CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_addSImm7s16Operands, 5, CVT_Done },
2528 // Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4
2529 { CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_addSImm7s4Operands, 5, CVT_Done },
2530 // Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4
2531 { CVT_95_Reg, 4, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_addSImm7s8Operands, 5, CVT_Done },
2532 // Convert__Reg1_0__Reg1_2__imm_95_0
2533 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
2534 // Convert__Reg1_2__Reg1_0__Tie0__SImm91_4
2535 { CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addSImm9Operands, 5, CVT_Done },
2536 // Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
2537 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
2538 // Convert__Reg1_0__Reg1_2__SImm9OffsetFB1281_3
2539 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
2540 // Convert__Reg1_0__Reg1_2__UImm12Offset161_3
2541 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_16_GT_, 4, CVT_Done },
2542 // Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3
2543 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
2544 // Convert__Reg1_0__Reg1_2__UImm12Offset21_3
2545 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_2_GT_, 4, CVT_Done },
2546 // Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3
2547 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
2548 // Convert__Reg1_0__Reg1_2__UImm12Offset41_3
2549 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_4_GT_, 4, CVT_Done },
2550 // Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3
2551 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
2552 // Convert__Reg1_0__Reg1_2__UImm12Offset81_3
2553 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_8_GT_, 4, CVT_Done },
2554 // Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3
2555 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addImmOperands, 4, CVT_Done },
2556 // Convert__Reg1_0__Reg1_2__UImm12Offset11_3
2557 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_1_GT_, 4, CVT_Done },
2558 // Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend1282_4
2559 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2560 // Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend1282_4
2561 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2562 // Convert__Reg1_2__Reg1_0__Tie0__SImm91_3
2563 { CVT_95_Reg, 3, CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addSImm9Operands, 4, CVT_Done },
2564 // Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4
2565 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2566 // Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4
2567 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2568 // Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4
2569 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2570 // Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4
2571 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2572 // Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4
2573 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2574 // Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4
2575 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2576 // Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4
2577 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtend8Operands, 5, CVT_Done },
2578 // Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4
2579 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtend8Operands, 5, CVT_Done },
2580 // Convert__Reg1_0__Reg1_2__SImm91_3
2581 { CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_95_addSImm9Operands, 4, CVT_Done },
2582 // Convert__Reg1_0__Reg1_1__Reg1_2__regWZR
2583 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_regWZR, 0, CVT_Done },
2584 // Convert__Reg1_0__Reg1_1__Reg1_2__regXZR
2585 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_regXZR, 0, CVT_Done },
2586 // Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0
2587 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
2588 // Convert__Reg1_0__regWZR__Reg1_1__imm_95_0
2589 { CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
2590 // Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0
2591 { CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_0_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
2592 // Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16
2593 { CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_16_GT_, 2, CVT_imm_95_16, 0, CVT_Done },
2594 // Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0
2595 { CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_0_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
2596 // Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16
2597 { CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_16_GT_, 2, CVT_imm_95_16, 0, CVT_Done },
2598 // Convert__Reg1_0__regWZR__LogicalImm321_1
2599 { CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_addLogicalImm32Operands, 2, CVT_Done },
2600 // Convert__Reg1_0__regXZR__Reg1_1__imm_95_0
2601 { CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_Done },
2602 // Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0
2603 { CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_0_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
2604 // Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16
2605 { CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_16_GT_, 2, CVT_imm_95_16, 0, CVT_Done },
2606 // Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32
2607 { CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_32_GT_, 2, CVT_imm_95_32, 0, CVT_Done },
2608 // Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48
2609 { CVT_95_Reg, 1, CVT_95_addMOVZMovAliasOperands_LT_48_GT_, 2, CVT_imm_95_48, 0, CVT_Done },
2610 // Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0
2611 { CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_0_GT_, 2, CVT_imm_95_0, 0, CVT_Done },
2612 // Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16
2613 { CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_16_GT_, 2, CVT_imm_95_16, 0, CVT_Done },
2614 // Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32
2615 { CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_32_GT_, 2, CVT_imm_95_32, 0, CVT_Done },
2616 // Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48
2617 { CVT_95_Reg, 1, CVT_95_addMOVNMovAliasOperands_LT_48_GT_, 2, CVT_imm_95_48, 0, CVT_Done },
2618 // Convert__Reg1_0__regXZR__LogicalImm641_1
2619 { CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_addLogicalImm64Operands, 2, CVT_Done },
2620 // Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2
2621 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 3, CVT_Done },
2622 // Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2
2623 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 3, CVT_Done },
2624 // Convert__Reg1_1__VectorReg1281_2__VectorIndexD1_3
2625 { CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexDOperands, 4, CVT_Done },
2626 // Convert__Reg1_1__VectorReg1281_2__VectorIndexS1_3
2627 { CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexSOperands, 4, CVT_Done },
2628 // Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2
2629 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg128Operands, 3, CVT_Done },
2630 // Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2
2631 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 3, CVT_Done },
2632 // Convert__Reg1_0__SIMDImmType101_1
2633 { CVT_95_Reg, 1, CVT_95_addSIMDImmType10Operands, 2, CVT_Done },
2634 // Convert__VectorReg1281_1__Imm0_2551_2
2635 { CVT_95_addVectorReg128Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_Done },
2636 // Convert__VectorReg1281_1__SIMDImmType101_2
2637 { CVT_95_addVectorReg128Operands, 2, CVT_95_addSIMDImmType10Operands, 3, CVT_Done },
2638 // Convert__VectorReg641_1__Imm0_2551_2__imm_95_0
2639 { CVT_95_addVectorReg64Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_imm_95_0, 0, CVT_Done },
2640 // Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0
2641 { CVT_95_addVectorReg128Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_imm_95_0, 0, CVT_Done },
2642 // Convert__VectorReg641_1__Imm0_2551_2
2643 { CVT_95_addVectorReg64Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_Done },
2644 // Convert__VectorReg1281_0__Imm0_2551_2
2645 { CVT_95_addVectorReg128Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_Done },
2646 // Convert__VectorReg1281_0__SIMDImmType101_2
2647 { CVT_95_addVectorReg128Operands, 1, CVT_95_addSIMDImmType10Operands, 3, CVT_Done },
2648 // Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0
2649 { CVT_95_addVectorReg128Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_imm_95_0, 0, CVT_Done },
2650 // Convert__VectorReg641_0__Imm0_2551_2__imm_95_0
2651 { CVT_95_addVectorReg64Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_imm_95_0, 0, CVT_Done },
2652 // Convert__VectorReg641_0__Imm0_2551_2
2653 { CVT_95_addVectorReg64Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_Done },
2654 // Convert__VectorReg641_1__Imm0_2551_2__LogicalVecShifter1_3
2655 { CVT_95_addVectorReg64Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2656 // Convert__VectorReg641_1__Imm0_2551_2__MoveVecShifter1_3
2657 { CVT_95_addVectorReg64Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2658 // Convert__VectorReg641_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3
2659 { CVT_95_addVectorReg64Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2660 // Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecShifter1_3
2661 { CVT_95_addVectorReg128Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2662 // Convert__VectorReg1281_1__Imm0_2551_2__MoveVecShifter1_3
2663 { CVT_95_addVectorReg128Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2664 // Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3
2665 { CVT_95_addVectorReg128Operands, 2, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2666 // Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecShifter1_3
2667 { CVT_95_addVectorReg128Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2668 // Convert__VectorReg1281_0__Imm0_2551_2__MoveVecShifter1_3
2669 { CVT_95_addVectorReg128Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2670 // Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3
2671 { CVT_95_addVectorReg128Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2672 // Convert__VectorReg641_0__Imm0_2551_2__LogicalVecShifter1_3
2673 { CVT_95_addVectorReg64Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2674 // Convert__VectorReg641_0__Imm0_2551_2__MoveVecShifter1_3
2675 { CVT_95_addVectorReg64Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2676 // Convert__VectorReg641_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3
2677 { CVT_95_addVectorReg64Operands, 1, CVT_95_addImm0_95_255Operands, 3, CVT_95_addShifterOperands, 4, CVT_Done },
2678 // Convert__Reg1_0__Tie0__Imm0_655351_1__imm_95_0
2679 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addImm0_95_65535Operands, 2, CVT_imm_95_0, 0, CVT_Done },
2680 // Convert__Reg1_0__Tie0__MovKSymbolG01_1__imm_95_0
2681 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done },
2682 // Convert__Reg1_0__Tie0__MovKSymbolG11_1__imm_95_16
2683 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addImmOperands, 2, CVT_imm_95_16, 0, CVT_Done },
2684 // Convert__Reg1_0__Tie0__MovKSymbolG21_1__imm_95_32
2685 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addImmOperands, 2, CVT_imm_95_32, 0, CVT_Done },
2686 // Convert__Reg1_0__Tie0__MovKSymbolG31_1__imm_95_48
2687 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addImmOperands, 2, CVT_imm_95_48, 0, CVT_Done },
2688 // Convert__Reg1_0__Tie0__Imm0_655351_1__MovImm32Shifter1_2
2689 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addImm0_95_65535Operands, 2, CVT_95_addShifterOperands, 3, CVT_Done },
2690 // Convert__Reg1_0__Tie0__Imm0_655351_1__MovImm64Shifter1_2
2691 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_addImm0_95_65535Operands, 2, CVT_95_addShifterOperands, 3, CVT_Done },
2692 // Convert__Reg1_0__Imm0_655351_1__imm_95_0
2693 { CVT_95_Reg, 1, CVT_95_addImm0_95_65535Operands, 2, CVT_imm_95_0, 0, CVT_Done },
2694 // Convert__Reg1_0__MovZSymbolG01_1__imm_95_0
2695 { CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_0, 0, CVT_Done },
2696 // Convert__Reg1_0__MovZSymbolG11_1__imm_95_16
2697 { CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_16, 0, CVT_Done },
2698 // Convert__Reg1_0__MovZSymbolG21_1__imm_95_32
2699 { CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_32, 0, CVT_Done },
2700 // Convert__Reg1_0__MovZSymbolG31_1__imm_95_48
2701 { CVT_95_Reg, 1, CVT_95_addImmOperands, 2, CVT_imm_95_48, 0, CVT_Done },
2702 // Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2
2703 { CVT_95_Reg, 1, CVT_95_addImm0_95_65535Operands, 2, CVT_95_addShifterOperands, 3, CVT_Done },
2704 // Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2
2705 { CVT_95_Reg, 1, CVT_95_addImm0_95_65535Operands, 2, CVT_95_addShifterOperands, 3, CVT_Done },
2706 // Convert__Reg1_0__MRSSystemRegister1_1
2707 { CVT_95_Reg, 1, CVT_95_addMRSSystemRegisterOperands, 2, CVT_Done },
2708 // Convert__MSRSystemRegister1_0__Reg1_1
2709 { CVT_95_addMSRSystemRegisterOperands, 1, CVT_95_Reg, 2, CVT_Done },
2710 // Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1
2711 { CVT_95_addSystemPStateFieldWithImm0_95_15Operands, 1, CVT_95_addImm0_95_15Operands, 2, CVT_Done },
2712 // Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1
2713 { CVT_95_addSystemPStateFieldWithImm0_95_1Operands, 1, CVT_95_addImm0_95_1Operands, 2, CVT_Done },
2714 // Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2
2715 { CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
2716 // Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2
2717 { CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
2718 // Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2
2719 { CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
2720 // Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2
2721 { CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
2722 // Convert__Reg1_0__regWZR__Reg1_1
2723 { CVT_95_Reg, 1, CVT_regWZR, 0, CVT_95_Reg, 2, CVT_Done },
2724 // Convert__Reg1_0__regXZR__Reg1_1
2725 { CVT_95_Reg, 1, CVT_regXZR, 0, CVT_95_Reg, 2, CVT_Done },
2726 // Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3
2727 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
2728 // Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4
2729 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
2730 // Convert__Prefetch1_0__PCRelLabel191_1
2731 { CVT_95_addPrefetchOperands, 1, CVT_95_addPCRelLabel19Operands, 2, CVT_Done },
2732 // Convert__Prefetch1_0__Reg1_2__imm_95_0
2733 { CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_imm_95_0, 0, CVT_Done },
2734 // Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0
2735 { CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_imm_95_0, 0, CVT_imm_95_0, 0, CVT_Done },
2736 // Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3
2737 { CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_addUImm12OffsetOperands_LT_8_GT_, 4, CVT_Done },
2738 // Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4
2739 { CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2740 // Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4
2741 { CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_Reg, 4, CVT_95_addMemExtendOperands, 5, CVT_Done },
2742 // Convert__Prefetch1_0__Reg1_2__SImm91_3
2743 { CVT_95_addPrefetchOperands, 1, CVT_95_Reg, 3, CVT_95_addSImm9Operands, 4, CVT_Done },
2744 // Convert__PSBHint1_0
2745 { CVT_95_addPSBHintOperands, 1, CVT_Done },
2746 // Convert__regLR
2747 { CVT_regLR, 0, CVT_Done },
2748 // Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2
2749 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImm0_95_31Operands, 3, CVT_Done },
2750 // Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2
2751 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 2, CVT_95_addImm0_95_63Operands, 3, CVT_Done },
2752 // Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3
2753 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_32Operands, 4, CVT_Done },
2754 // Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3
2755 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_16Operands, 4, CVT_Done },
2756 // Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3
2757 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_8Operands, 4, CVT_Done },
2758 // Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4
2759 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_32Operands, 5, CVT_Done },
2760 // Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4
2761 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_16Operands, 5, CVT_Done },
2762 // Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4
2763 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_8Operands, 5, CVT_Done },
2764 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3
2765 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_8Operands, 4, CVT_Done },
2766 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3
2767 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_32Operands, 4, CVT_Done },
2768 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3
2769 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_16Operands, 4, CVT_Done },
2770 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4
2771 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_8Operands, 5, CVT_Done },
2772 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4
2773 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_32Operands, 5, CVT_Done },
2774 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4
2775 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_16Operands, 5, CVT_Done },
2776 // Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3
2777 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
2778 // Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4
2779 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
2780 // Convert__VectorReg641_1__Tie0__VectorReg641_2
2781 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_Done },
2782 // Convert__VectorReg641_0__Tie0__VectorReg641_2
2783 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_Done },
2784 // Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3
2785 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
2786 // Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4
2787 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorReg64Operands, 5, CVT_Done },
2788 // Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3
2789 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_31Operands, 3, CVT_95_addImm0_95_31Operands, 4, CVT_Done },
2790 // Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3
2791 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_63Operands, 3, CVT_95_addImm0_95_63Operands, 4, CVT_Done },
2792 // Convert__imm_95_4
2793 { CVT_imm_95_4, 0, CVT_Done },
2794 // Convert__imm_95_5
2795 { CVT_imm_95_5, 0, CVT_Done },
2796 // Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3
2797 { CVT_95_Reg, 2, CVT_Tied, 0, CVT_95_Reg, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
2798 // Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2
2799 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
2800 // Convert__Reg1_0__Reg1_1__Imm0_631_2
2801 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_63Operands, 3, CVT_Done },
2802 // Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3
2803 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_7Operands, 4, CVT_Done },
2804 // Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3
2805 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_63Operands, 4, CVT_Done },
2806 // Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3
2807 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_31Operands, 4, CVT_Done },
2808 // Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3
2809 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_15Operands, 4, CVT_Done },
2810 // Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3
2811 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_31Operands, 4, CVT_Done },
2812 // Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3
2813 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_7Operands, 4, CVT_Done },
2814 // Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3
2815 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_15Operands, 4, CVT_Done },
2816 // Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4
2817 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_7Operands, 5, CVT_Done },
2818 // Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4
2819 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_63Operands, 5, CVT_Done },
2820 // Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4
2821 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_31Operands, 5, CVT_Done },
2822 // Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4
2823 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_15Operands, 5, CVT_Done },
2824 // Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4
2825 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_31Operands, 5, CVT_Done },
2826 // Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4
2827 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_15Operands, 5, CVT_Done },
2828 // Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4
2829 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_7Operands, 5, CVT_Done },
2830 // Convert__VectorReg1281_1__VectorReg641_2
2831 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_Done },
2832 // Convert__Reg1_0__Tie0__Reg1_1__Imm0_631_2
2833 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_addImm0_95_63Operands, 3, CVT_Done },
2834 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_71_3
2835 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_7Operands, 4, CVT_Done },
2836 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_631_3
2837 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_63Operands, 4, CVT_Done },
2838 // Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_311_3
2839 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_31Operands, 4, CVT_Done },
2840 // Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_151_3
2841 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_15Operands, 4, CVT_Done },
2842 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_311_3
2843 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_31Operands, 4, CVT_Done },
2844 // Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_71_3
2845 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_7Operands, 4, CVT_Done },
2846 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_151_3
2847 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_15Operands, 4, CVT_Done },
2848 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_71_4
2849 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_7Operands, 5, CVT_Done },
2850 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_631_4
2851 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_63Operands, 5, CVT_Done },
2852 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_311_4
2853 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_31Operands, 5, CVT_Done },
2854 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_151_4
2855 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm0_95_15Operands, 5, CVT_Done },
2856 // Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_311_4
2857 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_31Operands, 5, CVT_Done },
2858 // Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_151_4
2859 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_15Operands, 5, CVT_Done },
2860 // Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_71_4
2861 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_7Operands, 5, CVT_Done },
2862 // Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4
2863 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
2864 // Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4
2865 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
2866 // Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6
2867 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexSOperands, 7, CVT_Done },
2868 // Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6
2869 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexHOperands, 7, CVT_Done },
2870 // Convert__Reg1_1__VectorReg1281_2__VectorIndexB1_3
2871 { CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexBOperands, 4, CVT_Done },
2872 // Convert__Reg1_1__VectorReg1281_2__VectorIndexH1_3
2873 { CVT_95_Reg, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addVectorIndexHOperands, 4, CVT_Done },
2874 // Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4
2875 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 4, CVT_95_addVectorIndexSOperands, 5, CVT_Done },
2876 // Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4
2877 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 4, CVT_95_addVectorIndexHOperands, 5, CVT_Done },
2878 // Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6
2879 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorReg128Operands, 5, CVT_95_addVectorIndexSOperands, 7, CVT_Done },
2880 // Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6
2881 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addVectorRegLoOperands, 5, CVT_95_addVectorIndexHOperands, 7, CVT_Done },
2882 // Convert__Reg1_0__Tie0__Reg1_1__Reg1_2
2883 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_Done },
2884 // Convert__Reg1_0__Reg1_1__Imm1_81_2
2885 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm1_95_8Operands, 3, CVT_Done },
2886 // Convert__Reg1_0__Reg1_1__Imm0_151_2
2887 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_15Operands, 3, CVT_Done },
2888 // Convert__Reg1_0__Reg1_1__Imm0_311_2
2889 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_31Operands, 3, CVT_Done },
2890 // Convert__Reg1_0__Reg1_1__Imm0_71_2
2891 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addImm0_95_7Operands, 3, CVT_Done },
2892 // Convert__VectorReg641_1__VectorReg1281_2
2893 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_Done },
2894 // Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2
2895 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_95_addImm1_95_64Operands, 3, CVT_Done },
2896 // Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_641_3
2897 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_64Operands, 4, CVT_Done },
2898 // Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_321_3
2899 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_32Operands, 4, CVT_Done },
2900 // Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_161_3
2901 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_16Operands, 4, CVT_Done },
2902 // Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_81_3
2903 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_8Operands, 4, CVT_Done },
2904 // Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_641_4
2905 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_64Operands, 5, CVT_Done },
2906 // Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_321_4
2907 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_32Operands, 5, CVT_Done },
2908 // Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_161_4
2909 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_16Operands, 5, CVT_Done },
2910 // Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_81_4
2911 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_8Operands, 5, CVT_Done },
2912 // Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3
2913 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_8Operands, 4, CVT_Done },
2914 // Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3
2915 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_8Operands, 4, CVT_Done },
2916 // Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4
2917 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_95_addImm1_95_8Operands, 5, CVT_Done },
2918 // Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4
2919 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm1_95_8Operands, 5, CVT_Done },
2920 // Convert__VectorReg1281_1__VectorReg641_2__Imm0_311_3
2921 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_31Operands, 4, CVT_Done },
2922 // Convert__VectorReg1281_1__VectorReg641_2__Imm0_151_3
2923 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_15Operands, 4, CVT_Done },
2924 // Convert__VectorReg1281_1__VectorReg641_2__Imm0_71_3
2925 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_7Operands, 4, CVT_Done },
2926 // Convert__VectorReg1281_0__VectorReg641_2__Imm0_311_4
2927 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_31Operands, 5, CVT_Done },
2928 // Convert__VectorReg1281_0__VectorReg641_2__Imm0_151_4
2929 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_15Operands, 5, CVT_Done },
2930 // Convert__VectorReg1281_0__VectorReg641_2__Imm0_71_4
2931 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_95_addImm0_95_7Operands, 5, CVT_Done },
2932 // Convert__TypedVectorList1_0b1_0__VectorIndexB1_1__Reg1_3
2933 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_95_Reg, 4, CVT_Done },
2934 // Convert__TypedVectorList1_0d1_0__VectorIndexD1_1__Reg1_3
2935 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_95_Reg, 4, CVT_Done },
2936 // Convert__TypedVectorList1_0h1_0__VectorIndexH1_1__Reg1_3
2937 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_95_Reg, 4, CVT_Done },
2938 // Convert__TypedVectorList1_0s1_0__VectorIndexS1_1__Reg1_3
2939 { CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_95_Reg, 4, CVT_Done },
2940 // Convert__VecListOne1281_1__VectorIndexB1_2__Reg1_4
2941 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 5, CVT_Done },
2942 // Convert__VecListOne1281_1__VectorIndexD1_2__Reg1_4
2943 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 5, CVT_Done },
2944 // Convert__VecListOne1281_1__VectorIndexH1_2__Reg1_4
2945 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 5, CVT_Done },
2946 // Convert__VecListOne1281_1__VectorIndexS1_2__Reg1_4
2947 { CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 5, CVT_Done },
2948 // Convert__Reg1_3__TypedVectorList1_0b1_0__VectorIndexB1_1__Tie0__regXZR
2949 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2950 // Convert__Reg1_3__TypedVectorList1_0b1_0__VectorIndexB1_1__Tie0__Reg1_5
2951 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2952 // Convert__Reg1_3__TypedVectorList1_0d1_0__VectorIndexD1_1__Tie0__regXZR
2953 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2954 // Convert__Reg1_3__TypedVectorList1_0d1_0__VectorIndexD1_1__Tie0__Reg1_5
2955 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2956 // Convert__Reg1_3__TypedVectorList1_0h1_0__VectorIndexH1_1__Tie0__regXZR
2957 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2958 // Convert__Reg1_3__TypedVectorList1_0h1_0__VectorIndexH1_1__Tie0__Reg1_5
2959 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2960 // Convert__Reg1_3__TypedVectorList1_0s1_0__VectorIndexS1_1__Tie0__regXZR
2961 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2962 // Convert__Reg1_3__TypedVectorList1_0s1_0__VectorIndexS1_1__Tie0__Reg1_5
2963 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_1_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
2964 // Convert__Reg1_4__VecListOne1281_1__VectorIndexB1_2__Tie0__regXZR
2965 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2966 // Convert__Reg1_4__VecListOne1281_1__VectorIndexB1_2__Tie0__Reg1_6
2967 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2968 // Convert__Reg1_4__VecListOne1281_1__VectorIndexD1_2__Tie0__regXZR
2969 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2970 // Convert__Reg1_4__VecListOne1281_1__VectorIndexD1_2__Tie0__Reg1_6
2971 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2972 // Convert__Reg1_4__VecListOne1281_1__VectorIndexH1_2__Tie0__regXZR
2973 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2974 // Convert__Reg1_4__VecListOne1281_1__VectorIndexH1_2__Tie0__Reg1_6
2975 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2976 // Convert__Reg1_4__VecListOne1281_1__VectorIndexS1_2__Tie0__regXZR
2977 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2978 // Convert__Reg1_4__VecListOne1281_1__VectorIndexS1_2__Tie0__Reg1_6
2979 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_1_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
2980 // Convert__TypedVectorList2_0b1_0__VectorIndexB1_1__Reg1_3
2981 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_95_Reg, 4, CVT_Done },
2982 // Convert__TypedVectorList2_0d1_0__VectorIndexD1_1__Reg1_3
2983 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_95_Reg, 4, CVT_Done },
2984 // Convert__TypedVectorList2_0h1_0__VectorIndexH1_1__Reg1_3
2985 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_95_Reg, 4, CVT_Done },
2986 // Convert__TypedVectorList2_0s1_0__VectorIndexS1_1__Reg1_3
2987 { CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_95_Reg, 4, CVT_Done },
2988 // Convert__VecListTwo1281_1__VectorIndexB1_2__Reg1_4
2989 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 5, CVT_Done },
2990 // Convert__VecListTwo1281_1__VectorIndexD1_2__Reg1_4
2991 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 5, CVT_Done },
2992 // Convert__VecListTwo1281_1__VectorIndexH1_2__Reg1_4
2993 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 5, CVT_Done },
2994 // Convert__VecListTwo1281_1__VectorIndexS1_2__Reg1_4
2995 { CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 5, CVT_Done },
2996 // Convert__Reg1_3__TypedVectorList2_0b1_0__VectorIndexB1_1__Tie0__regXZR
2997 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
2998 // Convert__Reg1_3__TypedVectorList2_0b1_0__VectorIndexB1_1__Tie0__Reg1_5
2999 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3000 // Convert__Reg1_3__TypedVectorList2_0d1_0__VectorIndexD1_1__Tie0__regXZR
3001 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3002 // Convert__Reg1_3__TypedVectorList2_0d1_0__VectorIndexD1_1__Tie0__Reg1_5
3003 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3004 // Convert__Reg1_3__TypedVectorList2_0h1_0__VectorIndexH1_1__Tie0__regXZR
3005 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3006 // Convert__Reg1_3__TypedVectorList2_0h1_0__VectorIndexH1_1__Tie0__Reg1_5
3007 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3008 // Convert__Reg1_3__TypedVectorList2_0s1_0__VectorIndexS1_1__Tie0__regXZR
3009 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3010 // Convert__Reg1_3__TypedVectorList2_0s1_0__VectorIndexS1_1__Tie0__Reg1_5
3011 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_2_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3012 // Convert__Reg1_4__VecListTwo1281_1__VectorIndexB1_2__Tie0__regXZR
3013 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3014 // Convert__Reg1_4__VecListTwo1281_1__VectorIndexB1_2__Tie0__Reg1_6
3015 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3016 // Convert__Reg1_4__VecListTwo1281_1__VectorIndexD1_2__Tie0__regXZR
3017 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3018 // Convert__Reg1_4__VecListTwo1281_1__VectorIndexD1_2__Tie0__Reg1_6
3019 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3020 // Convert__Reg1_4__VecListTwo1281_1__VectorIndexH1_2__Tie0__regXZR
3021 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3022 // Convert__Reg1_4__VecListTwo1281_1__VectorIndexH1_2__Tie0__Reg1_6
3023 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3024 // Convert__Reg1_4__VecListTwo1281_1__VectorIndexS1_2__Tie0__regXZR
3025 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3026 // Convert__Reg1_4__VecListTwo1281_1__VectorIndexS1_2__Tie0__Reg1_6
3027 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_2_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3028 // Convert__TypedVectorList3_0b1_0__VectorIndexB1_1__Reg1_3
3029 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_95_Reg, 4, CVT_Done },
3030 // Convert__TypedVectorList3_0d1_0__VectorIndexD1_1__Reg1_3
3031 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_95_Reg, 4, CVT_Done },
3032 // Convert__TypedVectorList3_0h1_0__VectorIndexH1_1__Reg1_3
3033 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_95_Reg, 4, CVT_Done },
3034 // Convert__TypedVectorList3_0s1_0__VectorIndexS1_1__Reg1_3
3035 { CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_95_Reg, 4, CVT_Done },
3036 // Convert__VecListThree1281_1__VectorIndexB1_2__Reg1_4
3037 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 5, CVT_Done },
3038 // Convert__VecListThree1281_1__VectorIndexD1_2__Reg1_4
3039 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 5, CVT_Done },
3040 // Convert__VecListThree1281_1__VectorIndexH1_2__Reg1_4
3041 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 5, CVT_Done },
3042 // Convert__VecListThree1281_1__VectorIndexS1_2__Reg1_4
3043 { CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 5, CVT_Done },
3044 // Convert__Reg1_3__TypedVectorList3_0b1_0__VectorIndexB1_1__Tie0__regXZR
3045 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3046 // Convert__Reg1_3__TypedVectorList3_0b1_0__VectorIndexB1_1__Tie0__Reg1_5
3047 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3048 // Convert__Reg1_3__TypedVectorList3_0d1_0__VectorIndexD1_1__Tie0__regXZR
3049 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3050 // Convert__Reg1_3__TypedVectorList3_0d1_0__VectorIndexD1_1__Tie0__Reg1_5
3051 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3052 // Convert__Reg1_3__TypedVectorList3_0h1_0__VectorIndexH1_1__Tie0__regXZR
3053 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3054 // Convert__Reg1_3__TypedVectorList3_0h1_0__VectorIndexH1_1__Tie0__Reg1_5
3055 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3056 // Convert__Reg1_3__TypedVectorList3_0s1_0__VectorIndexS1_1__Tie0__regXZR
3057 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3058 // Convert__Reg1_3__TypedVectorList3_0s1_0__VectorIndexS1_1__Tie0__Reg1_5
3059 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_3_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3060 // Convert__Reg1_4__VecListThree1281_1__VectorIndexB1_2__Tie0__regXZR
3061 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3062 // Convert__Reg1_4__VecListThree1281_1__VectorIndexB1_2__Tie0__Reg1_6
3063 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3064 // Convert__Reg1_4__VecListThree1281_1__VectorIndexD1_2__Tie0__regXZR
3065 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3066 // Convert__Reg1_4__VecListThree1281_1__VectorIndexD1_2__Tie0__Reg1_6
3067 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3068 // Convert__Reg1_4__VecListThree1281_1__VectorIndexH1_2__Tie0__regXZR
3069 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3070 // Convert__Reg1_4__VecListThree1281_1__VectorIndexH1_2__Tie0__Reg1_6
3071 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3072 // Convert__Reg1_4__VecListThree1281_1__VectorIndexS1_2__Tie0__regXZR
3073 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3074 // Convert__Reg1_4__VecListThree1281_1__VectorIndexS1_2__Tie0__Reg1_6
3075 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_3_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3076 // Convert__TypedVectorList4_0b1_0__VectorIndexB1_1__Reg1_3
3077 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_95_Reg, 4, CVT_Done },
3078 // Convert__TypedVectorList4_0d1_0__VectorIndexD1_1__Reg1_3
3079 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_95_Reg, 4, CVT_Done },
3080 // Convert__TypedVectorList4_0h1_0__VectorIndexH1_1__Reg1_3
3081 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_95_Reg, 4, CVT_Done },
3082 // Convert__TypedVectorList4_0s1_0__VectorIndexS1_1__Reg1_3
3083 { CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_95_Reg, 4, CVT_Done },
3084 // Convert__VecListFour1281_1__VectorIndexB1_2__Reg1_4
3085 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_95_Reg, 5, CVT_Done },
3086 // Convert__VecListFour1281_1__VectorIndexD1_2__Reg1_4
3087 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_95_Reg, 5, CVT_Done },
3088 // Convert__VecListFour1281_1__VectorIndexH1_2__Reg1_4
3089 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_95_Reg, 5, CVT_Done },
3090 // Convert__VecListFour1281_1__VectorIndexS1_2__Reg1_4
3091 { CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_95_Reg, 5, CVT_Done },
3092 // Convert__Reg1_3__TypedVectorList4_0b1_0__VectorIndexB1_1__Tie0__regXZR
3093 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3094 // Convert__Reg1_3__TypedVectorList4_0b1_0__VectorIndexB1_1__Tie0__Reg1_5
3095 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexBOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3096 // Convert__Reg1_3__TypedVectorList4_0d1_0__VectorIndexD1_1__Tie0__regXZR
3097 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3098 // Convert__Reg1_3__TypedVectorList4_0d1_0__VectorIndexD1_1__Tie0__Reg1_5
3099 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexDOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3100 // Convert__Reg1_3__TypedVectorList4_0h1_0__VectorIndexH1_1__Tie0__regXZR
3101 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3102 // Convert__Reg1_3__TypedVectorList4_0h1_0__VectorIndexH1_1__Tie0__Reg1_5
3103 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexHOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3104 // Convert__Reg1_3__TypedVectorList4_0s1_0__VectorIndexS1_1__Tie0__regXZR
3105 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3106 // Convert__Reg1_3__TypedVectorList4_0s1_0__VectorIndexS1_1__Tie0__Reg1_5
3107 { CVT_95_Reg, 4, CVT_95_addVectorList128Operands_LT_4_GT_, 1, CVT_95_addVectorIndexSOperands, 2, CVT_Tied, 0, CVT_95_Reg, 6, CVT_Done },
3108 // Convert__Reg1_4__VecListFour1281_1__VectorIndexB1_2__Tie0__regXZR
3109 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3110 // Convert__Reg1_4__VecListFour1281_1__VectorIndexB1_2__Tie0__Reg1_6
3111 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexBOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3112 // Convert__Reg1_4__VecListFour1281_1__VectorIndexD1_2__Tie0__regXZR
3113 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3114 // Convert__Reg1_4__VecListFour1281_1__VectorIndexD1_2__Tie0__Reg1_6
3115 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexDOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3116 // Convert__Reg1_4__VecListFour1281_1__VectorIndexH1_2__Tie0__regXZR
3117 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3118 // Convert__Reg1_4__VecListFour1281_1__VectorIndexH1_2__Tie0__Reg1_6
3119 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexHOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3120 // Convert__Reg1_4__VecListFour1281_1__VectorIndexS1_2__Tie0__regXZR
3121 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_regXZR, 0, CVT_Done },
3122 // Convert__Reg1_4__VecListFour1281_1__VectorIndexS1_2__Tie0__Reg1_6
3123 { CVT_95_Reg, 5, CVT_95_addVectorList128Operands_LT_4_GT_, 2, CVT_95_addVectorIndexSOperands, 3, CVT_Tied, 0, CVT_95_Reg, 7, CVT_Done },
3124 // Convert__regWZR__Reg1_0__Reg1_2
3125 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Done },
3126 // Convert__regXZR__Reg1_0__Reg1_2
3127 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 3, CVT_Done },
3128 // Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4
3129 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_Reg, 3, CVT_95_addRegOperands, 5, CVT_Done },
3130 // Convert__Reg1_0__Tie0__Reg1_1
3131 { CVT_95_Reg, 1, CVT_Tied, 0, CVT_95_Reg, 2, CVT_Done },
3132 // Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7
3133 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_imm_95_7, 0, CVT_Done },
3134 // Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15
3135 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_imm_95_15, 0, CVT_Done },
3136 // Convert__VectorReg1281_1__VectorReg641_2__imm_95_0
3137 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg64Operands, 3, CVT_imm_95_0, 0, CVT_Done },
3138 // Convert__VectorReg1281_0__VectorReg641_2__imm_95_0
3139 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg64Operands, 3, CVT_imm_95_0, 0, CVT_Done },
3140 // Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0
3141 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorReg128Operands, 3, CVT_imm_95_0, 0, CVT_Done },
3142 // Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0
3143 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorReg128Operands, 3, CVT_imm_95_0, 0, CVT_Done },
3144 // Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31
3145 { CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_imm_95_0, 0, CVT_imm_95_31, 0, CVT_Done },
3146 // Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR
3147 { CVT_95_addImm0_95_7Operands, 1, CVT_95_addSysCROperands, 2, CVT_95_addSysCROperands, 3, CVT_95_addImm0_95_7Operands, 4, CVT_regXZR, 0, CVT_Done },
3148 // Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4
3149 { CVT_95_addImm0_95_7Operands, 1, CVT_95_addSysCROperands, 2, CVT_95_addSysCROperands, 3, CVT_95_addImm0_95_7Operands, 4, CVT_95_Reg, 5, CVT_Done },
3150 // Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4
3151 { CVT_95_Reg, 1, CVT_95_addImm0_95_7Operands, 2, CVT_95_addSysCROperands, 3, CVT_95_addSysCROperands, 4, CVT_95_addImm0_95_7Operands, 5, CVT_Done },
3152 // Convert__VectorReg1281_1__VecListFour1281_2__VectorReg1281_3
3153 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorList128Operands_LT_4_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3154 // Convert__VectorReg1281_1__VecListOne1281_2__VectorReg1281_3
3155 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorList128Operands_LT_1_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3156 // Convert__VectorReg1281_1__VecListThree1281_2__VectorReg1281_3
3157 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorList128Operands_LT_3_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3158 // Convert__VectorReg1281_1__VecListTwo1281_2__VectorReg1281_3
3159 { CVT_95_addVectorReg128Operands, 2, CVT_95_addVectorList128Operands_LT_2_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3160 // Convert__VectorReg641_1__VecListFour1281_2__VectorReg641_3
3161 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorList128Operands_LT_4_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3162 // Convert__VectorReg641_1__VecListOne1281_2__VectorReg641_3
3163 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorList128Operands_LT_1_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3164 // Convert__VectorReg641_1__VecListThree1281_2__VectorReg641_3
3165 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorList128Operands_LT_3_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3166 // Convert__VectorReg641_1__VecListTwo1281_2__VectorReg641_3
3167 { CVT_95_addVectorReg64Operands, 2, CVT_95_addVectorList128Operands_LT_2_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3168 // Convert__VectorReg1281_0__TypedVectorList4_16b1_2__VectorReg1281_3
3169 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorList128Operands_LT_4_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3170 // Convert__VectorReg1281_0__TypedVectorList1_16b1_2__VectorReg1281_3
3171 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorList128Operands_LT_1_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3172 // Convert__VectorReg1281_0__TypedVectorList3_16b1_2__VectorReg1281_3
3173 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorList128Operands_LT_3_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3174 // Convert__VectorReg1281_0__TypedVectorList2_16b1_2__VectorReg1281_3
3175 { CVT_95_addVectorReg128Operands, 1, CVT_95_addVectorList128Operands_LT_2_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3176 // Convert__VectorReg641_0__TypedVectorList4_16b1_2__VectorReg641_3
3177 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorList128Operands_LT_4_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3178 // Convert__VectorReg641_0__TypedVectorList1_16b1_2__VectorReg641_3
3179 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorList128Operands_LT_1_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3180 // Convert__VectorReg641_0__TypedVectorList3_16b1_2__VectorReg641_3
3181 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorList128Operands_LT_3_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3182 // Convert__VectorReg641_0__TypedVectorList2_16b1_2__VectorReg641_3
3183 { CVT_95_addVectorReg64Operands, 1, CVT_95_addVectorList128Operands_LT_2_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3184 // Convert__Reg1_0__Imm0_311_1__BranchTarget141_2
3185 { CVT_95_Reg, 1, CVT_95_addImm0_95_31Operands, 2, CVT_95_addBranchTarget14Operands, 3, CVT_Done },
3186 // Convert__Reg1_0__Imm32_631_1__BranchTarget141_2
3187 { CVT_95_Reg, 1, CVT_95_addImm32_95_63Operands, 2, CVT_95_addBranchTarget14Operands, 3, CVT_Done },
3188 // Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2
3189 { CVT_95_addGPR32as64Operands, 1, CVT_95_addImm0_95_31Operands, 2, CVT_95_addBranchTarget14Operands, 3, CVT_Done },
3190 // Convert__VectorReg1281_1__Tie0__VecListFour1281_2__VectorReg1281_3
3191 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_4_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3192 // Convert__VectorReg1281_1__Tie0__VecListOne1281_2__VectorReg1281_3
3193 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_1_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3194 // Convert__VectorReg1281_1__Tie0__VecListThree1281_2__VectorReg1281_3
3195 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_3_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3196 // Convert__VectorReg1281_1__Tie0__VecListTwo1281_2__VectorReg1281_3
3197 { CVT_95_addVectorReg128Operands, 2, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_2_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3198 // Convert__VectorReg641_1__Tie0__VecListFour1281_2__VectorReg641_3
3199 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_4_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3200 // Convert__VectorReg641_1__Tie0__VecListOne1281_2__VectorReg641_3
3201 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_1_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3202 // Convert__VectorReg641_1__Tie0__VecListThree1281_2__VectorReg641_3
3203 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_3_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3204 // Convert__VectorReg641_1__Tie0__VecListTwo1281_2__VectorReg641_3
3205 { CVT_95_addVectorReg64Operands, 2, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_2_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3206 // Convert__VectorReg1281_0__Tie0__TypedVectorList4_16b1_2__VectorReg1281_3
3207 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_4_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3208 // Convert__VectorReg1281_0__Tie0__TypedVectorList1_16b1_2__VectorReg1281_3
3209 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_1_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3210 // Convert__VectorReg1281_0__Tie0__TypedVectorList3_16b1_2__VectorReg1281_3
3211 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_3_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3212 // Convert__VectorReg1281_0__Tie0__TypedVectorList2_16b1_2__VectorReg1281_3
3213 { CVT_95_addVectorReg128Operands, 1, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_2_GT_, 3, CVT_95_addVectorReg128Operands, 4, CVT_Done },
3214 // Convert__VectorReg641_0__Tie0__TypedVectorList4_16b1_2__VectorReg641_3
3215 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_4_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3216 // Convert__VectorReg641_0__Tie0__TypedVectorList1_16b1_2__VectorReg641_3
3217 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_1_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3218 // Convert__VectorReg641_0__Tie0__TypedVectorList3_16b1_2__VectorReg641_3
3219 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_3_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3220 // Convert__VectorReg641_0__Tie0__TypedVectorList2_16b1_2__VectorReg641_3
3221 { CVT_95_addVectorReg64Operands, 1, CVT_Tied, 0, CVT_95_addVectorList128Operands_LT_2_GT_, 3, CVT_95_addVectorReg64Operands, 4, CVT_Done },
3222 // Convert__regWZR__Reg1_0__LogicalImm321_1
3223 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_addLogicalImm32Operands, 2, CVT_Done },
3224 // Convert__regXZR__Reg1_0__LogicalImm641_1
3225 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_addLogicalImm64Operands, 2, CVT_Done },
3226 // Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2
3227 { CVT_regWZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
3228 // Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2
3229 { CVT_regXZR, 0, CVT_95_Reg, 1, CVT_95_Reg, 2, CVT_95_addShifterOperands, 3, CVT_Done },
3230 // Convert__imm_95_2
3231 { CVT_imm_95_2, 0, CVT_Done },
3232 // Convert__imm_95_3
3233 { CVT_imm_95_3, 0, CVT_Done },
3234 // Convert__imm_95_1
3235 { CVT_imm_95_1, 0, CVT_Done },
3236};
3237
3238void AArch64AsmParser::
3239convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
3240 const OperandVector &Operands) {
3241 assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!")((Kind < CVT_NUM_SIGNATURES && "Invalid signature!"
) ? static_cast<void> (0) : __assert_fail ("Kind < CVT_NUM_SIGNATURES && \"Invalid signature!\""
, "/tmp/buildd/llvm-toolchain-snapshot-3.9~svn271203/build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc"
, 3241, __PRETTY_FUNCTION__))
;
3242 const uint8_t *Converter = ConversionTable[Kind];
3243 unsigned OpIdx;
3244 Inst.setOpcode(Opcode);
3245 for (const uint8_t *p = Converter; *p; p+= 2) {
3246 OpIdx = *(p + 1);
3247 switch (*p) {
3248 default: llvm_unreachable("invalid conversion entry!")::llvm::llvm_unreachable_internal("invalid conversion entry!"
, "/tmp/buildd/llvm-toolchain-snapshot-3.9~svn271203/build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc"
, 3248)
;
3249 case CVT_Reg:
3250 static_cast<AArch64Operand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
3251 break;
3252 case CVT_Tied:
3253 Inst.addOperand(Inst.getOperand(OpIdx));
3254 break;
3255 case CVT_95_Reg:
3256 static_cast<AArch64Operand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
3257 break;
3258 case CVT_95_addVectorReg128Operands:
3259 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorReg128Operands(Inst, 1);
3260 break;
3261 case CVT_95_addVectorReg64Operands:
3262 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorReg64Operands(Inst, 1);
3263 break;
3264 case CVT_imm_95_16:
3265 Inst.addOperand(MCOperand::createImm(16));
3266 break;
3267 case CVT_imm_95_24:
3268 Inst.addOperand(MCOperand::createImm(24));
3269 break;
3270 case CVT_imm_95_0:
3271 Inst.addOperand(MCOperand::createImm(0));
3272 break;
3273 case CVT_95_addAddSubImmNegOperands:
3274 static_cast<AArch64Operand&>(*Operands[OpIdx]).addAddSubImmNegOperands(Inst, 2);
3275 break;
3276 case CVT_95_addAddSubImmOperands:
3277 static_cast<AArch64Operand&>(*Operands[OpIdx]).addAddSubImmOperands(Inst, 2);
3278 break;
3279 case CVT_95_addShifterOperands:
3280 static_cast<AArch64Operand&>(*Operands[OpIdx]).addShifterOperands(Inst, 1);
3281 break;
3282 case CVT_95_addExtendOperands:
3283 static_cast<AArch64Operand&>(*Operands[OpIdx]).addExtendOperands(Inst, 1);
3284 break;
3285 case CVT_95_addExtend64Operands:
3286 static_cast<AArch64Operand&>(*Operands[OpIdx]).addExtend64Operands(Inst, 1);
3287 break;
3288 case CVT_95_addAdrLabelOperands:
3289 static_cast<AArch64Operand&>(*Operands[OpIdx]).addAdrLabelOperands(Inst, 1);
3290 break;
3291 case CVT_95_addAdrpLabelOperands:
3292 static_cast<AArch64Operand&>(*Operands[OpIdx]).addAdrpLabelOperands(Inst, 1);
3293 break;
3294 case CVT_95_addLogicalImm32Operands:
3295 static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImm32Operands(Inst, 1);
3296 break;
3297 case CVT_95_addLogicalImm64Operands:
3298 static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImm64Operands(Inst, 1);
3299 break;
3300 case CVT_95_addImm0_95_31Operands:
3301 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm0_31Operands(Inst, 1);
3302 break;
3303 case CVT_imm_95_31:
3304 Inst.addOperand(MCOperand::createImm(31));
3305 break;
3306 case CVT_95_addImm0_95_63Operands:
3307 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm0_63Operands(Inst, 1);
3308 break;
3309 case CVT_imm_95_63:
3310 Inst.addOperand(MCOperand::createImm(63));
3311 break;
3312 case CVT_95_addBranchTarget26Operands:
3313 static_cast<AArch64Operand&>(*Operands[OpIdx]).addBranchTarget26Operands(Inst, 1);
3314 break;
3315 case CVT_95_addCondCodeOperands:
3316 static_cast<AArch64Operand&>(*Operands[OpIdx]).addCondCodeOperands(Inst, 1);
3317 break;
3318 case CVT_95_addPCRelLabel19Operands:
3319 static_cast<AArch64Operand&>(*Operands[OpIdx]).addPCRelLabel19Operands(Inst, 1);
3320 break;
3321 case CVT_95_addImm0_95_255Operands:
3322 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm0_255Operands(Inst, 1);
3323 break;
3324 case CVT_95_addLogicalImm32NotOperands:
3325 static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImm32NotOperands(Inst, 1);
3326 break;
3327 case CVT_95_addLogicalImm64NotOperands:
3328 static_cast<AArch64Operand&>(*Operands[OpIdx]).addLogicalImm64NotOperands(Inst, 1);
3329 break;
3330 case CVT_95_addImm0_95_65535Operands:
3331 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm0_65535Operands(Inst, 1);
3332 break;
3333 case CVT_95_addRegOperands:
3334 static_cast<AArch64Operand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
3335 break;
3336 case CVT_95_addImm0_95_15Operands:
3337 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm0_15Operands(Inst, 1);
3338 break;
3339 case CVT_imm_95_15:
3340 Inst.addOperand(MCOperand::createImm(15));
3341 break;
3342 case CVT_regWZR:
3343 Inst.addOperand(MCOperand::createReg(AArch64::WZR));
3344 break;
3345 case CVT_regXZR:
3346 Inst.addOperand(MCOperand::createReg(AArch64::XZR));
3347 break;
3348 case CVT_95_addBarrierOperands:
3349 static_cast<AArch64Operand&>(*Operands[OpIdx]).addBarrierOperands(Inst, 1);
3350 break;
3351 case CVT_95_addVectorIndexHOperands:
3352 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorIndexHOperands(Inst, 1);
3353 break;
3354 case CVT_95_addVectorIndexSOperands:
3355 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorIndexSOperands(Inst, 1);
3356 break;
3357 case CVT_95_addVectorIndexDOperands:
3358 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorIndexDOperands(Inst, 1);
3359 break;
3360 case CVT_95_addVectorIndexBOperands:
3361 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorIndexBOperands(Inst, 1);
3362 break;
3363 case CVT_95_addImmOperands:
3364 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImmOperands(Inst, 1);
3365 break;
3366 case CVT_95_addImm1_95_16Operands:
3367 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm1_16Operands(Inst, 1);
3368 break;
3369 case CVT_95_addImm1_95_32Operands:
3370 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm1_32Operands(Inst, 1);
3371 break;
3372 case CVT_95_addImm1_95_64Operands:
3373 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm1_64Operands(Inst, 1);
3374 break;
3375 case CVT_95_addVectorRegLoOperands:
3376 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorRegLoOperands(Inst, 1);
3377 break;
3378 case CVT_95_addFPImmOperands:
3379 static_cast<AArch64Operand&>(*Operands[OpIdx]).addFPImmOperands(Inst, 1);
3380 break;
3381 case CVT_95_addVectorIndex1Operands:
3382 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorIndex1Operands(Inst, 1);
3383 break;
3384 case CVT_95_addImm0_95_127Operands:
3385 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm0_127Operands(Inst, 1);
3386 break;
3387 case CVT_95_addVectorList128Operands_LT_4_GT_:
3388 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorList128Operands<4>(Inst, 1);
3389 break;
3390 case CVT_95_addVectorList64Operands_LT_4_GT_:
3391 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorList64Operands<4>(Inst, 1);
3392 break;
3393 case CVT_95_addVectorList128Operands_LT_1_GT_:
3394 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorList128Operands<1>(Inst, 1);
3395 break;
3396 case CVT_95_addVectorList64Operands_LT_1_GT_:
3397 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorList64Operands<1>(Inst, 1);
3398 break;
3399 case CVT_95_addVectorList128Operands_LT_3_GT_:
3400 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorList128Operands<3>(Inst, 1);
3401 break;
3402 case CVT_95_addVectorList64Operands_LT_3_GT_:
3403 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorList64Operands<3>(Inst, 1);
3404 break;
3405 case CVT_95_addVectorList128Operands_LT_2_GT_:
3406 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorList128Operands<2>(Inst, 1);
3407 break;
3408 case CVT_95_addVectorList64Operands_LT_2_GT_:
3409 static_cast<AArch64Operand&>(*Operands[OpIdx]).addVectorList64Operands<2>(Inst, 1);
3410 break;
3411 case CVT_95_addSImm7s16Operands:
3412 static_cast<AArch64Operand&>(*Operands[OpIdx]).addSImm7s16Operands(Inst, 1);
3413 break;
3414 case CVT_95_addSImm7s4Operands:
3415 static_cast<AArch64Operand&>(*Operands[OpIdx]).addSImm7s4Operands(Inst, 1);
3416 break;
3417 case CVT_95_addSImm7s8Operands:
3418 static_cast<AArch64Operand&>(*Operands[OpIdx]).addSImm7s8Operands(Inst, 1);
3419 break;
3420 case CVT_95_addSImm9Operands:
3421 static_cast<AArch64Operand&>(*Operands[OpIdx]).addSImm9Operands(Inst, 1);
3422 break;
3423 case CVT_95_addUImm12OffsetOperands_LT_16_GT_:
3424 static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<16>(Inst, 1);
3425 break;
3426 case CVT_95_addUImm12OffsetOperands_LT_2_GT_:
3427 static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<2>(Inst, 1);
3428 break;
3429 case CVT_95_addUImm12OffsetOperands_LT_4_GT_:
3430 static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<4>(Inst, 1);
3431 break;
3432 case CVT_95_addUImm12OffsetOperands_LT_8_GT_:
3433 static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<8>(Inst, 1);
3434 break;
3435 case CVT_95_addUImm12OffsetOperands_LT_1_GT_:
3436 static_cast<AArch64Operand&>(*Operands[OpIdx]).addUImm12OffsetOperands<1>(Inst, 1);
3437 break;
3438 case CVT_95_addMemExtendOperands:
3439 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMemExtendOperands(Inst, 2);
3440 break;
3441 case CVT_95_addMemExtend8Operands:
3442 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMemExtend8Operands(Inst, 2);
3443 break;
3444 case CVT_95_addMOVZMovAliasOperands_LT_0_GT_:
3445 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVZMovAliasOperands<0>(Inst, 1);
3446 break;
3447 case CVT_95_addMOVZMovAliasOperands_LT_16_GT_:
3448 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVZMovAliasOperands<16>(Inst, 1);
3449 break;
3450 case CVT_95_addMOVNMovAliasOperands_LT_0_GT_:
3451 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVNMovAliasOperands<0>(Inst, 1);
3452 break;
3453 case CVT_95_addMOVNMovAliasOperands_LT_16_GT_:
3454 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVNMovAliasOperands<16>(Inst, 1);
3455 break;
3456 case CVT_95_addMOVZMovAliasOperands_LT_32_GT_:
3457 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVZMovAliasOperands<32>(Inst, 1);
3458 break;
3459 case CVT_imm_95_32:
3460 Inst.addOperand(MCOperand::createImm(32));
3461 break;
3462 case CVT_95_addMOVZMovAliasOperands_LT_48_GT_:
3463 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVZMovAliasOperands<48>(Inst, 1);
3464 break;
3465 case CVT_imm_95_48:
3466 Inst.addOperand(MCOperand::createImm(48));
3467 break;
3468 case CVT_95_addMOVNMovAliasOperands_LT_32_GT_:
3469 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVNMovAliasOperands<32>(Inst, 1);
3470 break;
3471 case CVT_95_addMOVNMovAliasOperands_LT_48_GT_:
3472 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMOVNMovAliasOperands<48>(Inst, 1);
3473 break;
3474 case CVT_95_addSIMDImmType10Operands:
3475 static_cast<AArch64Operand&>(*Operands[OpIdx]).addSIMDImmType10Operands(Inst, 1);
3476 break;
3477 case CVT_95_addMRSSystemRegisterOperands:
3478 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMRSSystemRegisterOperands(Inst, 1);
3479 break;
3480 case CVT_95_addMSRSystemRegisterOperands:
3481 static_cast<AArch64Operand&>(*Operands[OpIdx]).addMSRSystemRegisterOperands(Inst, 1);
3482 break;
3483 case CVT_95_addSystemPStateFieldWithImm0_95_15Operands:
3484 static_cast<AArch64Operand&>(*Operands[OpIdx]).addSystemPStateFieldWithImm0_15Operands(Inst, 1);
3485 break;
3486 case CVT_95_addSystemPStateFieldWithImm0_95_1Operands:
3487 static_cast<AArch64Operand&>(*Operands[OpIdx]).addSystemPStateFieldWithImm0_1Operands(Inst, 1);
3488 break;
3489 case CVT_95_addImm0_95_1Operands:
3490 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm0_1Operands(Inst, 1);
3491 break;
3492 case CVT_95_addPrefetchOperands:
3493 static_cast<AArch64Operand&>(*Operands[OpIdx]).addPrefetchOperands(Inst, 1);
3494 break;
3495 case CVT_95_addPSBHintOperands:
3496 static_cast<AArch64Operand&>(*Operands[OpIdx]).addPSBHintOperands(Inst, 1);
3497 break;
3498 case CVT_regLR:
3499 Inst.addOperand(MCOperand::createReg(AArch64::LR));
3500 break;
3501 case CVT_95_addImm1_95_8Operands:
3502 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm1_8Operands(Inst, 1);
3503 break;
3504 case CVT_imm_95_4:
3505 Inst.addOperand(MCOperand::createImm(4));
3506 break;
3507 case CVT_imm_95_5:
3508 Inst.addOperand(MCOperand::createImm(5));
3509 break;
3510 case CVT_95_addImm0_95_7Operands:
3511 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm0_7Operands(Inst, 1);
3512 break;
3513 case CVT_imm_95_7:
3514 Inst.addOperand(MCOperand::createImm(7));
3515 break;
3516 case CVT_95_addSysCROperands:
3517 static_cast<AArch64Operand&>(*Operands[OpIdx]).addSysCROperands(Inst, 1);
3518 break;
3519 case CVT_95_addBranchTarget14Operands:
3520 static_cast<AArch64Operand&>(*Operands[OpIdx]).addBranchTarget14Operands(Inst, 1);
3521 break;
3522 case CVT_95_addImm32_95_63Operands:
3523 static_cast<AArch64Operand&>(*Operands[OpIdx]).addImm32_63Operands(Inst, 1);
3524 break;
3525 case CVT_95_addGPR32as64Operands:
3526 static_cast<AArch64Operand&>(*Operands[OpIdx]).addGPR32as64Operands(Inst, 1);
3527 break;
3528 case CVT_imm_95_2:
3529 Inst.addOperand(MCOperand::createImm(2));
3530 break;
3531 case CVT_imm_95_3:
3532 Inst.addOperand(MCOperand::createImm(3));
3533 break;
3534 case CVT_imm_95_1:
3535 Inst.addOperand(MCOperand::createImm(1));
3536 break;
3537 }
3538 }
3539}
3540
3541void AArch64AsmParser::
3542convertToMapAndConstraints(unsigned Kind,
3543 const OperandVector &Operands) {
3544 assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!")((Kind < CVT_NUM_SIGNATURES && "Invalid signature!"
) ? static_cast<void> (0) : __assert_fail ("Kind < CVT_NUM_SIGNATURES && \"Invalid signature!\""
, "/tmp/buildd/llvm-toolchain-snapshot-3.9~svn271203/build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc"
, 3544, __PRETTY_FUNCTION__))
;
3545 unsigned NumMCOperands = 0;
3546 const uint8_t *Converter = ConversionTable[Kind];
3547 for (const uint8_t *p = Converter; *p; p+= 2) {
3548 switch (*p) {
3549 default: llvm_unreachable("invalid conversion entry!")::llvm::llvm_unreachable_internal("invalid conversion entry!"
, "/tmp/buildd/llvm-toolchain-snapshot-3.9~svn271203/build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc"
, 3549)
;
3550 case CVT_Reg:
3551 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3552 Operands[*(p + 1)]->setConstraint("r");
3553 ++NumMCOperands;
3554 break;
3555 case CVT_Tied:
3556 ++NumMCOperands;
3557 break;
3558 case CVT_95_Reg:
3559 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3560 Operands[*(p + 1)]->setConstraint("r");
3561 NumMCOperands += 1;
3562 break;
3563 case CVT_95_addVectorReg128Operands:
3564 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3565 Operands[*(p + 1)]->setConstraint("m");
3566 NumMCOperands += 1;
3567 break;
3568 case CVT_95_addVectorReg64Operands:
3569 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3570 Operands[*(p + 1)]->setConstraint("m");
3571 NumMCOperands += 1;
3572 break;
3573 case CVT_imm_95_16:
3574 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3575 Operands[*(p + 1)]->setConstraint("");
3576 ++NumMCOperands;
3577 break;
3578 case CVT_imm_95_24:
3579 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3580 Operands[*(p + 1)]->setConstraint("");
3581 ++NumMCOperands;
3582 break;
3583 case CVT_imm_95_0:
3584 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3585 Operands[*(p + 1)]->setConstraint("");
3586 ++NumMCOperands;
3587 break;
3588 case CVT_95_addAddSubImmNegOperands:
3589 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3590 Operands[*(p + 1)]->setConstraint("m");
3591 NumMCOperands += 2;
3592 break;
3593 case CVT_95_addAddSubImmOperands:
3594 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3595 Operands[*(p + 1)]->setConstraint("m");
3596 NumMCOperands += 2;
3597 break;
3598 case CVT_95_addShifterOperands:
3599 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3600 Operands[*(p + 1)]->setConstraint("m");
3601 NumMCOperands += 1;
3602 break;
3603 case CVT_95_addExtendOperands:
3604 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3605 Operands[*(p + 1)]->setConstraint("m");
3606 NumMCOperands += 1;
3607 break;
3608 case CVT_95_addExtend64Operands:
3609 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3610 Operands[*(p + 1)]->setConstraint("m");
3611 NumMCOperands += 1;
3612 break;
3613 case CVT_95_addAdrLabelOperands:
3614 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3615 Operands[*(p + 1)]->setConstraint("m");
3616 NumMCOperands += 1;
3617 break;
3618 case CVT_95_addAdrpLabelOperands:
3619 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3620 Operands[*(p + 1)]->setConstraint("m");
3621 NumMCOperands += 1;
3622 break;
3623 case CVT_95_addLogicalImm32Operands:
3624 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3625 Operands[*(p + 1)]->setConstraint("m");
3626 NumMCOperands += 1;
3627 break;
3628 case CVT_95_addLogicalImm64Operands:
3629 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3630 Operands[*(p + 1)]->setConstraint("m");
3631 NumMCOperands += 1;
3632 break;
3633 case CVT_95_addImm0_95_31Operands:
3634 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3635 Operands[*(p + 1)]->setConstraint("m");
3636 NumMCOperands += 1;
3637 break;
3638 case CVT_imm_95_31:
3639 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3640 Operands[*(p + 1)]->setConstraint("");
3641 ++NumMCOperands;
3642 break;
3643 case CVT_95_addImm0_95_63Operands:
3644 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3645 Operands[*(p + 1)]->setConstraint("m");
3646 NumMCOperands += 1;
3647 break;
3648 case CVT_imm_95_63:
3649 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3650 Operands[*(p + 1)]->setConstraint("");
3651 ++NumMCOperands;
3652 break;
3653 case CVT_95_addBranchTarget26Operands:
3654 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3655 Operands[*(p + 1)]->setConstraint("m");
3656 NumMCOperands += 1;
3657 break;
3658 case CVT_95_addCondCodeOperands:
3659 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3660 Operands[*(p + 1)]->setConstraint("m");
3661 NumMCOperands += 1;
3662 break;
3663 case CVT_95_addPCRelLabel19Operands:
3664 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3665 Operands[*(p + 1)]->setConstraint("m");
3666 NumMCOperands += 1;
3667 break;
3668 case CVT_95_addImm0_95_255Operands:
3669 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3670 Operands[*(p + 1)]->setConstraint("m");
3671 NumMCOperands += 1;
3672 break;
3673 case CVT_95_addLogicalImm32NotOperands:
3674 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3675 Operands[*(p + 1)]->setConstraint("m");
3676 NumMCOperands += 1;
3677 break;
3678 case CVT_95_addLogicalImm64NotOperands:
3679 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3680 Operands[*(p + 1)]->setConstraint("m");
3681 NumMCOperands += 1;
3682 break;
3683 case CVT_95_addImm0_95_65535Operands:
3684 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3685 Operands[*(p + 1)]->setConstraint("m");
3686 NumMCOperands += 1;
3687 break;
3688 case CVT_95_addRegOperands:
3689 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3690 Operands[*(p + 1)]->setConstraint("m");
3691 NumMCOperands += 1;
3692 break;
3693 case CVT_95_addImm0_95_15Operands:
3694 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3695 Operands[*(p + 1)]->setConstraint("m");
3696 NumMCOperands += 1;
3697 break;
3698 case CVT_imm_95_15:
3699 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3700 Operands[*(p + 1)]->setConstraint("");
3701 ++NumMCOperands;
3702 break;
3703 case CVT_regWZR:
3704 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3705 Operands[*(p + 1)]->setConstraint("m");
3706 ++NumMCOperands;
3707 break;
3708 case CVT_regXZR:
3709 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3710 Operands[*(p + 1)]->setConstraint("m");
3711 ++NumMCOperands;
3712 break;
3713 case CVT_95_addBarrierOperands:
3714 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3715 Operands[*(p + 1)]->setConstraint("m");
3716 NumMCOperands += 1;
3717 break;
3718 case CVT_95_addVectorIndexHOperands:
3719 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3720 Operands[*(p + 1)]->setConstraint("m");
3721 NumMCOperands += 1;
3722 break;
3723 case CVT_95_addVectorIndexSOperands:
3724 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3725 Operands[*(p + 1)]->setConstraint("m");
3726 NumMCOperands += 1;
3727 break;
3728 case CVT_95_addVectorIndexDOperands:
3729 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3730 Operands[*(p + 1)]->setConstraint("m");
3731 NumMCOperands += 1;
3732 break;
3733 case CVT_95_addVectorIndexBOperands:
3734 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3735 Operands[*(p + 1)]->setConstraint("m");
3736 NumMCOperands += 1;
3737 break;
3738 case CVT_95_addImmOperands:
3739 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3740 Operands[*(p + 1)]->setConstraint("m");
3741 NumMCOperands += 1;
3742 break;
3743 case CVT_95_addImm1_95_16Operands:
3744 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3745 Operands[*(p + 1)]->setConstraint("m");
3746 NumMCOperands += 1;
3747 break;
3748 case CVT_95_addImm1_95_32Operands:
3749 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3750 Operands[*(p + 1)]->setConstraint("m");
3751 NumMCOperands += 1;
3752 break;
3753 case CVT_95_addImm1_95_64Operands:
3754 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3755 Operands[*(p + 1)]->setConstraint("m");
3756 NumMCOperands += 1;
3757 break;
3758 case CVT_95_addVectorRegLoOperands:
3759 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3760 Operands[*(p + 1)]->setConstraint("m");
3761 NumMCOperands += 1;
3762 break;
3763 case CVT_95_addFPImmOperands:
3764 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3765 Operands[*(p + 1)]->setConstraint("m");
3766 NumMCOperands += 1;
3767 break;
3768 case CVT_95_addVectorIndex1Operands:
3769 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3770 Operands[*(p + 1)]->setConstraint("m");
3771 NumMCOperands += 1;
3772 break;
3773 case CVT_95_addImm0_95_127Operands:
3774 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3775 Operands[*(p + 1)]->setConstraint("m");
3776 NumMCOperands += 1;
3777 break;
3778 case CVT_95_addVectorList128Operands_LT_4_GT_:
3779 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3780 Operands[*(p + 1)]->setConstraint("m");
3781 NumMCOperands += 1;
3782 break;
3783 case CVT_95_addVectorList64Operands_LT_4_GT_:
3784 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3785 Operands[*(p + 1)]->setConstraint("m");
3786 NumMCOperands += 1;
3787 break;
3788 case CVT_95_addVectorList128Operands_LT_1_GT_:
3789 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3790 Operands[*(p + 1)]->setConstraint("m");
3791 NumMCOperands += 1;
3792 break;
3793 case CVT_95_addVectorList64Operands_LT_1_GT_:
3794 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3795 Operands[*(p + 1)]->setConstraint("m");
3796 NumMCOperands += 1;
3797 break;
3798 case CVT_95_addVectorList128Operands_LT_3_GT_:
3799 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3800 Operands[*(p + 1)]->setConstraint("m");
3801 NumMCOperands += 1;
3802 break;
3803 case CVT_95_addVectorList64Operands_LT_3_GT_:
3804 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3805 Operands[*(p + 1)]->setConstraint("m");
3806 NumMCOperands += 1;
3807 break;
3808 case CVT_95_addVectorList128Operands_LT_2_GT_:
3809 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3810 Operands[*(p + 1)]->setConstraint("m");
3811 NumMCOperands += 1;
3812 break;
3813 case CVT_95_addVectorList64Operands_LT_2_GT_:
3814 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3815 Operands[*(p + 1)]->setConstraint("m");
3816 NumMCOperands += 1;
3817 break;
3818 case CVT_95_addSImm7s16Operands:
3819 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3820 Operands[*(p + 1)]->setConstraint("m");
3821 NumMCOperands += 1;
3822 break;
3823 case CVT_95_addSImm7s4Operands:
3824 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3825 Operands[*(p + 1)]->setConstraint("m");
3826 NumMCOperands += 1;
3827 break;
3828 case CVT_95_addSImm7s8Operands:
3829 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3830 Operands[*(p + 1)]->setConstraint("m");
3831 NumMCOperands += 1;
3832 break;
3833 case CVT_95_addSImm9Operands:
3834 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3835 Operands[*(p + 1)]->setConstraint("m");
3836 NumMCOperands += 1;
3837 break;
3838 case CVT_95_addUImm12OffsetOperands_LT_16_GT_:
3839 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3840 Operands[*(p + 1)]->setConstraint("m");
3841 NumMCOperands += 1;
3842 break;
3843 case CVT_95_addUImm12OffsetOperands_LT_2_GT_:
3844 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3845 Operands[*(p + 1)]->setConstraint("m");
3846 NumMCOperands += 1;
3847 break;
3848 case CVT_95_addUImm12OffsetOperands_LT_4_GT_:
3849 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3850 Operands[*(p + 1)]->setConstraint("m");
3851 NumMCOperands += 1;
3852 break;
3853 case CVT_95_addUImm12OffsetOperands_LT_8_GT_:
3854 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3855 Operands[*(p + 1)]->setConstraint("m");
3856 NumMCOperands += 1;
3857 break;
3858 case CVT_95_addUImm12OffsetOperands_LT_1_GT_:
3859 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3860 Operands[*(p + 1)]->setConstraint("m");
3861 NumMCOperands += 1;
3862 break;
3863 case CVT_95_addMemExtendOperands:
3864 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3865 Operands[*(p + 1)]->setConstraint("m");
3866 NumMCOperands += 2;
3867 break;
3868 case CVT_95_addMemExtend8Operands:
3869 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3870 Operands[*(p + 1)]->setConstraint("m");
3871 NumMCOperands += 2;
3872 break;
3873 case CVT_95_addMOVZMovAliasOperands_LT_0_GT_:
3874 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3875 Operands[*(p + 1)]->setConstraint("m");
3876 NumMCOperands += 1;
3877 break;
3878 case CVT_95_addMOVZMovAliasOperands_LT_16_GT_:
3879 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3880 Operands[*(p + 1)]->setConstraint("m");
3881 NumMCOperands += 1;
3882 break;
3883 case CVT_95_addMOVNMovAliasOperands_LT_0_GT_:
3884 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3885 Operands[*(p + 1)]->setConstraint("m");
3886 NumMCOperands += 1;
3887 break;
3888 case CVT_95_addMOVNMovAliasOperands_LT_16_GT_:
3889 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3890 Operands[*(p + 1)]->setConstraint("m");
3891 NumMCOperands += 1;
3892 break;
3893 case CVT_95_addMOVZMovAliasOperands_LT_32_GT_:
3894 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3895 Operands[*(p + 1)]->setConstraint("m");
3896 NumMCOperands += 1;
3897 break;
3898 case CVT_imm_95_32:
3899 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3900 Operands[*(p + 1)]->setConstraint("");
3901 ++NumMCOperands;
3902 break;
3903 case CVT_95_addMOVZMovAliasOperands_LT_48_GT_:
3904 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3905 Operands[*(p + 1)]->setConstraint("m");
3906 NumMCOperands += 1;
3907 break;
3908 case CVT_imm_95_48:
3909 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3910 Operands[*(p + 1)]->setConstraint("");
3911 ++NumMCOperands;
3912 break;
3913 case CVT_95_addMOVNMovAliasOperands_LT_32_GT_:
3914 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3915 Operands[*(p + 1)]->setConstraint("m");
3916 NumMCOperands += 1;
3917 break;
3918 case CVT_95_addMOVNMovAliasOperands_LT_48_GT_:
3919 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3920 Operands[*(p + 1)]->setConstraint("m");
3921 NumMCOperands += 1;
3922 break;
3923 case CVT_95_addSIMDImmType10Operands:
3924 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3925 Operands[*(p + 1)]->setConstraint("m");
3926 NumMCOperands += 1;
3927 break;
3928 case CVT_95_addMRSSystemRegisterOperands:
3929 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3930 Operands[*(p + 1)]->setConstraint("m");
3931 NumMCOperands += 1;
3932 break;
3933 case CVT_95_addMSRSystemRegisterOperands:
3934 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3935 Operands[*(p + 1)]->setConstraint("m");
3936 NumMCOperands += 1;
3937 break;
3938 case CVT_95_addSystemPStateFieldWithImm0_95_15Operands:
3939 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3940 Operands[*(p + 1)]->setConstraint("m");
3941 NumMCOperands += 1;
3942 break;
3943 case CVT_95_addSystemPStateFieldWithImm0_95_1Operands:
3944 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3945 Operands[*(p + 1)]->setConstraint("m");
3946 NumMCOperands += 1;
3947 break;
3948 case CVT_95_addImm0_95_1Operands:
3949 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3950 Operands[*(p + 1)]->setConstraint("m");
3951 NumMCOperands += 1;
3952 break;
3953 case CVT_95_addPrefetchOperands:
3954 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3955 Operands[*(p + 1)]->setConstraint("m");
3956 NumMCOperands += 1;
3957 break;
3958 case CVT_95_addPSBHintOperands:
3959 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3960 Operands[*(p + 1)]->setConstraint("m");
3961 NumMCOperands += 1;
3962 break;
3963 case CVT_regLR:
3964 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3965 Operands[*(p + 1)]->setConstraint("m");
3966 ++NumMCOperands;
3967 break;
3968 case CVT_95_addImm1_95_8Operands:
3969 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3970 Operands[*(p + 1)]->setConstraint("m");
3971 NumMCOperands += 1;
3972 break;
3973 case CVT_imm_95_4:
3974 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3975 Operands[*(p + 1)]->setConstraint("");
3976 ++NumMCOperands;
3977 break;
3978 case CVT_imm_95_5:
3979 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3980 Operands[*(p + 1)]->setConstraint("");
3981 ++NumMCOperands;
3982 break;
3983 case CVT_95_addImm0_95_7Operands:
3984 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3985 Operands[*(p + 1)]->setConstraint("m");
3986 NumMCOperands += 1;
3987 break;
3988 case CVT_imm_95_7:
3989 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3990 Operands[*(p + 1)]->setConstraint("");
3991 ++NumMCOperands;
3992 break;
3993 case CVT_95_addSysCROperands:
3994 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
3995 Operands[*(p + 1)]->setConstraint("m");
3996 NumMCOperands += 1;
3997 break;
3998 case CVT_95_addBranchTarget14Operands:
3999 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
4000 Operands[*(p + 1)]->setConstraint("m");
4001 NumMCOperands += 1;
4002 break;
4003 case CVT_95_addImm32_95_63Operands:
4004 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
4005 Operands[*(p + 1)]->setConstraint("m");
4006 NumMCOperands += 1;
4007 break;
4008 case CVT_95_addGPR32as64Operands:
4009 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
4010 Operands[*(p + 1)]->setConstraint("m");
4011 NumMCOperands += 1;
4012 break;
4013 case CVT_imm_95_2:
4014 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
4015 Operands[*(p + 1)]->setConstraint("");
4016 ++NumMCOperands;
4017 break;
4018 case CVT_imm_95_3:
4019 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
4020 Operands[*(p + 1)]->setConstraint("");
4021 ++NumMCOperands;
4022 break;
4023 case CVT_imm_95_1:
4024 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
4025 Operands[*(p + 1)]->setConstraint("");
4026 ++NumMCOperands;
4027 break;
4028 }
4029 }
4030}
4031
4032namespace {
4033
4034/// MatchClassKind - The kinds of classes which participate in
4035/// instruction matching.
4036enum MatchClassKind {
4037 InvalidMatchClass = 0,
4038 OptionalMatchClass = 1,
4039 MCK__DOT_16B, // '.16B'
4040 MCK__DOT_1D, // '.1D'
4041 MCK__DOT_1Q, // '.1Q'
4042 MCK__DOT_2D, // '.2D'
4043 MCK__DOT_2H, // '.2H'
4044 MCK__DOT_2S, // '.2S'
4045 MCK__DOT_4H, // '.4H'
4046 MCK__DOT_4S, // '.4S'
4047 MCK__DOT_8B, // '.8B'
4048 MCK__DOT_8H, // '.8H'
4049 MCK__DOT_B, // '.B'
4050 MCK__DOT_D, // '.D'
4051 MCK__DOT_H, // '.H'
4052 MCK__DOT_Q, // '.Q'
4053 MCK__DOT_S, // '.S'
4054 MCK__EXCLAIM_, // '!'
4055 MCK__35_0, // '#0'
4056 MCK__35_1, // '#1'
4057 MCK__35_12, // '#12'
4058 MCK__35_16, // '#16'
4059 MCK__35_2, // '#2'
4060 MCK__35_24, // '#24'
4061 MCK__35_3, // '#3'
4062 MCK__35_32, // '#32'
4063 MCK__35_4, // '#4'
4064 MCK__35_48, // '#48'
4065 MCK__35_6, // '#6'
4066 MCK__35_64, // '#64'
4067 MCK__35_8, // '#8'
4068 MCK__DOT_, // '.'
4069 MCK__DOT_0, // '.0'
4070 MCK__DOT_16b, // '.16b'
4071 MCK__DOT_1d, // '.1d'
4072 MCK__DOT_1q, // '.1q'
4073 MCK__DOT_2d, // '.2d'
4074 MCK__DOT_2h, // '.2h'
4075 MCK__DOT_2s, // '.2s'
4076 MCK__DOT_4h, // '.4h'
4077 MCK__DOT_4s, // '.4s'
4078 MCK__DOT_8b, // '.8b'
4079 MCK__DOT_8h, // '.8h'
4080 MCK__DOT_b, // '.b'
4081 MCK__DOT_d, // '.d'
4082 MCK__DOT_h, // '.h'
4083 MCK__DOT_q, // '.q'
4084 MCK__DOT_s, // '.s'
4085 MCK__91_, // '['
4086 MCK__93_, // ']'
4087 MCK_CCR, // register class 'CCR'
4088 MCK_GPR32sponly, // register class 'GPR32sponly'
4089 MCK_GPR64sponly, // register class 'GPR64sponly'
4090 MCK_Reg25, // derived register class
4091 MCK_Reg26, // derived register class
4092 MCK_Reg35, // derived register class
4093 MCK_Reg36, // derived register class
4094 MCK_Reg21, // derived register class
4095 MCK_Reg27, // derived register class
4096 MCK_Reg32, // derived register class
4097 MCK_Reg34, // derived register class
4098 MCK_Reg37, // derived register class
4099 MCK_Reg42, // derived register class
4100 MCK_Reg22, // derived register class
4101 MCK_Reg24, // derived register class
4102 MCK_Reg28, // derived register class
4103 MCK_Reg30, // derived register class
4104 MCK_Reg31, // derived register class
4105 MCK_Reg33, // derived register class
4106 MCK_Reg38, // derived register class
4107 MCK_Reg40, // derived register class
4108 MCK_Reg41, // derived register class
4109 MCK_FPR128_lo, // register class 'FPR128_lo'
4110 MCK_Reg47, // derived register class
4111 MCK_Reg48, // derived register class
4112 MCK_Reg53, // derived register class
4113 MCK_tcGPR64, // register class 'tcGPR64'
4114 MCK_Reg43, // derived register class
4115 MCK_Reg49, // derived register class
4116 MCK_Reg44, // derived register class
4117 MCK_Reg46, // derived register class
4118 MCK_Reg50, // derived register class
4119 MCK_Reg52, // derived register class
4120 MCK_GPR32common, // register class 'GPR32common'
4121 MCK_GPR64common, // register class 'GPR64common'
4122 MCK_DD, // register class 'DD'
4123 MCK_DDD, // register class 'DDD'
4124 MCK_DDDD, // register class 'DDDD'
4125 MCK_FPR128, // register class 'FPR128'
4126 MCK_FPR16, // register class 'FPR16'
4127 MCK_FPR32, // register class 'FPR32'
4128 MCK_FPR64, // register class 'FPR64'
4129 MCK_FPR8, // register class 'FPR8'
4130 MCK_GPR32, // register class 'GPR32'
4131 MCK_GPR32sp, // register class 'GPR32sp'
4132 MCK_GPR64, // register class 'GPR64'
4133 MCK_GPR64sp, // register class 'GPR64sp'
4134 MCK_QQ, // register class 'QQ'
4135 MCK_QQQ, // register class 'QQQ'
4136 MCK_QQQQ, // register class 'QQQQ'
4137 MCK_WSeqPairsClass, // register class 'WSeqPairsClass'
4138 MCK_XSeqPairsClass, // register class 'XSeqPairsClass'
4139 MCK_GPR32all, // register class 'GPR32all'
4140 MCK_GPR64all, // register class 'GPR64all'
4141 MCK_AddSubImmNeg, // user defined class 'AddSubImmNegOperand'
4142 MCK_AddSubImm, // user defined class 'AddSubImmOperand'
4143 MCK_AdrLabel, // user defined class 'AdrOperand'
4144 MCK_AdrpLabel, // user defined class 'AdrpOperand'
4145 MCK_Barrier, // user defined class 'BarrierAsmOperand'
4146 MCK_BranchTarget14, // user defined class 'BranchTarget14Operand'
4147 MCK_BranchTarget26, // user defined class 'BranchTarget26Operand'
4148 MCK_CondCode, // user defined class 'CondCode'
4149 MCK_Extend64, // user defined class 'ExtendOperand64'
4150 MCK_ExtendLSL64, // user defined class 'ExtendOperandLSL64'
4151 MCK_Extend, // user defined class 'ExtendOperand'
4152 MCK_FPImm, // user defined class 'FPImmOperand'
4153 MCK_GPR32as64, // user defined class 'GPR32as64Operand'
4154 MCK_GPR64sp0, // user defined class 'GPR64spPlus0Operand'
4155 MCK_Imm0_127, // user defined class 'Imm0_127Operand'
4156 MCK_Imm0_15, // user defined class 'Imm0_15Operand'
4157 MCK_Imm0_1, // user defined class 'Imm0_1Operand'
4158 MCK_Imm0_255, // user defined class 'Imm0_255Operand'
4159 MCK_Imm0_31, // user defined class 'Imm0_31Operand'
4160 MCK_Imm0_63, // user defined class 'Imm0_63Operand'
4161 MCK_Imm0_65535, // user defined class 'Imm0_65535Operand'
4162 MCK_Imm0_7, // user defined class 'Imm0_7Operand'
4163 MCK_Imm1_16, // user defined class 'Imm1_16Operand'
4164 MCK_Imm1_32, // user defined class 'Imm1_32Operand'
4165 MCK_Imm1_64, // user defined class 'Imm1_64Operand'
4166 MCK_Imm1_8, // user defined class 'Imm1_8Operand'
4167 MCK_Imm, // user defined class 'ImmAsmOperand'
4168 MCK_LogicalImm32Not, // user defined class 'LogicalImm32NotOperand'
4169 MCK_LogicalImm32, // user defined class 'LogicalImm32Operand'
4170 MCK_LogicalImm64Not, // user defined class 'LogicalImm64NotOperand'
4171 MCK_LogicalImm64, // user defined class 'LogicalImm64Operand'
4172 MCK_MRSSystemRegister, // user defined class 'MRSSystemRegisterOperand'
4173 MCK_MSRSystemRegister, // user defined class 'MSRSystemRegisterOperand'
4174 MCK_MemWExtend128, // user defined class 'MemWExtend128Operand'
4175 MCK_MemWExtend16, // user defined class 'MemWExtend16Operand'
4176 MCK_MemWExtend32, // user defined class 'MemWExtend32Operand'
4177 MCK_MemWExtend64, // user defined class 'MemWExtend64Operand'
4178 MCK_MemWExtend8, // user defined class 'MemWExtend8Operand'
4179 MCK_MemXExtend128, // user defined class 'MemXExtend128Operand'
4180 MCK_MemXExtend16, // user defined class 'MemXExtend16Operand'
4181 MCK_MemXExtend32, // user defined class 'MemXExtend32Operand'
4182 MCK_MemXExtend64, // user defined class 'MemXExtend64Operand'
4183 MCK_MemXExtend8, // user defined class 'MemXExtend8Operand'
4184 MCK_MovKSymbolG0, // user defined class 'MovKSymbolG0AsmOperand'
4185 MCK_MovKSymbolG1, // user defined class 'MovKSymbolG1AsmOperand'
4186 MCK_MovKSymbolG2, // user defined class 'MovKSymbolG2AsmOperand'
4187 MCK_MovKSymbolG3, // user defined class 'MovKSymbolG3AsmOperand'
4188 MCK_MovZSymbolG0, // user defined class 'MovZSymbolG0AsmOperand'
4189 MCK_MovZSymbolG1, // user defined class 'MovZSymbolG1AsmOperand'
4190 MCK_MovZSymbolG2, // user defined class 'MovZSymbolG2AsmOperand'
4191 MCK_MovZSymbolG3, // user defined class 'MovZSymbolG3AsmOperand'
4192 MCK_PCRelLabel19, // user defined class 'PCRelLabel19Operand'
4193 MCK_PSBHint, // user defined class 'PSBHintOperand'
4194 MCK_Prefetch, // user defined class 'PrefetchOperand'
4195 MCK_SIMDImmType10, // user defined class 'SIMDImmType10Operand'
4196 MCK_SImm7s16, // user defined class 'SImm7s16Operand'
4197 MCK_SImm7s4, // user defined class 'SImm7s4Operand'
4198 MCK_SImm7s8, // user defined class 'SImm7s8Operand'
4199 MCK_SImm9OffsetFB128, // user defined class 'SImm9OffsetFB128Operand'
4200 MCK_SImm9OffsetFB16, // user defined class 'SImm9OffsetFB16Operand'
4201 MCK_SImm9OffsetFB32, // user defined class 'SImm9OffsetFB32Operand'
4202 MCK_SImm9OffsetFB64, // user defined class 'SImm9OffsetFB64Operand'
4203 MCK_SImm9OffsetFB8, // user defined class 'SImm9OffsetFB8Operand'
4204 MCK_SImm9, // user defined class 'SImm9Operand'
4205 MCK_LogicalVecHalfWordShifter, // user defined class 'LogicalVecHalfWordShifterOperand'
4206 MCK_ArithmeticShifter32, // user defined class 'ArithmeticShifterOperand32'
4207 MCK_ArithmeticShifter64, // user defined class 'ArithmeticShifterOperand64'
4208 MCK_LogicalShifter32, // user defined class 'LogicalShifterOperand32'
4209 MCK_LogicalShifter64, // user defined class 'LogicalShifterOperand64'
4210 MCK_LogicalVecShifter, // user defined class 'LogicalVecShifterOperand'
4211 MCK_MovImm32Shifter, // user defined class 'MovImm32ShifterOperand'
4212 MCK_MovImm64Shifter, // user defined class 'MovImm64ShifterOperand'
4213 MCK_MoveVecShifter, // user defined class 'MoveVecShifterOperand'
4214 MCK_Shifter, // user defined class 'ShifterOperand'
4215 MCK_SysCR, // user defined class 'SysCRAsmOperand'
4216 MCK_SystemPStateFieldWithImm0_15, // user defined class 'SystemPStateFieldWithImm0_15Operand'
4217 MCK_SystemPStateFieldWithImm0_1, // user defined class 'SystemPStateFieldWithImm0_1Operand'
4218 MCK_TBZImm0_31, // user defined class 'TBZImm0_31Operand'
4219 MCK_Imm32_63, // user defined class 'TBZImm32_63Operand'
4220 MCK_UImm12Offset16, // user defined class 'UImm12OffsetScale16Operand'
4221 MCK_UImm12Offset1, // user defined class 'UImm12OffsetScale1Operand'
4222 MCK_UImm12Offset2, // user defined class 'UImm12OffsetScale2Operand'
4223 MCK_UImm12Offset4, // user defined class 'UImm12OffsetScale4Operand'
4224 MCK_UImm12Offset8, // user defined class 'UImm12OffsetScale8Operand'
4225 MCK_VecListFour128, // user defined class 'VecListFour_128AsmOperand'
4226 MCK_TypedVectorList4_16b, // user defined class 'VecListFour_16bAsmOperand'
4227 MCK_TypedVectorList4_1d, // user defined class 'VecListFour_1dAsmOperand'
4228 MCK_TypedVectorList4_2d, // user defined class 'VecListFour_2dAsmOperand'
4229 MCK_TypedVectorList4_2s, // user defined class 'VecListFour_2sAsmOperand'
4230 MCK_TypedVectorList4_4h, // user defined class 'VecListFour_4hAsmOperand'
4231 MCK_TypedVectorList4_4s, // user defined class 'VecListFour_4sAsmOperand'
4232 MCK_VecListFour64, // user defined class 'VecListFour_64AsmOperand'
4233 MCK_TypedVectorList4_8b, // user defined class 'VecListFour_8bAsmOperand'
4234 MCK_TypedVectorList4_8h, // user defined class 'VecListFour_8hAsmOperand'
4235 MCK_TypedVectorList4_0b, // user defined class 'VecListFour_bAsmOperand'
4236 MCK_TypedVectorList4_0d, // user defined class 'VecListFour_dAsmOperand'
4237 MCK_TypedVectorList4_0h, // user defined class 'VecListFour_hAsmOperand'
4238 MCK_TypedVectorList4_0s, // user defined class 'VecListFour_sAsmOperand'
4239 MCK_VecListOne128, // user defined class 'VecListOne_128AsmOperand'
4240 MCK_TypedVectorList1_16b, // user defined class 'VecListOne_16bAsmOperand'
4241 MCK_TypedVectorList1_1d, // user defined class 'VecListOne_1dAsmOperand'
4242 MCK_TypedVectorList1_2d, // user defined class 'VecListOne_2dAsmOperand'
4243 MCK_TypedVectorList1_2s, // user defined class 'VecListOne_2sAsmOperand'
4244 MCK_TypedVectorList1_4h, // user defined class 'VecListOne_4hAsmOperand'
4245 MCK_TypedVectorList1_4s, // user defined class 'VecListOne_4sAsmOperand'
4246 MCK_VecListOne64, // user defined class 'VecListOne_64AsmOperand'
4247 MCK_TypedVectorList1_8b, // user defined class 'VecListOne_8bAsmOperand'
4248 MCK_TypedVectorList1_8h, // user defined class 'VecListOne_8hAsmOperand'
4249 MCK_TypedVectorList1_0b, // user defined class 'VecListOne_bAsmOperand'
4250 MCK_TypedVectorList1_0d, // user defined class 'VecListOne_dAsmOperand'
4251 MCK_TypedVectorList1_0h, // user defined class 'VecListOne_hAsmOperand'
4252 MCK_TypedVectorList1_0s, // user defined class 'VecListOne_sAsmOperand'
4253 MCK_VecListThree128, // user defined class 'VecListThree_128AsmOperand'
4254 MCK_TypedVectorList3_16b, // user defined class 'VecListThree_16bAsmOperand'
4255 MCK_TypedVectorList3_1d, // user defined class 'VecListThree_1dAsmOperand'
4256 MCK_TypedVectorList3_2d, // user defined class 'VecListThree_2dAsmOperand'
4257 MCK_TypedVectorList3_2s, // user defined class 'VecListThree_2sAsmOperand'
4258 MCK_TypedVectorList3_4h, // user defined class 'VecListThree_4hAsmOperand'
4259 MCK_TypedVectorList3_4s, // user defined class 'VecListThree_4sAsmOperand'
4260 MCK_VecListThree64, // user defined class 'VecListThree_64AsmOperand'
4261 MCK_TypedVectorList3_8b, // user defined class 'VecListThree_8bAsmOperand'
4262 MCK_TypedVectorList3_8h, // user defined class 'VecListThree_8hAsmOperand'
4263 MCK_TypedVectorList3_0b, // user defined class 'VecListThree_bAsmOperand'
4264 MCK_TypedVectorList3_0d, // user defined class 'VecListThree_dAsmOperand'
4265 MCK_TypedVectorList3_0h, // user defined class 'VecListThree_hAsmOperand'
4266 MCK_TypedVectorList3_0s, // user defined class 'VecListThree_sAsmOperand'
4267 MCK_VecListTwo128, // user defined class 'VecListTwo_128AsmOperand'
4268 MCK_TypedVectorList2_16b, // user defined class 'VecListTwo_16bAsmOperand'
4269 MCK_TypedVectorList2_1d, // user defined class 'VecListTwo_1dAsmOperand'
4270 MCK_TypedVectorList2_2d, // user defined class 'VecListTwo_2dAsmOperand'
4271 MCK_TypedVectorList2_2s, // user defined class 'VecListTwo_2sAsmOperand'
4272 MCK_TypedVectorList2_4h, // user defined class 'VecListTwo_4hAsmOperand'
4273 MCK_TypedVectorList2_4s, // user defined class 'VecListTwo_4sAsmOperand'
4274 MCK_VecListTwo64, // user defined class 'VecListTwo_64AsmOperand'
4275 MCK_TypedVectorList2_8b, // user defined class 'VecListTwo_8bAsmOperand'
4276 MCK_TypedVectorList2_8h, // user defined class 'VecListTwo_8hAsmOperand'
4277 MCK_TypedVectorList2_0b, // user defined class 'VecListTwo_bAsmOperand'
4278 MCK_TypedVectorList2_0d, // user defined class 'VecListTwo_dAsmOperand'
4279 MCK_TypedVectorList2_0h, // user defined class 'VecListTwo_hAsmOperand'
4280 MCK_TypedVectorList2_0s, // user defined class 'VecListTwo_sAsmOperand'
4281 MCK_VectorIndex1, // user defined class 'VectorIndex1Operand'
4282 MCK_VectorIndexB, // user defined class 'VectorIndexBOperand'
4283 MCK_VectorIndexD, // user defined class 'VectorIndexDOperand'
4284 MCK_VectorIndexH, // user defined class 'VectorIndexHOperand'
4285 MCK_VectorIndexS, // user defined class 'VectorIndexSOperand'
4286 MCK_VectorReg128, // user defined class 'VectorReg128AsmOperand'
4287 MCK_VectorReg64, // user defined class 'VectorReg64AsmOperand'
4288 MCK_VectorRegLo, // user defined class 'VectorRegLoAsmOperand'
4289 MCK_WSeqPair, // user defined class 'WSeqPairsAsmOperandClass'
4290 MCK_XSeqPair, // user defined class 'XSeqPairsAsmOperandClass'
4291 MCK_MOVZ32_lsl0MovAlias, // user defined class 'anonymous_1050_asmoperand'
4292 MCK_MOVZ32_lsl16MovAlias, // user defined class 'anonymous_1051_asmoperand'
4293 MCK_MOVZ64_lsl0MovAlias, // user defined class 'anonymous_1052_asmoperand'
4294 MCK_MOVZ64_lsl16MovAlias, // user defined class 'anonymous_1053_asmoperand'
4295 MCK_MOVZ64_lsl32MovAlias, // user defined class 'anonymous_1054_asmoperand'
4296 MCK_MOVZ64_lsl48MovAlias, // user defined class 'anonymous_1055_asmoperand'
4297 MCK_MOVN32_lsl0MovAlias, // user defined class 'anonymous_1056_asmoperand'
4298 MCK_MOVN32_lsl16MovAlias, // user defined class 'anonymous_1057_asmoperand'
4299 MCK_MOVN64_lsl0MovAlias, // user defined class 'anonymous_1058_asmoperand'
4300 MCK_MOVN64_lsl16MovAlias, // user defined class 'anonymous_1059_asmoperand'
4301 MCK_MOVN64_lsl32MovAlias, // user defined class 'anonymous_1060_asmoperand'
4302 MCK_MOVN64_lsl48MovAlias, // user defined class 'anonymous_1061_asmoperand'
4303 NumMatchClassKinds
4304};
4305
4306}
4307
4308static MatchClassKind matchTokenString(StringRef Name) {
4309 switch (Name.size()) {
4310 default: break;
4311 case 1: // 4 strings to match.
4312 switch (Name[0]) {
4313 default: break;
4314 case '!': // 1 string to match.
4315 return MCK__EXCLAIM_; // "!"
4316 case '.': // 1 string to match.
4317 return MCK__DOT_; // "."
4318 case '[': // 1 string to match.
4319 return MCK__91_; // "["
4320 case ']': // 1 string to match.
4321 return MCK__93_; // "]"
4322 }
4323 break;
4324 case 2: // 18 strings to match.
4325 switch (Name[0]) {
4326 default: break;
4327 case '#': // 7 strings to match.
4328 switch (Name[1]) {
4329 default: break;
4330 case '0': // 1 string to match.
4331 return MCK__35_0; // "#0"
4332 case '1': // 1 string to match.
4333 return MCK__35_1; // "#1"
4334 case '2': // 1 string to match.
4335 return MCK__35_2; // "#2"
4336 case '3': // 1 string to match.
4337 return MCK__35_3; // "#3"
4338 case '4': // 1 string to match.
4339 return MCK__35_4; // "#4"
4340 case '6': // 1 string to match.
4341 return MCK__35_6; // "#6"
4342 case '8': // 1 string to match.
4343 return MCK__35_8; // "#8"
4344 }
4345 break;
4346 case '.': // 11 strings to match.
4347 switch (Name[1]) {
4348 default: break;
4349 case '0': // 1 string to match.
4350 return MCK__DOT_0; // ".0"
4351 case 'B': // 1 string to match.
4352 return MCK__DOT_B; // ".B"
4353 case 'D': // 1 string to match.
4354 return MCK__DOT_D; // ".D"
4355 case 'H': // 1 string to match.
4356 return MCK__DOT_H; // ".H"
4357 case 'Q': // 1 string to match.
4358 return MCK__DOT_Q; // ".Q"
4359 case 'S': // 1 string to match.
4360 return MCK__DOT_S; // ".S"
4361 case 'b': // 1 string to match.
4362 return MCK__DOT_b; // ".b"
4363 case 'd': // 1 string to match.
4364 return MCK__DOT_d; // ".d"
4365 case 'h': // 1 string to match.
4366 return MCK__DOT_h; // ".h"
4367 case 'q': // 1 string to match.
4368 return MCK__DOT_q; // ".q"
4369 case 's': // 1 string to match.
4370 return MCK__DOT_s; // ".s"
4371 }
4372 break;
4373 }
4374 break;
4375 case 3: // 24 strings to match.
4376 switch (Name[0]) {
4377 default: break;
4378 case '#': // 6 strings to match.
4379 switch (Name[1]) {
4380 default: break;
4381 case '1': // 2 strings to match.
4382 switch (Name[2]) {
4383 default: break;
4384 case '2': // 1 string to match.
4385 return MCK__35_12; // "#12"
4386 case '6': // 1 string to match.
4387 return MCK__35_16; // "#16"
4388 }
4389 break;
4390 case '2': // 1 string to match.
4391 if (Name[2] != '4')
4392 break;
4393 return MCK__35_24; // "#24"
4394 case '3': // 1 string to match.
4395 if (Name[2] != '2')
4396 break;
4397 return MCK__35_32; // "#32"
4398 case '4': // 1 string to match.
4399 if (Name[2] != '8')
4400 break;
4401 return MCK__35_48; // "#48"
4402 case '6': // 1 string to match.
4403 if (Name[2] != '4')
4404 break;
4405 return MCK__35_64; // "#64"
4406 }
4407 break;
4408 case '.': // 18 strings to match.
4409 switch (Name[1]) {
4410 default: break;
4411 case '1': // 4 strings to match.
4412 switch (Name[2]) {
4413 default: break;
4414 case 'D': // 1 string to match.
4415 return MCK__DOT_1D; // ".1D"
4416 case 'Q': // 1 string to match.
4417 return MCK__DOT_1Q; // ".1Q"
4418 case 'd': // 1 string to match.
4419 return MCK__DOT_1d; // ".1d"
4420 case 'q': // 1 string to match.
4421 return MCK__DOT_1q; // ".1q"
4422 }
4423 break;
4424 case '2': // 6 strings to match.
4425 switch (Name[2]) {
4426 default: break;
4427 case 'D': // 1 string to match.
4428 return MCK__DOT_2D; // ".2D"
4429 case 'H': // 1 string to match.
4430 return MCK__DOT_2H; // ".2H"
4431 case 'S': // 1 string to match.
4432 return MCK__DOT_2S; // ".2S"
4433 case 'd': // 1 string to match.
4434 return MCK__DOT_2d; // ".2d"
4435 case 'h': // 1 string to match.
4436 return MCK__DOT_2h; // ".2h"
4437 case 's': // 1 string to match.
4438 return MCK__DOT_2s; // ".2s"
4439 }
4440 break;
4441 case '4': // 4 strings to match.
4442 switch (Name[2]) {
4443 default: break;
4444 case 'H': // 1 string to match.
4445 return MCK__DOT_4H; // ".4H"
4446 case 'S': // 1 string to match.
4447 return MCK__DOT_4S; // ".4S"
4448 case 'h': // 1 string to match.
4449 return MCK__DOT_4h; // ".4h"
4450 case 's': // 1 string to match.
4451 return MCK__DOT_4s; // ".4s"
4452 }
4453 break;
4454 case '8': // 4 strings to match.
4455 switch (Name[2]) {
4456 default: break;
4457 case 'B': // 1 string to match.
4458 return MCK__DOT_8B; // ".8B"
4459 case 'H': // 1 string to match.
4460 return MCK__DOT_8H; // ".8H"
4461 case 'b': // 1 string to match.
4462 return MCK__DOT_8b; // ".8b"
4463 case 'h': // 1 string to match.
4464 return MCK__DOT_8h; // ".8h"
4465 }
4466 break;
4467 }
4468 break;
4469 }
4470 break;
4471 case 4: // 2 strings to match.
4472 if (memcmp(Name.data()+0, ".16", 3))
4473 break;
4474 switch (Name[3]) {
4475 default: break;
4476 case 'B': // 1 string to match.
4477 return MCK__DOT_16B; // ".16B"
4478 case 'b': // 1 string to match.
4479 return MCK__DOT_16b; // ".16b"
4480 }
4481 break;
4482 }
4483 return InvalidMatchClass;
4484}
4485
4486/// isSubclass - Compute whether \p A is a subclass of \p B.
4487static bool isSubclass(MatchClassKind A, MatchClassKind B) {
4488 if (A == B)
4489 return true;
4490
4491 switch (A) {
4492 default:
4493 return false;
4494
4495 case MCK__DOT_16B:
4496 return B == MCK__DOT_16b;
4497
4498 case MCK__DOT_1D:
4499 return B == MCK__DOT_1d;
4500
4501 case MCK__DOT_1Q:
4502 return B == MCK__DOT_1q;
4503
4504 case MCK__DOT_2D:
4505 return B == MCK__DOT_2d;
4506
4507 case MCK__DOT_2H:
4508 return B == MCK__DOT_2h;
4509
4510 case MCK__DOT_2S:
4511 return B == MCK__DOT_2s;
4512
4513 case MCK__DOT_4H:
4514 return B == MCK__DOT_4h;
4515
4516 case MCK__DOT_4S:
4517 return B == MCK__DOT_4s;
4518
4519 case MCK__DOT_8B:
4520 return B == MCK__DOT_8b;
4521
4522 case MCK__DOT_8H:
4523 return B == MCK__DOT_8h;
4524
4525 case MCK__DOT_B:
4526 return B == MCK__DOT_b;
4527
4528 case MCK__DOT_D:
4529 return B == MCK__DOT_d;
4530
4531 case MCK__DOT_H:
4532 return B == MCK__DOT_h;
4533
4534 case MCK__DOT_Q:
4535 return B == MCK__DOT_q;
4536
4537 case MCK__DOT_S:
4538 return B == MCK__DOT_s;
4539
4540 case MCK_GPR32sponly:
4541 switch (B) {
4542 default: return false;
4543 case MCK_GPR32sp: return true;
4544 case MCK_GPR32all: return true;
4545 }
4546
4547 case MCK_GPR64sponly:
4548 switch (B) {
4549 default: return false;
4550 case MCK_GPR64sp: return true;
4551 case MCK_GPR64all: return true;
4552 }
4553
4554 case MCK_Reg25:
4555 switch (B) {
4556 default: return false;
4557 case MCK_Reg26: return true;
4558 case MCK_Reg35: return true;
4559 case MCK_Reg27: return true;
4560 case MCK_Reg32: return true;
4561 case MCK_Reg34: return true;
4562 case MCK_Reg28: return true;
4563 case MCK_Reg30: return true;
4564 case MCK_Reg31: return true;
4565 case MCK_Reg33: return true;
4566 case MCK_QQQQ: return true;
4567 }
4568
4569 case MCK_Reg26:
4570 switch (B) {
4571 default: return false;
4572 case MCK_Reg27: return true;
4573 case MCK_Reg32: return true;
4574 case MCK_Reg28: return true;
4575 case MCK_Reg30: return true;
4576 case MCK_Reg31: return true;
4577 case MCK_QQQQ: return true;
4578 }
4579
4580 case MCK_Reg35:
4581 switch (B) {
4582 default: return false;
4583 case MCK_Reg32: return true;
4584 case MCK_Reg34: return true;
4585 case MCK_Reg30: return true;
4586 case MCK_Reg31: return true;
4587 case MCK_Reg33: return true;
4588 case MCK_QQQQ: return true;
4589 }
4590
4591 case MCK_Reg36:
4592 switch (B) {
4593 default: return false;
4594 case MCK_Reg37: return true;
4595 case MCK_Reg42: return true;
4596 case MCK_Reg38: return true;
4597 case MCK_Reg40: return true;
4598 case MCK_Reg41: return true;
4599 case MCK_QQQ: return true;
4600 }
4601
4602 case MCK_Reg21:
4603 switch (B) {
4604 default: return false;
4605 case MCK_Reg22: return true;
4606 case MCK_Reg24: return true;
4607 case MCK_QQ: return true;
4608 }
4609
4610 case MCK_Reg27:
4611 switch (B) {
4612 default: return false;
4613 case MCK_Reg28: return true;
4614 case MCK_Reg30: return true;
4615 case MCK_QQQQ: return true;
4616 }
4617
4618 case MCK_Reg32:
4619 switch (B) {
4620 default: return false;
4621 case MCK_Reg30: return true;
4622 case MCK_Reg31: return true;
4623 case MCK_QQQQ: return true;
4624 }
4625
4626 case MCK_Reg34:
4627 switch (B) {
4628 default: return false;
4629 case MCK_Reg31: return true;
4630 case MCK_Reg33: return true;
4631 case MCK_QQQQ: return true;
4632 }
4633
4634 case MCK_Reg37:
4635 switch (B) {
4636 default: return false;
4637 case MCK_Reg38: return true;
4638 case MCK_Reg40: return true;
4639 case MCK_QQQ: return true;
4640 }
4641
4642 case MCK_Reg42:
4643 switch (B) {
4644 default: return false;
4645 case MCK_Reg40: return true;
4646 case MCK_Reg41: return true;
4647 case MCK_QQQ: return true;
4648 }
4649
4650 case MCK_Reg22:
4651 return B == MCK_QQ;
4652
4653 case MCK_Reg24:
4654 return B == MCK_QQ;
4655
4656 case MCK_Reg28:
4657 return B == MCK_QQQQ;
4658
4659 case MCK_Reg30:
4660 return B == MCK_QQQQ;
4661
4662 case MCK_Reg31:
4663 return B == MCK_QQQQ;
4664
4665 case MCK_Reg33:
4666 return B == MCK_QQQQ;
4667
4668 case MCK_Reg38:
4669 return B == MCK_QQQ;
4670
4671 case MCK_Reg40:
4672 return B == MCK_QQQ;
4673
4674 case MCK_Reg41:
4675 return B == MCK_QQQ;
4676
4677 case MCK_FPR128_lo:
4678 return B == MCK_FPR128;
4679
4680 case MCK_Reg47:
4681 switch (B) {
4682 default: return false;
4683 case MCK_Reg48: return true;
4684 case MCK_Reg53: return true;
4685 case MCK_Reg49: return true;
4686 case MCK_Reg50: return true;
4687 case MCK_Reg52: return true;
4688 case MCK_XSeqPairsClass: return true;
4689 }
4690
4691 case MCK_Reg48:
4692 switch (B) {
4693 default: return false;
4694 case MCK_Reg49: return true;
4695 case MCK_Reg50: return true;
4696 case MCK_Reg52: return true;
4697 case MCK_XSeqPairsClass: return true;
4698 }
4699
4700 case MCK_Reg53:
4701 switch (B) {
4702 default: return false;
4703 case MCK_Reg52: return true;
4704 case MCK_XSeqPairsClass: return true;
4705 }
4706
4707 case MCK_tcGPR64:
4708 switch (B) {
4709 default: return false;
4710 case MCK_GPR64common: return true;
4711 case MCK_GPR64: return true;
4712 case MCK_GPR64sp: return true;
4713 case MCK_GPR64all: return true;
4714 }
4715
4716 case MCK_Reg43:
4717 switch (B) {
4718 default: return false;
4719 case MCK_Reg44: return true;
4720 case MCK_Reg46: return true;
4721 case MCK_WSeqPairsClass: return true;
4722 }
4723
4724 case MCK_Reg49:
4725 switch (B) {
4726 default: return false;
4727 case MCK_Reg50: return true;
4728 case MCK_Reg52: return true;
4729 case MCK_XSeqPairsClass: return true;
4730 }
4731
4732 case MCK_Reg44:
4733 return B == MCK_WSeqPairsClass;
4734
4735 case MCK_Reg46:
4736 return B == MCK_WSeqPairsClass;
4737
4738 case MCK_Reg50:
4739 return B == MCK_XSeqPairsClass;
4740
4741 case MCK_Reg52:
4742 return B == MCK_XSeqPairsClass;
4743
4744 case MCK_GPR32common:
4745 switch (B) {
4746 default: return false;
4747 case MCK_GPR32: return true;
4748 case MCK_GPR32sp: return true;
4749 case MCK_GPR32all: return true;
4750 }
4751
4752 case MCK_GPR64common:
4753 switch (B) {
4754 default: return false;
4755 case MCK_GPR64: return true;
4756 case MCK_GPR64sp: return true;
4757 case MCK_GPR64all: return true;
4758 }
4759
4760 case MCK_GPR32:
4761 return B == MCK_GPR32all;
4762
4763 case MCK_GPR32sp:
4764 return B == MCK_GPR32all;
4765
4766 case MCK_GPR64:
4767 return B == MCK_GPR64all;
4768
4769 case MCK_GPR64sp:
4770 return B == MCK_GPR64all;
4771
4772 case MCK_Extend64:
4773 return B == MCK_Extend;
4774
4775 case MCK_ExtendLSL64:
4776 return B == MCK_Extend;
4777
4778 case MCK_LogicalVecHalfWordShifter:
4779 switch (B) {
4780 default: return false;
4781 case MCK_LogicalVecShifter: return true;
4782 case MCK_Shifter: return true;
4783 }
4784
4785 case MCK_ArithmeticShifter32:
4786 return B == MCK_Shifter;
4787
4788 case MCK_ArithmeticShifter64:
4789 return B == MCK_Shifter;
4790
4791 case MCK_LogicalShifter32:
4792 return B == MCK_Shifter;
4793
4794 case MCK_LogicalShifter64:
4795 return B == MCK_Shifter;
4796
4797 case MCK_LogicalVecShifter:
4798 return B == MCK_Shifter;
4799
4800 case MCK_MovImm32Shifter:
4801 return B == MCK_Shifter;
4802
4803 case MCK_MovImm64Shifter:
4804 return B == MCK_Shifter;
4805
4806 case MCK_MoveVecShifter:
4807 return B == MCK_Shifter;
4808 }
4809}
4810
4811static unsigned validateOperandClass(MCParsedAsmOperand &GOp, MatchClassKind Kind) {
4812 AArch64Operand &Operand = (AArch64Operand&)GOp;
4813 if (Kind == InvalidMatchClass)
4814 return MCTargetAsmParser::Match_InvalidOperand;
4815
4816 if (Operand.isToken())
4817 return isSubclass(matchTokenString(Operand.getToken()), Kind) ?
4818 MCTargetAsmParser::Match_Success :
4819 MCTargetAsmParser::Match_InvalidOperand;
4820
4821 switch (Kind) {
4822 default: break;
4823 // 'AddSubImmNeg' class
4824 case MCK_AddSubImmNeg:
4825 if (Operand.isAddSubImmNeg())
4826 return MCTargetAsmParser::Match_Success;
4827 return AArch64AsmParser::Match_AddSubSecondSource;
4828 // 'AddSubImm' class
4829 case MCK_AddSubImm:
4830 if (Operand.isAddSubImm())
4831 return MCTargetAsmParser::Match_Success;
4832 return AArch64AsmParser::Match_AddSubSecondSource;
4833 // 'AdrLabel' class
4834 case MCK_AdrLabel:
4835 if (Operand.isAdrLabel())
4836 return MCTargetAsmParser::Match_Success;
4837 return AArch64AsmParser::Match_InvalidLabel;
4838 // 'AdrpLabel' class
4839 case MCK_AdrpLabel:
4840 if (Operand.isAdrpLabel())
4841 return MCTargetAsmParser::Match_Success;
4842 return AArch64AsmParser::Match_InvalidLabel;
4843 // 'Barrier' class
4844 case MCK_Barrier:
4845 if (Operand.isBarrier())
4846 return MCTargetAsmParser::Match_Success;
4847 break;
4848 // 'BranchTarget14' class
4849 case MCK_BranchTarget14:
4850 if (Operand.isBranchTarget14())
4851 return MCTargetAsmParser::Match_Success;
4852 break;
4853 // 'BranchTarget26' class
4854 case MCK_BranchTarget26:
4855 if (Operand.isBranchTarget26())
4856 return MCTargetAsmParser::Match_Success;
4857 return AArch64AsmParser::Match_InvalidLabel;
4858 // 'CondCode' class
4859 case MCK_CondCode:
4860 if (Operand.isCondCode())
4861 return MCTargetAsmParser::Match_Success;
4862 return AArch64AsmParser::Match_InvalidCondCode;
4863 // 'Extend64' class
4864 case MCK_Extend64:
4865 if (Operand.isExtend64())
4866 return MCTargetAsmParser::Match_Success;
4867 return AArch64AsmParser::Match_AddSubRegExtendSmall;
4868 // 'ExtendLSL64' class
4869 case MCK_ExtendLSL64:
4870 if (Operand.isExtendLSL64())
4871 return MCTargetAsmParser::Match_Success;
4872 return AArch64AsmParser::Match_AddSubRegExtendLarge;
4873 // 'Extend' class
4874 case MCK_Extend:
4875 if (Operand.isExtend())
4876 return MCTargetAsmParser::Match_Success;
4877 return AArch64AsmParser::Match_AddSubRegExtendLarge;
4878 // 'FPImm' class
4879 case MCK_FPImm:
4880 if (Operand.isFPImm())
4881 return MCTargetAsmParser::Match_Success;
4882 return AArch64AsmParser::Match_InvalidFPImm;
4883 // 'GPR32as64' class
4884 case MCK_GPR32as64:
4885 if (Operand.isGPR32as64())
4886 return MCTargetAsmParser::Match_Success;
4887 break;
4888 // 'GPR64sp0' class
4889 case MCK_GPR64sp0:
4890 if (Operand.isGPR64sp0())
4891 return MCTargetAsmParser::Match_Success;
4892 break;
4893 // 'Imm0_127' class
4894 case MCK_Imm0_127:
4895 if (Operand.isImm0_127())
4896 return MCTargetAsmParser::Match_Success;
4897 return AArch64AsmParser::Match_InvalidImm0_127;
4898 // 'Imm0_15' class
4899 case MCK_Imm0_15:
4900 if (Operand.isImm0_15())
4901 return MCTargetAsmParser::Match_Success;
4902 return AArch64AsmParser::Match_InvalidImm0_15;
4903 // 'Imm0_1' class
4904 case MCK_Imm0_1:
4905 if (Operand.isImm0_1())
4906 return MCTargetAsmParser::Match_Success;
4907 return AArch64AsmParser::Match_InvalidImm0_1;
4908 // 'Imm0_255' class
4909 case MCK_Imm0_255:
4910 if (Operand.isImm0_255())
4911 return MCTargetAsmParser::Match_Success;
4912 break;
4913 // 'Imm0_31' class
4914 case MCK_Imm0_31:
4915 if (Operand.isImm0_31())
4916 return MCTargetAsmParser::Match_Success;
4917 return AArch64AsmParser::Match_InvalidImm0_31;
4918 // 'Imm0_63' class
4919 case MCK_Imm0_63:
4920 if (Operand.isImm0_63())
4921 return MCTargetAsmParser::Match_Success;
4922 return AArch64AsmParser::Match_InvalidImm0_63;
4923 // 'Imm0_65535' class
4924 case MCK_Imm0_65535:
4925 if (Operand.isImm0_65535())
4926 return MCTargetAsmParser::Match_Success;
4927 return AArch64AsmParser::Match_InvalidImm0_65535;
4928 // 'Imm0_7' class
4929 case MCK_Imm0_7:
4930 if (Operand.isImm0_7())
4931 return MCTargetAsmParser::Match_Success;
4932 return AArch64AsmParser::Match_InvalidImm0_7;
4933 // 'Imm1_16' class
4934 case MCK_Imm1_16:
4935 if (Operand.isImm1_16())
4936 return MCTargetAsmParser::Match_Success;
4937 return AArch64AsmParser::Match_InvalidImm1_16;
4938 // 'Imm1_32' class
4939 case MCK_Imm1_32:
4940 if (Operand.isImm1_32())
4941 return MCTargetAsmParser::Match_Success;
4942 return AArch64AsmParser::Match_InvalidImm1_32;
4943 // 'Imm1_64' class
4944 case MCK_Imm1_64:
4945 if (Operand.isImm1_64())
4946 return MCTargetAsmParser::Match_Success;
4947 return AArch64AsmParser::Match_InvalidImm1_64;
4948 // 'Imm1_8' class
4949 case MCK_Imm1_8:
4950 if (Operand.isImm1_8())
4951 return MCTargetAsmParser::Match_Success;
4952 return AArch64AsmParser::Match_InvalidImm1_8;
4953 // 'Imm' class
4954 case MCK_Imm:
4955 if (Operand.isImm())
4956 return MCTargetAsmParser::Match_Success;
4957 break;
4958 // 'LogicalImm32Not' class
4959 case MCK_LogicalImm32Not:
4960 if (Operand.isLogicalImm32Not())
4961 return MCTargetAsmParser::Match_Success;
4962 return AArch64AsmParser::Match_LogicalSecondSource;
4963 // 'LogicalImm32' class
4964 case MCK_LogicalImm32:
4965 if (Operand.isLogicalImm32())
4966 return MCTargetAsmParser::Match_Success;
4967 return AArch64AsmParser::Match_LogicalSecondSource;
4968 // 'LogicalImm64Not' class
4969 case MCK_LogicalImm64Not:
4970 if (Operand.isLogicalImm64Not())
4971 return MCTargetAsmParser::Match_Success;
4972 return AArch64AsmParser::Match_LogicalSecondSource;
4973 // 'LogicalImm64' class
4974 case MCK_LogicalImm64:
4975 if (Operand.isLogicalImm64())
4976 return MCTargetAsmParser::Match_Success;
4977 return AArch64AsmParser::Match_LogicalSecondSource;
4978 // 'MRSSystemRegister' class
4979 case MCK_MRSSystemRegister:
4980 if (Operand.isMRSSystemRegister())
4981 return MCTargetAsmParser::Match_Success;
4982 return AArch64AsmParser::Match_MRS;
4983 // 'MSRSystemRegister' class
4984 case MCK_MSRSystemRegister:
4985 if (Operand.isMSRSystemRegister())
4986 return MCTargetAsmParser::Match_Success;
4987 return AArch64AsmParser::Match_MSR;
4988 // 'MemWExtend128' class
4989 case MCK_MemWExtend128:
4990 if (Operand.isMemWExtend<128>())
4991 return MCTargetAsmParser::Match_Success;
4992 return AArch64AsmParser::Match_InvalidMemoryWExtend128;
4993 // 'MemWExtend16' class
4994 case MCK_MemWExtend16:
4995 if (Operand.isMemWExtend<16>())
4996 return MCTargetAsmParser::Match_Success;
4997 return AArch64AsmParser::Match_InvalidMemoryWExtend16;
4998 // 'MemWExtend32' class
4999 case MCK_MemWExtend32:
5000 if (Operand.isMemWExtend<32>())
5001 return MCTargetAsmParser::Match_Success;
5002 return AArch64AsmParser::Match_InvalidMemoryWExtend32;
5003 // 'MemWExtend64' class
5004 case MCK_MemWExtend64:
5005 if (Operand.isMemWExtend<64>())
5006 return MCTargetAsmParser::Match_Success;
5007 return AArch64AsmParser::Match_InvalidMemoryWExtend64;
5008 // 'MemWExtend8' class
5009 case MCK_MemWExtend8:
5010 if (Operand.isMemWExtend<8>())
5011 return MCTargetAsmParser::Match_Success;
5012 return AArch64AsmParser::Match_InvalidMemoryWExtend8;
5013 // 'MemXExtend128' class
5014 case MCK_MemXExtend128:
5015 if (Operand.isMemXExtend<128>())
5016 return MCTargetAsmParser::Match_Success;
5017 return AArch64AsmParser::Match_InvalidMemoryXExtend128;
5018 // 'MemXExtend16' class
5019 case MCK_MemXExtend16:
5020 if (Operand.isMemXExtend<16>())
5021 return MCTargetAsmParser::Match_Success;
5022 return AArch64AsmParser::Match_InvalidMemoryXExtend16;
5023 // 'MemXExtend32' class
5024 case MCK_MemXExtend32:
5025 if (Operand.isMemXExtend<32>())
5026 return MCTargetAsmParser::Match_Success;
5027 return AArch64AsmParser::Match_InvalidMemoryXExtend32;
5028 // 'MemXExtend64' class
5029 case MCK_MemXExtend64:
5030 if (Operand.isMemXExtend<64>())
5031 return MCTargetAsmParser::Match_Success;
5032 return AArch64AsmParser::Match_InvalidMemoryXExtend64;
5033 // 'MemXExtend8' class
5034 case MCK_MemXExtend8:
5035 if (Operand.isMemXExtend<8>())
5036 return MCTargetAsmParser::Match_Success;
5037 return AArch64AsmParser::Match_InvalidMemoryXExtend8;
5038 // 'MovKSymbolG0' class
5039 case MCK_MovKSymbolG0:
5040 if (Operand.isMovKSymbolG0())
5041 return MCTargetAsmParser::Match_Success;
5042 break;
5043 // 'MovKSymbolG1' class
5044 case MCK_MovKSymbolG1:
5045 if (Operand.isMovKSymbolG1())
5046 return MCTargetAsmParser::Match_Success;
5047 break;
5048 // 'MovKSymbolG2' class
5049 case MCK_MovKSymbolG2:
5050 if (Operand.isMovKSymbolG2())
5051 return MCTargetAsmParser::Match_Success;
5052 break;
5053 // 'MovKSymbolG3' class
5054 case MCK_MovKSymbolG3:
5055 if (Operand.isMovKSymbolG3())
5056 return MCTargetAsmParser::Match_Success;
5057 break;
5058 // 'MovZSymbolG0' class
5059 case MCK_MovZSymbolG0:
5060 if (Operand.isMovZSymbolG0())
5061 return MCTargetAsmParser::Match_Success;
5062 break;
5063 // 'MovZSymbolG1' class
5064 case MCK_MovZSymbolG1:
5065 if (Operand.isMovZSymbolG1())
5066 return MCTargetAsmParser::Match_Success;
5067 break;
5068 // 'MovZSymbolG2' class
5069 case MCK_MovZSymbolG2:
5070 if (Operand.isMovZSymbolG2())
5071 return MCTargetAsmParser::Match_Success;
5072 break;
5073 // 'MovZSymbolG3' class
5074 case MCK_MovZSymbolG3:
5075 if (Operand.isMovZSymbolG3())
5076 return MCTargetAsmParser::Match_Success;
5077 break;
5078 // 'PCRelLabel19' class
5079 case MCK_PCRelLabel19:
5080 if (Operand.isPCRelLabel19())
5081 return MCTargetAsmParser::Match_Success;
5082 return AArch64AsmParser::Match_InvalidLabel;
5083 // 'PSBHint' class
5084 case MCK_PSBHint:
5085 if (Operand.isPSBHint())
5086 return MCTargetAsmParser::Match_Success;
5087 break;
5088 // 'Prefetch' class
5089 case MCK_Prefetch:
5090 if (Operand.isPrefetch())
5091 return MCTargetAsmParser::Match_Success;
5092 break;
5093 // 'SIMDImmType10' class
5094 case MCK_SIMDImmType10:
5095 if (Operand.isSIMDImmType10())
5096 return MCTargetAsmParser::Match_Success;
5097 break;
5098 // 'SImm7s16' class
5099 case MCK_SImm7s16:
5100 if (Operand.isSImm7s16())
5101 return MCTargetAsmParser::Match_Success;
5102 return AArch64AsmParser::Match_InvalidMemoryIndexed16SImm7;
5103 // 'SImm7s4' class
5104 case MCK_SImm7s4:
5105 if (Operand.isSImm7s4())
5106 return MCTargetAsmParser::Match_Success;
5107 return AArch64AsmParser::Match_InvalidMemoryIndexed4SImm7;
5108 // 'SImm7s8' class
5109 case MCK_SImm7s8:
5110 if (Operand.isSImm7s8())
5111 return MCTargetAsmParser::Match_Success;
5112 return AArch64AsmParser::Match_InvalidMemoryIndexed8SImm7;
5113 // 'SImm9OffsetFB128' class
5114 case MCK_SImm9OffsetFB128:
5115 if (Operand.isSImm9OffsetFB<128>())
5116 return MCTargetAsmParser::Match_Success;
5117 break;
5118 // 'SImm9OffsetFB16' class
5119 case MCK_SImm9OffsetFB16:
5120 if (Operand.isSImm9OffsetFB<16>())
5121 return MCTargetAsmParser::Match_Success;
5122 break;
5123 // 'SImm9OffsetFB32' class
5124 case MCK_SImm9OffsetFB32:
5125 if (Operand.isSImm9OffsetFB<32>())
5126 return MCTargetAsmParser::Match_Success;
5127 break;
5128 // 'SImm9OffsetFB64' class
5129 case MCK_SImm9OffsetFB64:
5130 if (Operand.isSImm9OffsetFB<64>())
5131 return MCTargetAsmParser::Match_Success;
5132 break;
5133 // 'SImm9OffsetFB8' class
5134 case MCK_SImm9OffsetFB8:
5135 if (Operand.isSImm9OffsetFB<8>())
5136 return MCTargetAsmParser::Match_Success;
5137 break;
5138 // 'SImm9' class
5139 case MCK_SImm9:
5140 if (Operand.isSImm9())
5141 return MCTargetAsmParser::Match_Success;
5142 return AArch64AsmParser::Match_InvalidMemoryIndexedSImm9;
5143 // 'LogicalVecHalfWordShifter' class
5144 case MCK_LogicalVecHalfWordShifter:
5145 if (Operand.isLogicalVecHalfWordShifter())
5146 return MCTargetAsmParser::Match_Success;
5147 break;
5148 // 'ArithmeticShifter32' class
5149 case MCK_ArithmeticShifter32:
5150 if (Operand.isArithmeticShifter<32>())
5151 return MCTargetAsmParser::Match_Success;
5152 return AArch64AsmParser::Match_AddSubRegShift32;
5153 // 'ArithmeticShifter64' class
5154 case MCK_ArithmeticShifter64:
5155 if (Operand.isArithmeticShifter<64>())
5156 return MCTargetAsmParser::Match_Success;
5157 return AArch64AsmParser::Match_AddSubRegShift64;
5158 // 'LogicalShifter32' class
5159 case MCK_LogicalShifter32:
5160 if (Operand.isLogicalShifter<32>())
5161 return MCTargetAsmParser::Match_Success;
5162 return AArch64AsmParser::Match_AddSubRegShift32;
5163 // 'LogicalShifter64' class
5164 case MCK_LogicalShifter64:
5165 if (Operand.isLogicalShifter<64>())
5166 return MCTargetAsmParser::Match_Success;
5167 return AArch64AsmParser::Match_AddSubRegShift64;
5168 // 'LogicalVecShifter' class
5169 case MCK_LogicalVecShifter:
5170 if (Operand.isLogicalVecShifter())
5171 return MCTargetAsmParser::Match_Success;
5172 break;
5173 // 'MovImm32Shifter' class
5174 case MCK_MovImm32Shifter:
5175 if (Operand.isMovImm32Shifter())
5176 return MCTargetAsmParser::Match_Success;
5177 return AArch64AsmParser::Match_InvalidMovImm32Shift;
5178 // 'MovImm64Shifter' class
5179 case MCK_MovImm64Shifter:
5180 if (Operand.isMovImm64Shifter())
5181 return MCTargetAsmParser::Match_Success;
5182 return AArch64AsmParser::Match_InvalidMovImm64Shift;
5183 // 'MoveVecShifter' class
5184 case MCK_MoveVecShifter:
5185 if (Operand.isMoveVecShifter())
5186 return MCTargetAsmParser::Match_Success;
5187 break;
5188 // 'Shifter' class
5189 case MCK_Shifter:
5190 if (Operand.isShifter())
5191 return MCTargetAsmParser::Match_Success;
5192 break;
5193 // 'SysCR' class
5194 case MCK_SysCR:
5195 if (Operand.isSysCR())
5196 return MCTargetAsmParser::Match_Success;
5197 break;
5198 // 'SystemPStateFieldWithImm0_15' class
5199 case MCK_SystemPStateFieldWithImm0_15:
5200 if (Operand.isSystemPStateFieldWithImm0_15())
5201 return MCTargetAsmParser::Match_Success;
5202 break;
5203 // 'SystemPStateFieldWithImm0_1' class
5204 case MCK_SystemPStateFieldWithImm0_1:
5205 if (Operand.isSystemPStateFieldWithImm0_1())
5206 return MCTargetAsmParser::Match_Success;
5207 break;
5208 // 'TBZImm0_31' class
5209 case MCK_TBZImm0_31:
5210 if (Operand.isImm0_31())
5211 return MCTargetAsmParser::Match_Success;
5212 break;
5213 // 'Imm32_63' class
5214 case MCK_Imm32_63:
5215 if (Operand.isImm32_63())
5216 return MCTargetAsmParser::Match_Success;
5217 return AArch64AsmParser::Match_InvalidImm0_63;
5218 // 'UImm12Offset16' class
5219 case MCK_UImm12Offset16:
5220 if (Operand.isUImm12Offset<16>())
5221 return MCTargetAsmParser::Match_Success;
5222 return AArch64AsmParser::Match_InvalidMemoryIndexed16;
5223 // 'UImm12Offset1' class
5224 case MCK_UImm12Offset1:
5225 if (Operand.isUImm12Offset<1>())
5226 return MCTargetAsmParser::Match_Success;
5227 return AArch64AsmParser::Match_InvalidMemoryIndexed1;
5228 // 'UImm12Offset2' class
5229 case MCK_UImm12Offset2:
5230 if (Operand.isUImm12Offset<2>())
5231 return MCTargetAsmParser::Match_Success;
5232 return AArch64AsmParser::Match_InvalidMemoryIndexed2;
5233 // 'UImm12Offset4' class
5234 case MCK_UImm12Offset4:
5235 if (Operand.isUImm12Offset<4>())
5236 return MCTargetAsmParser::Match_Success;
5237 return AArch64AsmParser::Match_InvalidMemoryIndexed4;
5238 // 'UImm12Offset8' class
5239 case MCK_UImm12Offset8:
5240 if (Operand.isUImm12Offset<8>())
5241 return MCTargetAsmParser::Match_Success;
5242 return AArch64AsmParser::Match_InvalidMemoryIndexed8;
5243 // 'VecListFour128' class
5244 case MCK_VecListFour128:
5245 if (Operand.isImplicitlyTypedVectorList<4>())
5246 return MCTargetAsmParser::Match_Success;
5247 break;
5248 // 'TypedVectorList4_16b' class
5249 case MCK_TypedVectorList4_16b:
5250 if (Operand.isTypedVectorList<4, 16, 'b'>())
5251 return MCTargetAsmParser::Match_Success;
5252 break;
5253 // 'TypedVectorList4_1d' class
5254 case MCK_TypedVectorList4_1d:
5255 if (Operand.isTypedVectorList<4, 1, 'd'>())
5256 return MCTargetAsmParser::Match_Success;
5257 break;
5258 // 'TypedVectorList4_2d' class
5259 case MCK_TypedVectorList4_2d:
5260 if (Operand.isTypedVectorList<4, 2, 'd'>())
5261 return MCTargetAsmParser::Match_Success;
5262 break;
5263 // 'TypedVectorList4_2s' class
5264 case MCK_TypedVectorList4_2s:
5265 if (Operand.isTypedVectorList<4, 2, 's'>())
5266 return MCTargetAsmParser::Match_Success;
5267 break;
5268 // 'TypedVectorList4_4h' class
5269 case MCK_TypedVectorList4_4h:
5270 if (Operand.isTypedVectorList<4, 4, 'h'>())
5271 return MCTargetAsmParser::Match_Success;
5272 break;
5273 // 'TypedVectorList4_4s' class
5274 case MCK_TypedVectorList4_4s:
5275 if (Operand.isTypedVectorList<4, 4, 's'>())
5276 return MCTargetAsmParser::Match_Success;
5277 break;
5278 // 'VecListFour64' class
5279 case MCK_VecListFour64:
5280 if (Operand.isImplicitlyTypedVectorList<4>())
5281 return MCTargetAsmParser::Match_Success;
5282 break;
5283 // 'TypedVectorList4_8b' class
5284 case MCK_TypedVectorList4_8b:
5285 if (Operand.isTypedVectorList<4, 8, 'b'>())
5286 return MCTargetAsmParser::Match_Success;
5287 break;
5288 // 'TypedVectorList4_8h' class
5289 case MCK_TypedVectorList4_8h:
5290 if (Operand.isTypedVectorList<4, 8, 'h'>())
5291 return MCTargetAsmParser::Match_Success;
5292 break;
5293 // 'TypedVectorList4_0b' class
5294 case MCK_TypedVectorList4_0b:
5295 if (Operand.isTypedVectorList<4, 0, 'b'>())
5296 return MCTargetAsmParser::Match_Success;
5297 break;
5298 // 'TypedVectorList4_0d' class
5299 case MCK_TypedVectorList4_0d:
5300 if (Operand.isTypedVectorList<4, 0, 'd'>())
5301 return MCTargetAsmParser::Match_Success;
5302 break;
5303 // 'TypedVectorList4_0h' class
5304 case MCK_TypedVectorList4_0h:
5305 if (Operand.isTypedVectorList<4, 0, 'h'>())
5306 return MCTargetAsmParser::Match_Success;
5307 break;
5308 // 'TypedVectorList4_0s' class
5309 case MCK_TypedVectorList4_0s:
5310 if (Operand.isTypedVectorList<4, 0, 's'>())
5311 return MCTargetAsmParser::Match_Success;
5312 break;
5313 // 'VecListOne128' class
5314 case MCK_VecListOne128:
5315 if (Operand.isImplicitlyTypedVectorList<1>())
5316 return MCTargetAsmParser::Match_Success;
5317 break;
5318 // 'TypedVectorList1_16b' class
5319 case MCK_TypedVectorList1_16b:
5320 if (Operand.isTypedVectorList<1, 16, 'b'>())
5321 return MCTargetAsmParser::Match_Success;
5322 break;
5323 // 'TypedVectorList1_1d' class
5324 case MCK_TypedVectorList1_1d:
5325 if (Operand.isTypedVectorList<1, 1, 'd'>())
5326 return MCTargetAsmParser::Match_Success;
5327 break;
5328 // 'TypedVectorList1_2d' class
5329 case MCK_TypedVectorList1_2d:
5330 if (Operand.isTypedVectorList<1, 2, 'd'>())
5331 return MCTargetAsmParser::Match_Success;
5332 break;
5333 // 'TypedVectorList1_2s' class
5334 case MCK_TypedVectorList1_2s:
5335 if (Operand.isTypedVectorList<1, 2, 's'>())
5336 return MCTargetAsmParser::Match_Success;
5337 break;
5338 // 'TypedVectorList1_4h' class
5339 case MCK_TypedVectorList1_4h:
5340 if (Operand.isTypedVectorList<1, 4, 'h'>())
5341 return MCTargetAsmParser::Match_Success;
5342 break;
5343 // 'TypedVectorList1_4s' class
5344 case MCK_TypedVectorList1_4s:
5345 if (Operand.isTypedVectorList<1, 4, 's'>())
5346 return MCTargetAsmParser::Match_Success;
5347 break;
5348 // 'VecListOne64' class
5349 case MCK_VecListOne64:
5350 if (Operand.isImplicitlyTypedVectorList<1>())
5351 return MCTargetAsmParser::Match_Success;
5352 break;
5353 // 'TypedVectorList1_8b' class
5354 case MCK_TypedVectorList1_8b:
5355 if (Operand.isTypedVectorList<1, 8, 'b'>())
5356 return MCTargetAsmParser::Match_Success;
5357 break;
5358 // 'TypedVectorList1_8h' class
5359 case MCK_TypedVectorList1_8h:
5360 if (Operand.isTypedVectorList<1, 8, 'h'>())
5361 return MCTargetAsmParser::Match_Success;
5362 break;
5363 // 'TypedVectorList1_0b' class
5364 case MCK_TypedVectorList1_0b:
5365 if (Operand.isTypedVectorList<1, 0, 'b'>())
5366 return MCTargetAsmParser::Match_Success;
5367 break;
5368 // 'TypedVectorList1_0d' class
5369 case MCK_TypedVectorList1_0d:
5370 if (Operand.isTypedVectorList<1, 0, 'd'>())
5371 return MCTargetAsmParser::Match_Success;
5372 break;
5373 // 'TypedVectorList1_0h' class
5374 case MCK_TypedVectorList1_0h:
5375 if (Operand.isTypedVectorList<1, 0, 'h'>())
5376 return MCTargetAsmParser::Match_Success;
5377 break;
5378 // 'TypedVectorList1_0s' class
5379 case MCK_TypedVectorList1_0s:
5380 if (Operand.isTypedVectorList<1, 0, 's'>())
5381 return MCTargetAsmParser::Match_Success;
5382 break;
5383 // 'VecListThree128' class
5384 case MCK_VecListThree128:
5385 if (Operand.isImplicitlyTypedVectorList<3>())
5386 return MCTargetAsmParser::Match_Success;
5387 break;
5388 // 'TypedVectorList3_16b' class
5389 case MCK_TypedVectorList3_16b:
5390 if (Operand.isTypedVectorList<3, 16, 'b'>())
5391 return MCTargetAsmParser::Match_Success;
5392 break;
5393 // 'TypedVectorList3_1d' class
5394 case MCK_TypedVectorList3_1d:
5395 if (Operand.isTypedVectorList<3, 1, 'd'>())
5396 return MCTargetAsmParser::Match_Success;
5397 break;
5398 // 'TypedVectorList3_2d' class
5399 case MCK_TypedVectorList3_2d:
5400 if (Operand.isTypedVectorList<3, 2, 'd'>())
5401 return MCTargetAsmParser::Match_Success;
5402 break;
5403 // 'TypedVectorList3_2s' class
5404 case MCK_TypedVectorList3_2s:
5405 if (Operand.isTypedVectorList<3, 2, 's'>())
5406 return MCTargetAsmParser::Match_Success;
5407 break;
5408 // 'TypedVectorList3_4h' class
5409 case MCK_TypedVectorList3_4h:
5410 if (Operand.isTypedVectorList<3, 4, 'h'>())
5411 return MCTargetAsmParser::Match_Success;
5412 break;
5413 // 'TypedVectorList3_4s' class
5414 case MCK_TypedVectorList3_4s:
5415 if (Operand.isTypedVectorList<3, 4, 's'>())
5416 return MCTargetAsmParser::Match_Success;
5417 break;
5418 // 'VecListThree64' class
5419 case MCK_VecListThree64:
5420 if (Operand.isImplicitlyTypedVectorList<3>())
5421 return MCTargetAsmParser::Match_Success;
5422 break;
5423 // 'TypedVectorList3_8b' class
5424 case MCK_TypedVectorList3_8b:
5425 if (Operand.isTypedVectorList<3, 8, 'b'>())
5426 return MCTargetAsmParser::Match_Success;
5427 break;
5428 // 'TypedVectorList3_8h' class
5429 case MCK_TypedVectorList3_8h:
5430 if (Operand.isTypedVectorList<3, 8, 'h'>())
5431 return MCTargetAsmParser::Match_Success;
5432 break;
5433 // 'TypedVectorList3_0b' class
5434 case MCK_TypedVectorList3_0b:
5435 if (Operand.isTypedVectorList<3, 0, 'b'>())
5436 return MCTargetAsmParser::Match_Success;
5437 break;
5438 // 'TypedVectorList3_0d' class
5439 case MCK_TypedVectorList3_0d:
5440 if (Operand.isTypedVectorList<3, 0, 'd'>())
5441 return MCTargetAsmParser::Match_Success;
5442 break;
5443 // 'TypedVectorList3_0h' class
5444 case MCK_TypedVectorList3_0h:
5445 if (Operand.isTypedVectorList<3, 0, 'h'>())
5446 return MCTargetAsmParser::Match_Success;
5447 break;
5448 // 'TypedVectorList3_0s' class
5449 case MCK_TypedVectorList3_0s:
5450 if (Operand.isTypedVectorList<3, 0, 's'>())
5451 return MCTargetAsmParser::Match_Success;
5452 break;
5453 // 'VecListTwo128' class
5454 case MCK_VecListTwo128:
5455 if (Operand.isImplicitlyTypedVectorList<2>())
5456 return MCTargetAsmParser::Match_Success;
5457 break;
5458 // 'TypedVectorList2_16b' class
5459 case MCK_TypedVectorList2_16b:
5460 if (Operand.isTypedVectorList<2, 16, 'b'>())
5461 return MCTargetAsmParser::Match_Success;
5462 break;
5463 // 'TypedVectorList2_1d' class
5464 case MCK_TypedVectorList2_1d:
5465 if (Operand.isTypedVectorList<2, 1, 'd'>())
5466 return MCTargetAsmParser::Match_Success;
5467 break;
5468 // 'TypedVectorList2_2d' class
5469 case MCK_TypedVectorList2_2d:
5470 if (Operand.isTypedVectorList<2, 2, 'd'>())
5471 return MCTargetAsmParser::Match_Success;
5472 break;
5473 // 'TypedVectorList2_2s' class
5474 case MCK_TypedVectorList2_2s:
5475 if (Operand.isTypedVectorList<2, 2, 's'>())
5476 return MCTargetAsmParser::Match_Success;
5477 break;
5478 // 'TypedVectorList2_4h' class
5479 case MCK_TypedVectorList2_4h:
5480 if (Operand.isTypedVectorList<2, 4, 'h'>())
5481 return MCTargetAsmParser::Match_Success;
5482 break;
5483 // 'TypedVectorList2_4s' class
5484 case MCK_TypedVectorList2_4s:
5485 if (Operand.isTypedVectorList<2, 4, 's'>())
5486 return MCTargetAsmParser::Match_Success;
5487 break;
5488 // 'VecListTwo64' class
5489 case MCK_VecListTwo64:
5490 if (Operand.isImplicitlyTypedVectorList<2>())
5491 return MCTargetAsmParser::Match_Success;
5492 break;
5493 // 'TypedVectorList2_8b' class
5494 case MCK_TypedVectorList2_8b:
5495 if (Operand.isTypedVectorList<2, 8, 'b'>())
5496 return MCTargetAsmParser::Match_Success;
5497 break;
5498 // 'TypedVectorList2_8h' class
5499 case MCK_TypedVectorList2_8h:
5500 if (Operand.isTypedVectorList<2, 8, 'h'>())
5501 return MCTargetAsmParser::Match_Success;
5502 break;
5503 // 'TypedVectorList2_0b' class
5504 case MCK_TypedVectorList2_0b:
5505 if (Operand.isTypedVectorList<2, 0, 'b'>())
5506 return MCTargetAsmParser::Match_Success;
5507 break;
5508 // 'TypedVectorList2_0d' class
5509 case MCK_TypedVectorList2_0d:
5510 if (Operand.isTypedVectorList<2, 0, 'd'>())
5511 return MCTargetAsmParser::Match_Success;
5512 break;
5513 // 'TypedVectorList2_0h' class
5514 case MCK_TypedVectorList2_0h:
5515 if (Operand.isTypedVectorList<2, 0, 'h'>())
5516 return MCTargetAsmParser::Match_Success;
5517 break;
5518 // 'TypedVectorList2_0s' class
5519 case MCK_TypedVectorList2_0s:
5520 if (Operand.isTypedVectorList<2, 0, 's'>())
5521 return MCTargetAsmParser::Match_Success;
5522 break;
5523 // 'VectorIndex1' class
5524 case MCK_VectorIndex1:
5525 if (Operand.isVectorIndex1())
5526 return MCTargetAsmParser::Match_Success;
5527 return AArch64AsmParser::Match_InvalidIndex1;
5528 // 'VectorIndexB' class
5529 case MCK_VectorIndexB:
5530 if (Operand.isVectorIndexB())
5531 return MCTargetAsmParser::Match_Success;
5532 return AArch64AsmParser::Match_InvalidIndexB;
5533 // 'VectorIndexD' class
5534 case MCK_VectorIndexD:
5535 if (Operand.isVectorIndexD())
5536 return MCTargetAsmParser::Match_Success;
5537 return AArch64AsmParser::Match_InvalidIndexD;
5538 // 'VectorIndexH' class
5539 case MCK_VectorIndexH:
5540 if (Operand.isVectorIndexH())
5541 return MCTargetAsmParser::Match_Success;
5542 return AArch64AsmParser::Match_InvalidIndexH;
5543 // 'VectorIndexS' class
5544 case MCK_VectorIndexS:
5545 if (Operand.isVectorIndexS())
5546 return MCTargetAsmParser::Match_Success;
5547 return AArch64AsmParser::Match_InvalidIndexS;
5548 // 'VectorReg128' class
5549 case MCK_VectorReg128:
5550 if (Operand.isVectorReg())
5551 return MCTargetAsmParser::Match_Success;
5552 break;
5553 // 'VectorReg64' class
5554 case MCK_VectorReg64:
5555 if (Operand.isVectorReg())
5556 return MCTargetAsmParser::Match_Success;
5557 break;
5558 // 'VectorRegLo' class
5559 case MCK_VectorRegLo:
5560 if (Operand.isVectorRegLo())
5561 return MCTargetAsmParser::Match_Success;
5562 break;
5563 // 'WSeqPair' class
5564 case MCK_WSeqPair:
5565 if (Operand.isWSeqPair())
5566 return MCTargetAsmParser::Match_Success;
5567 break;
5568 // 'XSeqPair' class
5569 case MCK_XSeqPair:
5570 if (Operand.isXSeqPair())
5571 return MCTargetAsmParser::Match_Success;
5572 break;
5573 // 'MOVZ32_lsl0MovAlias' class
5574 case MCK_MOVZ32_lsl0MovAlias:
5575 if (Operand.isMOVZMovAlias<32, 0>())
5576 return MCTargetAsmParser::Match_Success;
5577 break;
5578 // 'MOVZ32_lsl16MovAlias' class
5579 case MCK_MOVZ32_lsl16MovAlias:
5580 if (Operand.isMOVZMovAlias<32, 16>())
5581 return MCTargetAsmParser::Match_Success;
5582 break;
5583 // 'MOVZ64_lsl0MovAlias' class
5584 case MCK_MOVZ64_lsl0MovAlias:
5585 if (Operand.isMOVZMovAlias<64, 0>())
5586 return MCTargetAsmParser::Match_Success;
5587 break;
5588 // 'MOVZ64_lsl16MovAlias' class
5589 case MCK_MOVZ64_lsl16MovAlias:
5590 if (Operand.isMOVZMovAlias<64, 16>())
5591 return MCTargetAsmParser::Match_Success;
5592 break;
5593 // 'MOVZ64_lsl32MovAlias' class
5594 case MCK_MOVZ64_lsl32MovAlias:
5595 if (Operand.isMOVZMovAlias<64, 32>())
5596 return MCTargetAsmParser::Match_Success;
5597 break;
5598 // 'MOVZ64_lsl48MovAlias' class
5599 case MCK_MOVZ64_lsl48MovAlias:
5600 if (Operand.isMOVZMovAlias<64, 48>())
5601 return MCTargetAsmParser::Match_Success;
5602 break;
5603 // 'MOVN32_lsl0MovAlias' class
5604 case MCK_MOVN32_lsl0MovAlias:
5605 if (Operand.isMOVNMovAlias<32, 0>())
5606 return MCTargetAsmParser::Match_Success;
5607 break;
5608 // 'MOVN32_lsl16MovAlias' class
5609 case MCK_MOVN32_lsl16MovAlias:
5610 if (Operand.isMOVNMovAlias<32, 16>())
5611 return MCTargetAsmParser::Match_Success;
5612 break;
5613 // 'MOVN64_lsl0MovAlias' class
5614 case MCK_MOVN64_lsl0MovAlias:
5615 if (Operand.isMOVNMovAlias<64, 0>())
5616 return MCTargetAsmParser::Match_Success;
5617 break;
5618 // 'MOVN64_lsl16MovAlias' class
5619 case MCK_MOVN64_lsl16MovAlias:
5620 if (Operand.isMOVNMovAlias<64, 16>())
5621 return MCTargetAsmParser::Match_Success;
5622 break;
5623 // 'MOVN64_lsl32MovAlias' class
5624 case MCK_MOVN64_lsl32MovAlias:
5625 if (Operand.isMOVNMovAlias<64, 32>())
5626 return MCTargetAsmParser::Match_Success;
5627 break;
5628 // 'MOVN64_lsl48MovAlias' class
5629 case MCK_MOVN64_lsl48MovAlias:
5630 if (Operand.isMOVNMovAlias<64, 48>())
5631 return MCTargetAsmParser::Match_Success;
5632 break;
5633 } // end switch (Kind)
5634
5635 if (Operand.isReg()) {
5636 MatchClassKind OpKind;
5637 switch (Operand.getReg()) {
5638 default: OpKind = InvalidMatchClass; break;
5639 case AArch64::W0: OpKind = MCK_GPR32common; break;
5640 case AArch64::W1: OpKind = MCK_GPR32common; break;
5641 case AArch64::W2: OpKind = MCK_GPR32common; break;
5642 case AArch64::W3: OpKind = MCK_GPR32common; break;
5643 case AArch64::W4: OpKind = MCK_GPR32common; break;
5644 case AArch64::W5: OpKind = MCK_GPR32common; break;
5645 case AArch64::W6: OpKind = MCK_GPR32common; break;
5646 case AArch64::W7: OpKind = MCK_GPR32common; break;
5647 case AArch64::W8: OpKind = MCK_GPR32common; break;
5648 case AArch64::W9: OpKind = MCK_GPR32common; break;
5649 case AArch64::W10: OpKind = MCK_GPR32common; break;
5650 case AArch64::W11: OpKind = MCK_GPR32common; break;
5651 case AArch64::W12: OpKind = MCK_GPR32common; break;
5652 case AArch64::W13: OpKind = MCK_GPR32common; break;
5653 case AArch64::W14: OpKind = MCK_GPR32common; break;
5654 case AArch64::W15: OpKind = MCK_GPR32common; break;
5655 case AArch64::W16: OpKind = MCK_GPR32common; break;
5656 case AArch64::W17: OpKind = MCK_GPR32common; break;
5657 case AArch64::W18: OpKind = MCK_GPR32common; break;
5658 case AArch64::W19: OpKind = MCK_GPR32common; break;
5659 case AArch64::W20: OpKind = MCK_GPR32common; break;
5660 case AArch64::W21: OpKind = MCK_GPR32common; break;
5661 case AArch64::W22: OpKind = MCK_GPR32common; break;
5662 case AArch64::W23: OpKind = MCK_GPR32common; break;
5663 case AArch64::W24: OpKind = MCK_GPR32common; break;
5664 case AArch64::W25: OpKind = MCK_GPR32common; break;
5665 case AArch64::W26: OpKind = MCK_GPR32common; break;
5666 case AArch64::W27: OpKind = MCK_GPR32common; break;
5667 case AArch64::W28: OpKind = MCK_GPR32common; break;
5668 case AArch64::W29: OpKind = MCK_GPR32common; break;
5669 case AArch64::W30: OpKind = MCK_GPR32common; break;
5670 case AArch64::WSP: OpKind = MCK_GPR32sponly; break;
5671 case AArch64::WZR: OpKind = MCK_GPR32; break;
5672 case AArch64::X0: OpKind = MCK_tcGPR64; break;
5673 case AArch64::X1: OpKind = MCK_tcGPR64; break;
5674 case AArch64::X2: OpKind = MCK_tcGPR64; break;
5675 case AArch64::X3: OpKind = MCK_tcGPR64; break;
5676 case AArch64::X4: OpKind = MCK_tcGPR64; break;
5677 case AArch64::X5: OpKind = MCK_tcGPR64; break;
5678 case AArch64::X6: OpKind = MCK_tcGPR64; break;
5679 case AArch64::X7: OpKind = MCK_tcGPR64; break;
5680 case AArch64::X8: OpKind = MCK_tcGPR64; break;
5681 case AArch64::X9: OpKind = MCK_tcGPR64; break;
5682 case AArch64::X10: OpKind = MCK_tcGPR64; break;
5683 case AArch64::X11: OpKind = MCK_tcGPR64; break;
5684 case AArch64::X12: OpKind = MCK_tcGPR64; break;
5685 case AArch64::X13: OpKind = MCK_tcGPR64; break;
5686 case AArch64::X14: OpKind = MCK_tcGPR64; break;
5687 case AArch64::X15: OpKind = MCK_tcGPR64; break;
5688 case AArch64::X16: OpKind = MCK_tcGPR64; break;
5689 case AArch64::X17: OpKind = MCK_tcGPR64; break;
5690 case AArch64::X18: OpKind = MCK_tcGPR64; break;
5691 case AArch64::X19: OpKind = MCK_GPR64common; break;
5692 case AArch64::X20: OpKind = MCK_GPR64common; break;
5693 case AArch64::X21: OpKind = MCK_GPR64common; break;
5694 case AArch64::X22: OpKind = MCK_GPR64common; break;
5695 case AArch64::X23: OpKind = MCK_GPR64common; break;
5696 case AArch64::X24: OpKind = MCK_GPR64common; break;
5697 case AArch64::X25: OpKind = MCK_GPR64common; break;
5698 case AArch64::X26: OpKind = MCK_GPR64common; break;
5699 case AArch64::X27: OpKind = MCK_GPR64common; break;
5700 case AArch64::X28: OpKind = MCK_GPR64common; break;
5701 case AArch64::FP: OpKind = MCK_GPR64common; break;
5702 case AArch64::LR: OpKind = MCK_GPR64common; break;
5703 case AArch64::SP: OpKind = MCK_GPR64sponly; break;
5704 case AArch64::XZR: OpKind = MCK_GPR64; break;
5705 case AArch64::NZCV: OpKind = MCK_CCR; break;
5706 case AArch64::B0: OpKind = MCK_FPR8; break;
5707 case AArch64::B1: OpKind = MCK_FPR8; break;
5708 case AArch64::B2: OpKind = MCK_FPR8; break;
5709 case AArch64::B3: OpKind = MCK_FPR8; break;
5710 case AArch64::B4: OpKind = MCK_FPR8; break;
5711 case AArch64::B5: OpKind = MCK_FPR8; break;
5712 case AArch64::B6: OpKind = MCK_FPR8; break;
5713 case AArch64::B7: OpKind = MCK_FPR8; break;
5714 case AArch64::B8: OpKind = MCK_FPR8; break;
5715 case AArch64::B9: OpKind = MCK_FPR8; break;
5716 case AArch64::B10: OpKind = MCK_FPR8; break;
5717 case AArch64::B11: OpKind = MCK_FPR8; break;
5718 case AArch64::B12: OpKind = MCK_FPR8; break;
5719 case AArch64::B13: OpKind = MCK_FPR8; break;
5720 case AArch64::B14: OpKind = MCK_FPR8; break;
5721 case AArch64::B15: OpKind = MCK_FPR8; break;
5722 case AArch64::B16: OpKind = MCK_FPR8; break;
5723 case AArch64::B17: OpKind = MCK_FPR8; break;
5724 case AArch64::B18: OpKind = MCK_FPR8; break;
5725 case AArch64::B19: OpKind = MCK_FPR8; break;
5726 case AArch64::B20: OpKind = MCK_FPR8; break;
5727 case AArch64::B21: OpKind = MCK_FPR8; break;
5728 case AArch64::B22: OpKind = MCK_FPR8; break;
5729 case AArch64::B23: OpKind = MCK_FPR8; break;
5730 case AArch64::B24: OpKind = MCK_FPR8; break;
5731 case AArch64::B25: OpKind = MCK_FPR8; break;
5732 case AArch64::B26: OpKind = MCK_FPR8; break;
5733 case AArch64::B27: OpKind = MCK_FPR8; break;
5734 case AArch64::B28: OpKind = MCK_FPR8; break;
5735 case AArch64::B29: OpKind = MCK_FPR8; break;
5736 case AArch64::B30: OpKind = MCK_FPR8; break;
5737 case AArch64::B31: OpKind = MCK_FPR8; break;
5738 case AArch64::H0: OpKind = MCK_FPR16; break;
5739 case AArch64::H1: OpKind = MCK_FPR16; break;
5740 case AArch64::H2: OpKind = MCK_FPR16; break;
5741 case AArch64::H3: OpKind = MCK_FPR16; break;
5742 case AArch64::H4: OpKind = MCK_FPR16; break;
5743 case AArch64::H5: OpKind = MCK_FPR16; break;
5744 case AArch64::H6: OpKind = MCK_FPR16; break;
5745 case AArch64::H7: OpKind = MCK_FPR16; break;
5746 case AArch64::H8: OpKind = MCK_FPR16; break;
5747 case AArch64::H9: OpKind = MCK_FPR16; break;
5748 case AArch64::H10: OpKind = MCK_FPR16; break;
5749 case AArch64::H11: OpKind = MCK_FPR16; break;
5750 case AArch64::H12: OpKind = MCK_FPR16; break;
5751 case AArch64::H13: OpKind = MCK_FPR16; break;
5752 case AArch64::H14: OpKind = MCK_FPR16; break;
5753 case AArch64::H15: OpKind = MCK_FPR16; break;
5754 case AArch64::H16: OpKind = MCK_FPR16; break;
5755 case AArch64::H17: OpKind = MCK_FPR16; break;
5756 case AArch64::H18: OpKind = MCK_FPR16; break;
5757 case AArch64::H19: OpKind = MCK_FPR16; break;
5758 case AArch64::H20: OpKind = MCK_FPR16; break;
5759 case AArch64::H21: OpKind = MCK_FPR16; break;
5760 case AArch64::H22: OpKind = MCK_FPR16; break;
5761 case AArch64::H23: OpKind = MCK_FPR16; break;
5762 case AArch64::H24: OpKind = MCK_FPR16; break;
5763 case AArch64::H25: OpKind = MCK_FPR16; break;
5764 case AArch64::H26: OpKind = MCK_FPR16; break;
5765 case AArch64::H27: OpKind = MCK_FPR16; break;
5766 case AArch64::H28: OpKind = MCK_FPR16; break;
5767 case AArch64::H29: OpKind = MCK_FPR16; break;
5768 case AArch64::H30: OpKind = MCK_FPR16; break;
5769 case AArch64::H31: OpKind = MCK_FPR16; break;
5770 case AArch64::S0: OpKind = MCK_FPR32; break;
5771 case AArch64::S1: OpKind = MCK_FPR32; break;
5772 case AArch64::S2: OpKind = MCK_FPR32; break;
5773 case AArch64::S3: OpKind = MCK_FPR32; break;
5774 case AArch64::S4: OpKind = MCK_FPR32; break;
5775 case AArch64::S5: OpKind = MCK_FPR32; break;
5776 case AArch64::S6: OpKind = MCK_FPR32; break;
5777 case AArch64::S7: OpKind = MCK_FPR32; break;
5778 case AArch64::S8: OpKind = MCK_FPR32; break;
5779 case AArch64::S9: OpKind = MCK_FPR32; break;
5780 case AArch64::S10: OpKind = MCK_FPR32; break;
5781 case AArch64::S11: OpKind = MCK_FPR32; break;
5782 case AArch64::S12: OpKind = MCK_FPR32; break;
5783 case AArch64::S13: OpKind = MCK_FPR32; break;
5784 case AArch64::S14: OpKind = MCK_FPR32; break;
5785 case AArch64::S15: OpKind = MCK_FPR32; break;
5786 case AArch64::S16: OpKind = MCK_FPR32; break;
5787 case AArch64::S17: OpKind = MCK_FPR32; break;
5788 case AArch64::S18: OpKind = MCK_FPR32; break;
5789 case AArch64::S19: OpKind = MCK_FPR32; break;
5790 case AArch64::S20: OpKind = MCK_FPR32; break;
5791 case AArch64::S21: OpKind = MCK_FPR32; break;
5792 case AArch64::S22: OpKind = MCK_FPR32; break;
5793 case AArch64::S23: OpKind = MCK_FPR32; break;
5794 case AArch64::S24: OpKind = MCK_FPR32; break;
5795 case AArch64::S25: OpKind = MCK_FPR32; break;
5796 case AArch64::S26: OpKind = MCK_FPR32; break;
5797 case AArch64::S27: OpKind = MCK_FPR32; break;
5798 case AArch64::S28: OpKind = MCK_FPR32; break;
5799 case AArch64::S29: OpKind = MCK_FPR32; break;
5800 case AArch64::S30: OpKind = MCK_FPR32; break;
5801 case AArch64::S31: OpKind = MCK_FPR32; break;
5802 case AArch64::D0: OpKind = MCK_FPR64; break;
5803 case AArch64::D1: OpKind = MCK_FPR64; break;
5804 case AArch64::D2: OpKind = MCK_FPR64; break;
5805 case AArch64::D3: OpKind = MCK_FPR64; break;
5806 case AArch64::D4: OpKind = MCK_FPR64; break;
5807 case AArch64::D5: OpKind = MCK_FPR64; break;
5808 case AArch64::D6: OpKind = MCK_FPR64; break;
5809 case AArch64::D7: OpKind = MCK_FPR64; break;
5810 case AArch64::D8: OpKind = MCK_FPR64; break;
5811 case AArch64::D9: OpKind = MCK_FPR64; break;
5812 case AArch64::D10: OpKind = MCK_FPR64; break;
5813 case AArch64::D11: OpKind = MCK_FPR64; break;
5814 case AArch64::D12: OpKind = MCK_FPR64; break;
5815 case AArch64::D13: OpKind = MCK_FPR64; break;
5816 case AArch64::D14: OpKind = MCK_FPR64; break;
5817 case AArch64::D15: OpKind = MCK_FPR64; break;
5818 case AArch64::D16: OpKind = MCK_FPR64; break;
5819 case AArch64::D17: OpKind = MCK_FPR64; break;
5820 case AArch64::D18: OpKind = MCK_FPR64; break;
5821 case AArch64::D19: OpKind = MCK_FPR64; break;
5822 case AArch64::D20: OpKind = MCK_FPR64; break;
5823 case AArch64::D21: OpKind = MCK_FPR64; break;
5824 case AArch64::D22: OpKind = MCK_FPR64; break;
5825 case AArch64::D23: OpKind = MCK_FPR64; break;
5826 case AArch64::D24: OpKind = MCK_FPR64; break;
5827 case AArch64::D25: OpKind = MCK_FPR64; break;
5828 case AArch64::D26: OpKind = MCK_FPR64; break;
5829 case AArch64::D27: OpKind = MCK_FPR64; break;
5830 case AArch64::D28: OpKind = MCK_FPR64; break;
5831 case AArch64::D29: OpKind = MCK_FPR64; break;
5832 case AArch64::D30: OpKind = MCK_FPR64; break;
5833 case AArch64::D31: OpKind = MCK_FPR64; break;
5834 case AArch64::Q0: OpKind = MCK_FPR128_lo; break;
5835 case AArch64::Q1: OpKind = MCK_FPR128_lo; break;
5836 case AArch64::Q2: OpKind = MCK_FPR128_lo; break;
5837 case AArch64::Q3: OpKind = MCK_FPR128_lo; break;
5838 case AArch64::Q4: OpKind = MCK_FPR128_lo; break;
5839 case AArch64::Q5: OpKind = MCK_FPR128_lo; break;
5840 case AArch64::Q6: OpKind = MCK_FPR128_lo; break;
5841 case AArch64::Q7: OpKind = MCK_FPR128_lo; break;
5842 case AArch64::Q8: OpKind = MCK_FPR128_lo; break;
5843 case AArch64::Q9: OpKind = MCK_FPR128_lo; break;
5844 case AArch64::Q10: OpKind = MCK_FPR128_lo; break;
5845 case AArch64::Q11: OpKind = MCK_FPR128_lo; break;
5846 case AArch64::Q12: OpKind = MCK_FPR128_lo; break;
5847 case AArch64::Q13: OpKind = MCK_FPR128_lo; break;
5848 case AArch64::Q14: OpKind = MCK_FPR128_lo; break;
5849 case AArch64::Q15: OpKind = MCK_FPR128_lo; break;
5850 case AArch64::Q16: OpKind = MCK_FPR128; break;
5851 case AArch64::Q17: OpKind = MCK_FPR128; break;
5852 case AArch64::Q18: OpKind = MCK_FPR128; break;
5853 case AArch64::Q19: OpKind = MCK_FPR128; break;
5854 case AArch64::Q20: OpKind = MCK_FPR128; break;
5855 case AArch64::Q21: OpKind = MCK_FPR128; break;
5856 case AArch64::Q22: OpKind = MCK_FPR128; break;
5857 case AArch64::Q23: OpKind = MCK_FPR128; break;
5858 case AArch64::Q24: OpKind = MCK_FPR128; break;
5859 case AArch64::Q25: OpKind = MCK_FPR128; break;
5860 case AArch64::Q26: OpKind = MCK_FPR128; break;
5861 case AArch64::Q27: OpKind = MCK_FPR128; break;
5862 case AArch64::Q28: OpKind = MCK_FPR128; break;
5863 case AArch64::Q29: OpKind = MCK_FPR128; break;
5864 case AArch64::Q30: OpKind = MCK_FPR128; break;
5865 case AArch64::Q31: OpKind = MCK_FPR128; break;
5866 case AArch64::D0_D1: OpKind = MCK_DD; break;
5867 case AArch64::D1_D2: OpKind = MCK_DD; break;
5868 case AArch64::D2_D3: OpKind = MCK_DD; break;
5869 case AArch64::D3_D4: OpKind = MCK_DD; break;
5870 case AArch64::D4_D5: OpKind = MCK_DD; break;
5871 case AArch64::D5_D6: OpKind = MCK_DD; break;
5872 case AArch64::D6_D7: OpKind = MCK_DD; break;
5873 case AArch64::D7_D8: OpKind = MCK_DD; break;
5874 case AArch64::D8_D9: OpKind = MCK_DD; break;
5875 case AArch64::D9_D10: OpKind = MCK_DD; break;
5876 case AArch64::D10_D11: OpKind = MCK_DD; break;
5877 case AArch64::D11_D12: OpKind = MCK_DD; break;
5878 case AArch64::D12_D13: OpKind = MCK_DD; break;
5879 case AArch64::D13_D14: OpKind = MCK_DD; break;
5880 case AArch64::D14_D15: OpKind = MCK_DD; break;
5881 case AArch64::D15_D16: OpKind = MCK_DD; break;
5882 case AArch64::D16_D17: OpKind = MCK_DD; break;
5883 case AArch64::D17_D18: OpKind = MCK_DD; break;
5884 case AArch64::D18_D19: OpKind = MCK_DD; break;
5885 case AArch64::D19_D20: OpKind = MCK_DD; break;
5886 case AArch64::D20_D21: OpKind = MCK_DD; break;
5887 case AArch64::D21_D22: OpKind = MCK_DD; break;
5888 case AArch64::D22_D23: OpKind = MCK_DD; break;
5889 case AArch64::D23_D24: OpKind = MCK_DD; break;
5890 case AArch64::D24_D25: OpKind = MCK_DD; break;
5891 case AArch64::D25_D26: OpKind = MCK_DD; break;
5892 case AArch64::D26_D27: OpKind = MCK_DD; break;
5893 case AArch64::D27_D28: OpKind = MCK_DD; break;
5894 case AArch64::D28_D29: OpKind = MCK_DD; break;
5895 case AArch64::D29_D30: OpKind = MCK_DD; break;
5896 case AArch64::D30_D31: OpKind = MCK_DD; break;
5897 case AArch64::D31_D0: OpKind = MCK_DD; break;
5898 case AArch64::D0_D1_D2_D3: OpKind = MCK_DDDD; break;
5899 case AArch64::D1_D2_D3_D4: OpKind = MCK_DDDD; break;
5900 case AArch64::D2_D3_D4_D5: OpKind = MCK_DDDD; break;
5901 case AArch64::D3_D4_D5_D6: OpKind = MCK_DDDD; break;
5902 case AArch64::D4_D5_D6_D7: OpKind = MCK_DDDD; break;
5903 case AArch64::D5_D6_D7_D8: OpKind = MCK_DDDD; break;
5904 case AArch64::D6_D7_D8_D9: OpKind = MCK_DDDD; break;
5905 case AArch64::D7_D8_D9_D10: OpKind = MCK_DDDD; break;
5906 case AArch64::D8_D9_D10_D11: OpKind = MCK_DDDD; break;
5907 case AArch64::D9_D10_D11_D12: OpKind = MCK_DDDD; break;
5908 case AArch64::D10_D11_D12_D13: OpKind = MCK_DDDD; break;
5909 case AArch64::D11_D12_D13_D14: OpKind = MCK_DDDD; break;
5910 case AArch64::D12_D13_D14_D15: OpKind = MCK_DDDD; break;
5911 case AArch64::D13_D14_D15_D16: OpKind = MCK_DDDD; break;
5912 case AArch64::D14_D15_D16_D17: OpKind = MCK_DDDD; break;
5913 case AArch64::D15_D16_D17_D18: OpKind = MCK_DDDD; break;
5914 case AArch64::D16_D17_D18_D19: OpKind = MCK_DDDD; break;
5915 case AArch64::D17_D18_D19_D20: OpKind = MCK_DDDD; break;
5916 case AArch64::D18_D19_D20_D21: OpKind = MCK_DDDD; break;
5917 case AArch64::D19_D20_D21_D22: OpKind = MCK_DDDD; break;
5918 case AArch64::D20_D21_D22_D23: OpKind = MCK_DDDD; break;
5919 case AArch64::D21_D22_D23_D24: OpKind = MCK_DDDD; break;
5920 case AArch64::D22_D23_D24_D25: OpKind = MCK_DDDD; break;
5921 case AArch64::D23_D24_D25_D26: OpKind = MCK_DDDD; break;
5922 case AArch64::D24_D25_D26_D27: OpKind = MCK_DDDD; break;
5923 case AArch64::D25_D26_D27_D28: OpKind = MCK_DDDD; break;
5924 case AArch64::D26_D27_D28_D29: OpKind = MCK_DDDD; break;
5925 case AArch64::D27_D28_D29_D30: OpKind = MCK_DDDD; break;
5926 case AArch64::D28_D29_D30_D31: OpKind = MCK_DDDD; break;
5927 case AArch64::D29_D30_D31_D0: OpKind = MCK_DDDD; break;
5928 case AArch64::D30_D31_D0_D1: OpKind = MCK_DDDD; break;
5929 case AArch64::D31_D0_D1_D2: OpKind = MCK_DDDD; break;
5930 case AArch64::D0_D1_D2: OpKind = MCK_DDD; break;
5931 case AArch64::D1_D2_D3: OpKind = MCK_DDD; break;
5932 case AArch64::D2_D3_D4: OpKind = MCK_DDD; break;
5933 case AArch64::D3_D4_D5: OpKind = MCK_DDD; break;
5934 case AArch64::D4_D5_D6: OpKind = MCK_DDD; break;
5935 case AArch64::D5_D6_D7: OpKind = MCK_DDD; break;
5936 case AArch64::D6_D7_D8: OpKind = MCK_DDD; break;
5937 case AArch64::D7_D8_D9: OpKind = MCK_DDD; break;
5938 case AArch64::D8_D9_D10: OpKind = MCK_DDD; break;
5939 case AArch64::D9_D10_D11: OpKind = MCK_DDD; break;
5940 case AArch64::D10_D11_D12: OpKind = MCK_DDD; break;
5941 case AArch64::D11_D12_D13: OpKind = MCK_DDD; break;
5942 case AArch64::D12_D13_D14: OpKind = MCK_DDD; break;
5943 case AArch64::D13_D14_D15: OpKind = MCK_DDD; break;
5944 case AArch64::D14_D15_D16: OpKind = MCK_DDD; break;
5945 case AArch64::D15_D16_D17: OpKind = MCK_DDD; break;
5946 case AArch64::D16_D17_D18: OpKind = MCK_DDD; break;
5947 case AArch64::D17_D18_D19: OpKind = MCK_DDD; break;
5948 case AArch64::D18_D19_D20: OpKind = MCK_DDD; break;
5949 case AArch64::D19_D20_D21: OpKind = MCK_DDD; break;
5950 case AArch64::D20_D21_D22: OpKind = MCK_DDD; break;
5951 case AArch64::D21_D22_D23: OpKind = MCK_DDD; break;
5952 case AArch64::D22_D23_D24: OpKind = MCK_DDD; break;
5953 case AArch64::D23_D24_D25: OpKind = MCK_DDD; break;
5954 case AArch64::D24_D25_D26: OpKind = MCK_DDD; break;
5955 case AArch64::D25_D26_D27: OpKind = MCK_DDD; break;
5956 case AArch64::D26_D27_D28: OpKind = MCK_DDD; break;
5957 case AArch64::D27_D28_D29: OpKind = MCK_DDD; break;
5958 case AArch64::D28_D29_D30: OpKind = MCK_DDD; break;
5959 case AArch64::D29_D30_D31: OpKind = MCK_DDD; break;
5960 case AArch64::D30_D31_D0: OpKind = MCK_DDD; break;
5961 case AArch64::D31_D0_D1: OpKind = MCK_DDD; break;
5962 case AArch64::Q0_Q1: OpKind = MCK_Reg21; break;
5963 case AArch64::Q1_Q2: OpKind = MCK_Reg21; break;
5964 case AArch64::Q2_Q3: OpKind = MCK_Reg21; break;
5965 case AArch64::Q3_Q4: OpKind = MCK_Reg21; break;
5966 case AArch64::Q4_Q5: OpKind = MCK_Reg21; break;
5967 case AArch64::Q5_Q6: OpKind = MCK_Reg21; break;
5968 case AArch64::Q6_Q7: OpKind = MCK_Reg21; break;
5969 case AArch64::Q7_Q8: OpKind = MCK_Reg21; break;
5970 case AArch64::Q8_Q9: OpKind = MCK_Reg21; break;
5971 case AArch64::Q9_Q10: OpKind = MCK_Reg21; break;
5972 case AArch64::Q10_Q11: OpKind = MCK_Reg21; break;
5973 case AArch64::Q11_Q12: OpKind = MCK_Reg21; break;
5974 case AArch64::Q12_Q13: OpKind = MCK_Reg21; break;
5975 case AArch64::Q13_Q14: OpKind = MCK_Reg21; break;
5976 case AArch64::Q14_Q15: OpKind = MCK_Reg21; break;
5977 case AArch64::Q15_Q16: OpKind = MCK_Reg22; break;
5978 case AArch64::Q16_Q17: OpKind = MCK_QQ; break;
5979 case AArch64::Q17_Q18: OpKind = MCK_QQ; break;
5980 case AArch64::Q18_Q19: OpKind = MCK_QQ; break;
5981 case AArch64::Q19_Q20: OpKind = MCK_QQ; break;
5982 case AArch64::Q20_Q21: OpKind = MCK_QQ; break;
5983 case AArch64::Q21_Q22: OpKind = MCK_QQ; break;
5984 case AArch64::Q22_Q23: OpKind = MCK_QQ; break;
5985 case AArch64::Q23_Q24: OpKind = MCK_QQ; break;
5986 case AArch64::Q24_Q25: OpKind = MCK_QQ; break;
5987 case AArch64::Q25_Q26: OpKind = MCK_QQ; break;
5988 case AArch64::Q26_Q27: OpKind = MCK_QQ; break;
5989 case AArch64::Q27_Q28: OpKind = MCK_QQ; break;
5990 case AArch64::Q28_Q29: OpKind = MCK_QQ; break;
5991 case AArch64::Q29_Q30: OpKind = MCK_QQ; break;
5992 case AArch64::Q30_Q31: OpKind = MCK_QQ; break;
5993 case AArch64::Q31_Q0: OpKind = MCK_Reg24; break;
5994 case AArch64::Q0_Q1_Q2_Q3: OpKind = MCK_Reg25; break;
5995 case AArch64::Q1_Q2_Q3_Q4: OpKind = MCK_Reg25; break;
5996 case AArch64::Q2_Q3_Q4_Q5: OpKind = MCK_Reg25; break;
5997 case AArch64::Q3_Q4_Q5_Q6: OpKind = MCK_Reg25; break;
5998 case AArch64::Q4_Q5_Q6_Q7: OpKind = MCK_Reg25; break;
5999 case AArch64::Q5_Q6_Q7_Q8: OpKind = MCK_Reg25; break;
6000 case AArch64::Q6_Q7_Q8_Q9: OpKind = MCK_Reg25; break;
6001 case AArch64::Q7_Q8_Q9_Q10: OpKind = MCK_Reg25; break;
6002 case AArch64::Q8_Q9_Q10_Q11: OpKind = MCK_Reg25; break;
6003 case AArch64::Q9_Q10_Q11_Q12: OpKind = MCK_Reg25; break;
6004 case AArch64::Q10_Q11_Q12_Q13: OpKind = MCK_Reg25; break;
6005 case AArch64::Q11_Q12_Q13_Q14: OpKind = MCK_Reg25; break;
6006 case AArch64::Q12_Q13_Q14_Q15: OpKind = MCK_Reg25; break;
6007 case AArch64::Q13_Q14_Q15_Q16: OpKind = MCK_Reg26; break;
6008 case AArch64::Q14_Q15_Q16_Q17: OpKind = MCK_Reg27; break;
6009 case AArch64::Q15_Q16_Q17_Q18: OpKind = MCK_Reg28; break;
6010 case AArch64::Q16_Q17_Q18_Q19: OpKind = MCK_QQQQ; break;
6011 case AArch64::Q17_Q18_Q19_Q20: OpKind = MCK_QQQQ; break;
6012 case AArch64::Q18_Q19_Q20_Q21: OpKind = MCK_QQQQ; break;
6013 case AArch64::Q19_Q20_Q21_Q22: OpKind = MCK_QQQQ; break;
6014 case AArch64::Q20_Q21_Q22_Q23: OpKind = MCK_QQQQ; break;
6015 case AArch64::Q21_Q22_Q23_Q24: OpKind = MCK_QQQQ; break;
6016 case AArch64::Q22_Q23_Q24_Q25: OpKind = MCK_QQQQ; break;
6017 case AArch64::Q23_Q24_Q25_Q26: OpKind = MCK_QQQQ; break;
6018 case AArch64::Q24_Q25_Q26_Q27: OpKind = MCK_QQQQ; break;
6019 case AArch64::Q25_Q26_Q27_Q28: OpKind = MCK_QQQQ; break;
6020 case AArch64::Q26_Q27_Q28_Q29: OpKind = MCK_QQQQ; break;
6021 case AArch64::Q27_Q28_Q29_Q30: OpKind = MCK_QQQQ; break;
6022 case AArch64::Q28_Q29_Q30_Q31: OpKind = MCK_QQQQ; break;
6023 case AArch64::Q29_Q30_Q31_Q0: OpKind = MCK_Reg33; break;
6024 case AArch64::Q30_Q31_Q0_Q1: OpKind = MCK_Reg34; break;
6025 case AArch64::Q31_Q0_Q1_Q2: OpKind = MCK_Reg35; break;
6026 case AArch64::Q0_Q1_Q2: OpKind = MCK_Reg36; break;
6027 case AArch64::Q1_Q2_Q3: OpKind = MCK_Reg36; break;
6028 case AArch64::Q2_Q3_Q4: OpKind = MCK_Reg36; break;
6029 case AArch64::Q3_Q4_Q5: OpKind = MCK_Reg36; break;
6030 case AArch64::Q4_Q5_Q6: OpKind = MCK_Reg36; break;
6031 case AArch64::Q5_Q6_Q7: OpKind = MCK_Reg36; break;
6032 case AArch64::Q6_Q7_Q8: OpKind = MCK_Reg36; break;
6033 case AArch64::Q7_Q8_Q9: OpKind = MCK_Reg36; break;
6034 case AArch64::Q8_Q9_Q10: OpKind = MCK_Reg36; break;
6035 case AArch64::Q9_Q10_Q11: OpKind = MCK_Reg36; break;
6036 case AArch64::Q10_Q11_Q12: OpKind = MCK_Reg36; break;
6037 case AArch64::Q11_Q12_Q13: OpKind = MCK_Reg36; break;
6038 case AArch64::Q12_Q13_Q14: OpKind = MCK_Reg36; break;
6039 case AArch64::Q13_Q14_Q15: OpKind = MCK_Reg36; break;
6040 case AArch64::Q14_Q15_Q16: OpKind = MCK_Reg37; break;
6041 case AArch64::Q15_Q16_Q17: OpKind = MCK_Reg38; break;
6042 case AArch64::Q16_Q17_Q18: OpKind = MCK_QQQ; break;
6043 case AArch64::Q17_Q18_Q19: OpKind = MCK_QQQ; break;
6044 case AArch64::Q18_Q19_Q20: OpKind = MCK_QQQ; break;
6045 case AArch64::Q19_Q20_Q21: OpKind = MCK_QQQ; break;
6046 case AArch64::Q20_Q21_Q22: OpKind = MCK_QQQ; break;
6047 case AArch64::Q21_Q22_Q23: OpKind = MCK_QQQ; break;
6048 case AArch64::Q22_Q23_Q24: OpKind = MCK_QQQ; break;
6049 case AArch64::Q23_Q24_Q25: OpKind = MCK_QQQ; break;
6050 case AArch64::Q24_Q25_Q26: OpKind = MCK_QQQ; break;
6051 case AArch64::Q25_Q26_Q27: OpKind = MCK_QQQ; break;
6052 case AArch64::Q26_Q27_Q28: OpKind = MCK_QQQ; break;
6053 case AArch64::Q27_Q28_Q29: OpKind = MCK_QQQ; break;
6054 case AArch64::Q28_Q29_Q30: OpKind = MCK_QQQ; break;
6055 case AArch64::Q29_Q30_Q31: OpKind = MCK_QQQ; break;
6056 case AArch64::Q30_Q31_Q0: OpKind = MCK_Reg41; break;
6057 case AArch64::Q31_Q0_Q1: OpKind = MCK_Reg42; break;
6058 case AArch64::W0_W1: OpKind = MCK_Reg43; break;
6059 case AArch64::W1_W2: OpKind = MCK_Reg43; break;
6060 case AArch64::W2_W3: OpKind = MCK_Reg43; break;
6061 case AArch64::W3_W4: OpKind = MCK_Reg43; break;
6062 case AArch64::W4_W5: OpKind = MCK_Reg43; break;
6063 case AArch64::W5_W6: OpKind = MCK_Reg43; break;
6064 case AArch64::W6_W7: OpKind = MCK_Reg43; break;
6065 case AArch64::W7_W8: OpKind = MCK_Reg43; break;
6066 case AArch64::W8_W9: OpKind = MCK_Reg43; break;
6067 case AArch64::W9_W10: OpKind = MCK_Reg43; break;
6068 case AArch64::W10_W11: OpKind = MCK_Reg43; break;
6069 case AArch64::W11_W12: OpKind = MCK_Reg43; break;
6070 case AArch64::W12_W13: OpKind = MCK_Reg43; break;
6071 case AArch64::W13_W14: OpKind = MCK_Reg43; break;
6072 case AArch64::W14_W15: OpKind = MCK_Reg43; break;
6073 case AArch64::W15_W16: OpKind = MCK_Reg43; break;
6074 case AArch64::W16_W17: OpKind = MCK_Reg43; break;
6075 case AArch64::W17_W18: OpKind = MCK_Reg43; break;
6076 case AArch64::W18_W19: OpKind = MCK_Reg43; break;
6077 case AArch64::W19_W20: OpKind = MCK_Reg43; break;
6078 case AArch64::W20_W21: OpKind = MCK_Reg43; break;
6079 case AArch64::W21_W22: OpKind = MCK_Reg43; break;
6080 case AArch64::W22_W23: OpKind = MCK_Reg43; break;
6081 case AArch64::W23_W24: OpKind = MCK_Reg43; break;
6082 case AArch64::W24_W25: OpKind = MCK_Reg43; break;
6083 case AArch64::W25_W26: OpKind = MCK_Reg43; break;
6084 case AArch64::W26_W27: OpKind = MCK_Reg43; break;
6085 case AArch64::W27_W28: OpKind = MCK_Reg43; break;
6086 case AArch64::W28_W29: OpKind = MCK_Reg43; break;
6087 case AArch64::W29_W30: OpKind = MCK_Reg43; break;
6088 case AArch64::W30_WZR: OpKind = MCK_Reg44; break;
6089 case AArch64::WZR_W0: OpKind = MCK_Reg46; break;
6090 case AArch64::X0_X1: OpKind = MCK_Reg47; break;
6091 case AArch64::X1_X2: OpKind = MCK_Reg47; break;
6092 case AArch64::X2_X3: OpKind = MCK_Reg47; break;
6093 case AArch64::X3_X4: OpKind = MCK_Reg47; break;
6094 case AArch64::X4_X5: OpKind = MCK_Reg47; break;
6095 case AArch64::X5_X6: OpKind = MCK_Reg47; break;
6096 case AArch64::X6_X7: OpKind = MCK_Reg47; break;
6097 case AArch64::X7_X8: OpKind = MCK_Reg47; break;
6098 case AArch64::X8_X9: OpKind = MCK_Reg47; break;
6099 case AArch64::X9_X10: OpKind = MCK_Reg47; break;
6100 case AArch64::X10_X11: OpKind = MCK_Reg47; break;
6101 case AArch64::X11_X12: OpKind = MCK_Reg47; break;
6102 case AArch64::X12_X13: OpKind = MCK_Reg47; break;
6103 case AArch64::X13_X14: OpKind = MCK_Reg47; break;
6104 case AArch64::X14_X15: OpKind = MCK_Reg47; break;
6105 case AArch64::X15_X16: OpKind = MCK_Reg47; break;
6106 case AArch64::X16_X17: OpKind = MCK_Reg47; break;
6107 case AArch64::X17_X18: OpKind = MCK_Reg47; break;
6108 case AArch64::X18_X19: OpKind = MCK_Reg48; break;
6109 case AArch64::X19_X20: OpKind = MCK_Reg49; break;
6110 case AArch64::X20_X21: OpKind = MCK_Reg49; break;
6111 case AArch64::X21_X22: OpKind = MCK_Reg49; break;
6112 case AArch64::X22_X23: OpKind = MCK_Reg49; break;
6113 case AArch64::X23_X24: OpKind = MCK_Reg49; break;
6114 case AArch64::X24_X25: OpKind = MCK_Reg49; break;
6115 case AArch64::X25_X26: OpKind = MCK_Reg49; break;
6116 case AArch64::X26_X27: OpKind = MCK_Reg49; break;
6117 case AArch64::X27_X28: OpKind = MCK_Reg49; break;
6118 case AArch64::X28_FP: OpKind = MCK_Reg49; break;
6119 case AArch64::FP_LR: OpKind = MCK_Reg49; break;
6120 case AArch64::LR_XZR: OpKind = MCK_Reg50; break;
6121 case AArch64::XZR_X0: OpKind = MCK_Reg53; break;
6122 }
6123 return isSubclass(OpKind, Kind) ? MCTargetAsmParser::Match_Success :
6124 MCTargetAsmParser::Match_InvalidOperand;
6125 }
6126
6127 return MCTargetAsmParser::Match_InvalidOperand;
6128}
6129
6130uint64_t AArch64AsmParser::
6131ComputeAvailableFeatures(const FeatureBitset& FB) const {
6132 uint64_t Features = 0;
6133 if ((FB[AArch64::HasV8_1aOps]))
6134 Features |= Feature_HasV8_1a;
6135 if ((FB[AArch64::HasV8_2aOps]))
6136 Features |= Feature_HasV8_2a;
6137 if ((FB[AArch64::FeatureFPARMv8]))
6138 Features |= Feature_HasFPARMv8;
6139 if ((FB[AArch64::FeatureNEON]))
6140 Features |= Feature_HasNEON;
6141 if ((FB[AArch64::FeatureCrypto]))
6142 Features |= Feature_HasCrypto;
6143 if ((FB[AArch64::FeatureCRC]))
6144 Features |= Feature_HasCRC;
6145 if ((FB[AArch64::FeatureFullFP16]))
6146 Features |= Feature_HasFullFP16;
6147 if ((FB[AArch64::FeatureSPE]))
6148 Features |= Feature_HasSPE;
6149 return Features;
6150}
6151
6152static const char *const MnemonicTable =
6153 "\003abs\003adc\004adcs\003add\005addhn\006addhn2\004addp\004adds\004add"
6154 "v\003adr\004adrp\004aesd\004aese\006aesimc\005aesmc\003and\004ands\003a"
6155 "sr\004asrv\001b\003bfm\003bic\004bics\003bif\003bit\002bl\003blr\002br\003"
6156 "brk\003bsl\003cas\004casa\005casab\005casah\005casal\006casalb\006casal"
6157 "h\004casb\004cash\004casl\005caslb\005caslh\004casp\005caspa\006caspal\005"
6158 "caspl\004cbnz\003cbz\004ccmn\004ccmp\004cinc\004cinv\005clrex\003cls\003"
6159 "clz\004cmeq\004cmge\004cmgt\004cmhi\004cmhs\004cmle\004cmlo\004cmls\004"
6160 "cmlt\003cmn\003cmp\005cmtst\004cneg\003cnt\006crc32b\007crc32cb\007crc3"
6161 "2ch\007crc32cw\007crc32cx\006crc32h\006crc32w\006crc32x\004csel\004cset"
6162 "\005csetm\005csinc\005csinv\005csneg\005dcps1\005dcps2\005dcps3\003dmb\004"
6163 "drps\003dsb\003dup\003eon\003eor\004eret\003ext\004extr\004fabd\004fabs"
6164 "\005facge\005facgt\005facle\005faclt\004fadd\005faddp\005fccmp\006fccmp"
6165 "e\005fcmeq\005fcmge\005fcmgt\005fcmle\005fcmlt\004fcmp\005fcmpe\005fcse"
6166 "l\004fcvt\006fcvtas\006fcvtau\005fcvtl\006fcvtl2\006fcvtms\006fcvtmu\005"
6167 "fcvtn\006fcvtn2\006fcvtns\006fcvtnu\006fcvtps\006fcvtpu\006fcvtxn\007fc"
6168 "vtxn2\006fcvtzs\006fcvtzu\004fdiv\005fmadd\004fmax\006fmaxnm\007fmaxnmp"
6169 "\007fmaxnmv\005fmaxp\005fmaxv\004fmin\006fminnm\007fminnmp\007fminnmv\005"
6170 "fminp\005fminv\004fmla\004fmls\004fmov\005fmsub\004fmul\005fmulx\004fne"
6171 "g\006fnmadd\006fnmsub\005fnmul\006frecpe\006frecps\006frecpx\006frinta\006"
6172 "frinti\006frintm\006frintn\006frintp\006frintx\006frintz\007frsqrte\007"
6173 "frsqrts\005fsqrt\004fsub\004hint\003hlt\003hvc\003ins\003isb\003ld1\004"
6174 "ld1r\003ld2\004ld2r\003ld3\004ld3r\003ld4\004ld4r\005ldadd\006ldadda\007"
6175 "ldaddab\007ldaddah\007ldaddal\010ldaddalb\010ldaddalh\006ldaddb\006ldad"
6176 "dh\006ldaddl\007ldaddlb\007ldaddlh\004ldar\005ldarb\005ldarh\005ldaxp\005"
6177 "ldaxr\006ldaxrb\006ldaxrh\005ldclr\006ldclra\007ldclrab\007ldclrah\007l"
6178 "dclral\010ldclralb\010ldclralh\006ldclrb\006ldclrh\006ldclrl\007ldclrlb"
6179 "\007ldclrlh\005ldeor\006ldeora\007ldeorab\007ldeorah\007ldeoral\010ldeo"
6180 "ralb\010ldeoralh\006ldeorb\006ldeorh\006ldeorl\007ldeorlb\007ldeorlh\005"
6181 "ldlar\006ldlarb\006ldlarh\004ldnp\003ldp\005ldpsw\003ldr\004ldrb\004ldr"
6182 "h\005ldrsb\005ldrsh\005ldrsw\005ldset\006ldseta\007ldsetab\007ldsetah\007"
6183 "ldsetal\010ldsetalb\010ldsetalh\006ldsetb\006ldseth\006ldsetl\007ldsetl"
6184 "b\007ldsetlh\006ldsmax\007ldsmaxa\010ldsmaxab\010ldsmaxah\010ldsmaxal\t"
6185 "ldsmaxalb\tldsmaxalh\007ldsmaxb\007ldsmaxh\007ldsmaxl\010ldsmaxlb\010ld"
6186 "smaxlh\006ldsmin\007ldsmina\010ldsminab\010ldsminah\010ldsminal\tldsmin"
6187 "alb\tldsminalh\007ldsminb\007ldsminh\007ldsminl\010ldsminlb\010ldsminlh"
6188 "\004ldtr\005ldtrb\005ldtrh\006ldtrsb\006ldtrsh\006ldtrsw\006ldumax\007l"
6189 "dumaxa\010ldumaxab\010ldumaxah\010ldumaxal\tldumaxalb\tldumaxalh\007ldu"
6190 "maxb\007ldumaxh\007ldumaxl\010ldumaxlb\010ldumaxlh\006ldumin\007ldumina"
6191 "\010lduminab\010lduminah\010lduminal\tlduminalb\tlduminalh\007lduminb\007"
6192 "lduminh\007lduminl\010lduminlb\010lduminlh\004ldur\005ldurb\005ldurh\006"
6193 "ldursb\006ldursh\006ldursw\004ldxp\004ldxr\005ldxrb\005ldxrh\003lsl\004"
6194 "lslv\003lsr\004lsrv\004madd\003mla\003mls\004mneg\003mov\004movi\004mov"
6195 "k\004movn\004movz\003mrs\003msr\004msub\003mul\003mvn\004mvni\003neg\004"
6196 "negs\003ngc\004ngcs\003nop\003not\003orn\003orr\004pmul\005pmull\006pmu"
6197 "ll2\004prfm\005prfum\003psb\006raddhn\007raddhn2\004rbit\003ret\003rev\005"
6198 "rev16\005rev32\005rev64\003ror\004rorv\005rshrn\006rshrn2\006rsubhn\007"
6199 "rsubhn2\004saba\005sabal\006sabal2\004sabd\005sabdl\006sabdl2\006sadalp"
6200 "\005saddl\006saddl2\006saddlp\006saddlv\005saddw\006saddw2\003sbc\004sb"
6201 "cs\004sbfm\005scvtf\004sdiv\003sev\004sevl\005sha1c\005sha1h\005sha1m\005"
6202 "sha1p\007sha1su0\007sha1su1\007sha256h\010sha256h2\tsha256su0\tsha256su"
6203 "1\005shadd\003shl\004shll\005shll2\004shrn\005shrn2\005shsub\003sli\006"
6204 "smaddl\004smax\005smaxp\005smaxv\003smc\004smin\005sminp\005sminv\005sm"
6205 "lal\006smlal2\005smlsl\006smlsl2\006smnegl\004smov\006smsubl\005smulh\005"
6206 "smull\006smull2\005sqabs\005sqadd\007sqdmlal\010sqdmlal2\007sqdmlsl\010"
6207 "sqdmlsl2\007sqdmulh\007sqdmull\010sqdmull2\005sqneg\010sqrdmlah\010sqrd"
6208 "mlsh\010sqrdmulh\006sqrshl\007sqrshrn\010sqrshrn2\010sqrshrun\tsqrshrun"
6209 "2\005sqshl\006sqshlu\006sqshrn\007sqshrn2\007sqshrun\010sqshrun2\005sqs"
6210 "ub\005sqxtn\006sqxtn2\006sqxtun\007sqxtun2\006srhadd\003sri\005srshl\005"
6211 "srshr\005srsra\004sshl\005sshll\006sshll2\004sshr\004ssra\005ssubl\006s"
6212 "subl2\005ssubw\006ssubw2\003st1\003st2\003st3\003st4\005stadd\006staddb"
6213 "\006staddh\006staddl\007staddlb\007staddlh\005stclr\006stclrb\006stclrh"
6214 "\006stclrl\007stclrlb\007stclrlh\005steor\006steorb\006steorh\006steorl"
6215 "\007steorlb\007steorlh\005stllr\006stllrb\006stllrh\004stlr\005stlrb\005"
6216 "stlrh\005stlxp\005stlxr\006stlxrb\006stlxrh\004stnp\003stp\003str\004st"
6217 "rb\004strh\005stset\006stsetb\006stseth\006stsetl\007stsetlb\007stsetlh"
6218 "\006stsmax\007stsmaxb\007stsmaxh\007stsmaxl\010stsmaxlb\010stsmaxlh\006"
6219 "stsmin\007stsminb\007stsminh\007stsminl\010stsminlb\010stsminlh\004sttr"
6220 "\005sttrb\005sttrh\006stumax\007stumaxb\007stumaxh\007stumaxl\010stumax"
6221 "lb\010stumaxlh\006stumin\007stuminb\007stuminh\007stuminl\010stuminlb\010"
6222 "stuminlh\004stur\005sturb\005sturh\004stxp\004stxr\005stxrb\005stxrh\003"
6223 "sub\005subhn\006subhn2\004subs\006suqadd\003svc\003swp\004swpa\005swpab"
6224 "\005swpah\005swpal\006swpalb\006swpalh\004swpb\004swph\004swpl\005swplb"
6225 "\005swplh\004sxtb\004sxth\004sxtl\005sxtl2\004sxtw\003sys\004sysl\003tb"
6226 "l\004tbnz\003tbx\003tbz\004trn1\004trn2\003tst\004uaba\005uabal\006uaba"
6227 "l2\004uabd\005uabdl\006uabdl2\006uadalp\005uaddl\006uaddl2\006uaddlp\006"
6228 "uaddlv\005uaddw\006uaddw2\004ubfm\005ucvtf\004udiv\005uhadd\005uhsub\006"
6229 "umaddl\004umax\005umaxp\005umaxv\004umin\005uminp\005uminv\005umlal\006"
6230 "umlal2\005umlsl\006umlsl2\006umnegl\004umov\006umsubl\005umulh\005umull"
6231 "\006umull2\005uqadd\006uqrshl\007uqrshrn\010uqrshrn2\005uqshl\006uqshrn"
6232 "\007uqshrn2\005uqsub\005uqxtn\006uqxtn2\006urecpe\006urhadd\005urshl\005"
6233 "urshr\007ursqrte\005ursra\004ushl\005ushll\006ushll2\004ushr\006usqadd\004"
6234 "usra\005usubl\006usubl2\005usubw\006usubw2\004uxtb\004uxth\004uxtl\005u"
6235 "xtl2\004uxtw\004uzp1\004uzp2\003wfe\003wfi\003xtn\004xtn2\005yield\004z"
6236 "ip1\004zip2";
6237
6238namespace {
6239 struct MatchEntry {
6240 uint16_t Mnemonic;
6241 uint16_t Opcode;
6242 uint16_t ConvertFn;
6243 uint8_t RequiredFeatures;
6244 uint16_t Classes[7];
6245 StringRef getMnemonic() const {
6246 return StringRef(MnemonicTable + Mnemonic + 1,
6247 MnemonicTable[Mnemonic]);
6248 }
6249 };
6250
6251 // Predicate for searching for an opcode.
6252 struct LessOpcode {
6253 bool operator()(const MatchEntry &LHS, StringRef RHS) {
6254 return LHS.getMnemonic() < RHS;
6255 }
6256 bool operator()(StringRef LHS, const MatchEntry &RHS) {
6257 return LHS < RHS.getMnemonic();
6258 }
6259 bool operator()(const MatchEntry &LHS, const MatchEntry &RHS) {
6260 return LHS.getMnemonic() < RHS.getMnemonic();
6261 }
6262 };
6263} // end anonymous namespace.
6264
6265static const MatchEntry MatchTable0[] = {
6266 { 0 /* abs */, AArch64::ABSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
6267 { 0 /* abs */, AArch64::ABSv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6268 { 0 /* abs */, AArch64::ABSv2i64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6269 { 0 /* abs */, AArch64::ABSv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6270 { 0 /* abs */, AArch64::ABSv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6271 { 0 /* abs */, AArch64::ABSv2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6272 { 0 /* abs */, AArch64::ABSv4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6273 { 0 /* abs */, AArch64::ABSv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6274 { 4 /* adc */, AArch64::ADCWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6275 { 4 /* adc */, AArch64::ADCXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6276 { 8 /* adcs */, AArch64::ADCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6277 { 8 /* adcs */, AArch64::ADCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6278 { 13 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
6279 { 13 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
6280 { 13 /* add */, AArch64::ADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6281 { 13 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6282 { 13 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
6283 { 13 /* add */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImmNeg }, },
6284 { 13 /* add */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
6285 { 13 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6286 { 13 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
6287 { 13 /* add */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImmNeg }, },
6288 { 13 /* add */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
6289 { 13 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
6290 { 13 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
6291 { 13 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
6292 { 13 /* add */, AArch64::ADDXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
6293 { 13 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
6294 { 13 /* add */, AArch64::ADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6295 { 13 /* add */, AArch64::ADDv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6296 { 13 /* add */, AArch64::ADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6297 { 13 /* add */, AArch64::ADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6298 { 13 /* add */, AArch64::ADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6299 { 13 /* add */, AArch64::ADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6300 { 13 /* add */, AArch64::ADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6301 { 17 /* addhn */, AArch64::ADDHNv2i64_v2i32, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6302 { 17 /* addhn */, AArch64::ADDHNv4i32_v4i16, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6303 { 17 /* addhn */, AArch64::ADDHNv8i16_v8i8, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6304 { 23 /* addhn2 */, AArch64::ADDHNv8i16_v16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6305 { 23 /* addhn2 */, AArch64::ADDHNv2i64_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6306 { 23 /* addhn2 */, AArch64::ADDHNv4i32_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6307 { 30 /* addp */, AArch64::ADDPv2i64p, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_2d }, },
6308 { 30 /* addp */, AArch64::ADDPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6309 { 30 /* addp */, AArch64::ADDPv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6310 { 30 /* addp */, AArch64::ADDPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6311 { 30 /* addp */, AArch64::ADDPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6312 { 30 /* addp */, AArch64::ADDPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6313 { 30 /* addp */, AArch64::ADDPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6314 { 30 /* addp */, AArch64::ADDPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6315 { 35 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
6316 { 35 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6317 { 35 /* adds */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImmNeg }, },
6318 { 35 /* adds */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
6319 { 35 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
6320 { 35 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6321 { 35 /* adds */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImmNeg }, },
6322 { 35 /* adds */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
6323 { 35 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
6324 { 35 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
6325 { 35 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
6326 { 35 /* adds */, AArch64::ADDSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
6327 { 35 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, 0, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
6328 { 40 /* addv */, AArch64::ADDVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
6329 { 40 /* addv */, AArch64::ADDVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
6330 { 40 /* addv */, AArch64::ADDVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
6331 { 40 /* addv */, AArch64::ADDVv16i8v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
6332 { 40 /* addv */, AArch64::ADDVv8i8v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
6333 { 45 /* adr */, AArch64::ADR, Convert__Reg1_0__AdrLabel1_1, 0, { MCK_GPR64, MCK_AdrLabel }, },
6334 { 49 /* adrp */, AArch64::ADRP, Convert__Reg1_0__AdrpLabel1_1, 0, { MCK_GPR64, MCK_AdrpLabel }, },
6335 { 54 /* aesd */, AArch64::AESDrr, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6336 { 59 /* aese */, AArch64::AESErr, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6337 { 64 /* aesimc */, AArch64::AESIMCrr, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6338 { 71 /* aesmc */, AArch64::AESMCrr, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6339 { 77 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6340 { 77 /* and */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
6341 { 77 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6342 { 77 /* and */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
6343 { 77 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
6344 { 77 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
6345 { 77 /* and */, AArch64::ANDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6346 { 77 /* and */, AArch64::ANDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6347 { 81 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6348 { 81 /* ands */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32 }, },
6349 { 81 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6350 { 81 /* ands */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64 }, },
6351 { 81 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
6352 { 81 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
6353 { 86 /* asr */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6354 { 86 /* asr */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
6355 { 86 /* asr */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6356 { 86 /* asr */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
6357 { 90 /* asrv */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6358 { 90 /* asrv */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6359 { 95 /* b */, AArch64::B, Convert__BranchTarget261_0, 0, { MCK_BranchTarget26 }, },
6360 { 95 /* b */, AArch64::Bcc, Convert__CondCode1_1__PCRelLabel191_2, 0, { MCK__DOT_, MCK_CondCode, MCK_PCRelLabel19 }, },
6361 { 97 /* bfm */, AArch64::BFMWri, Convert__Reg1_0__Tie0__Reg1_1__Imm0_311_2__Imm0_311_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
6362 { 97 /* bfm */, AArch64::BFMXri, Convert__Reg1_0__Tie0__Reg1_1__Imm0_631_2__Imm0_631_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
6363 { 101 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
6364 { 101 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
6365 { 101 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
6366 { 101 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
6367 { 101 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6368 { 101 /* bic */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
6369 { 101 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6370 { 101 /* bic */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
6371 { 101 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
6372 { 101 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
6373 { 101 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
6374 { 101 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
6375 { 101 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
6376 { 101 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
6377 { 101 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
6378 { 101 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
6379 { 101 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_0__Tie0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
6380 { 101 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_0__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
6381 { 101 /* bic */, AArch64::BICv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6382 { 101 /* bic */, AArch64::BICv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6383 { 105 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6384 { 105 /* bics */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32Not }, },
6385 { 105 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6386 { 105 /* bics */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64Not }, },
6387 { 105 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
6388 { 105 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
6389 { 110 /* bif */, AArch64::BIFv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6390 { 110 /* bif */, AArch64::BIFv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6391 { 114 /* bit */, AArch64::BITv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6392 { 114 /* bit */, AArch64::BITv8i8, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6393 { 118 /* bl */, AArch64::BL, Convert__BranchTarget261_0, 0, { MCK_BranchTarget26 }, },
6394 { 121 /* blr */, AArch64::BLR, Convert__Reg1_0, 0, { MCK_GPR64 }, },
6395 { 125 /* br */, AArch64::BR, Convert__Reg1_0, 0, { MCK_GPR64 }, },
6396 { 128 /* brk */, AArch64::BRK, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
6397 { 132 /* bsl */, AArch64::BSLv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6398 { 132 /* bsl */, AArch64::BSLv8i8, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6399 { 136 /* cas */, AArch64::CASs, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6400 { 136 /* cas */, AArch64::CASd, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6401 { 140 /* casa */, AArch64::CASAs, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6402 { 140 /* casa */, AArch64::CASAd, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6403 { 145 /* casab */, AArch64::CASAb, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6404 { 151 /* casah */, AArch64::CASAh, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6405 { 157 /* casal */, AArch64::CASALs, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6406 { 157 /* casal */, AArch64::CASALd, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6407 { 163 /* casalb */, AArch64::CASALb, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6408 { 170 /* casalh */, AArch64::CASALh, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6409 { 177 /* casb */, AArch64::CASb, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6410 { 182 /* cash */, AArch64::CASh, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6411 { 187 /* casl */, AArch64::CASLs, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6412 { 187 /* casl */, AArch64::CASLd, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6413 { 192 /* caslb */, AArch64::CASLb, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6414 { 198 /* caslh */, AArch64::CASLh, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6415 { 204 /* casp */, AArch64::CASPs, Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6416 { 204 /* casp */, AArch64::CASPd, Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6417 { 209 /* caspa */, AArch64::CASPAs, Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6418 { 209 /* caspa */, AArch64::CASPAd, Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6419 { 215 /* caspal */, AArch64::CASPALs, Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6420 { 215 /* caspal */, AArch64::CASPALd, Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6421 { 222 /* caspl */, AArch64::CASPLs, Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6422 { 222 /* caspl */, AArch64::CASPLd, Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
6423 { 228 /* cbnz */, AArch64::CBNZW, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR32, MCK_PCRelLabel19 }, },
6424 { 228 /* cbnz */, AArch64::CBNZX, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR64, MCK_PCRelLabel19 }, },
6425 { 233 /* cbz */, AArch64::CBZW, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR32, MCK_PCRelLabel19 }, },
6426 { 233 /* cbz */, AArch64::CBZX, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR64, MCK_PCRelLabel19 }, },
6427 { 237 /* ccmn */, AArch64::CCMNWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
6428 { 237 /* ccmn */, AArch64::CCMNWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
6429 { 237 /* ccmn */, AArch64::CCMNXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
6430 { 237 /* ccmn */, AArch64::CCMNXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
6431 { 242 /* ccmp */, AArch64::CCMPWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
6432 { 242 /* ccmp */, AArch64::CCMPWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
6433 { 242 /* ccmp */, AArch64::CCMPXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
6434 { 242 /* ccmp */, AArch64::CCMPXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
6435 { 247 /* cinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
6436 { 247 /* cinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
6437 { 252 /* cinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
6438 { 252 /* cinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
6439 { 257 /* clrex */, AArch64::CLREX, Convert__imm_95_15, 0, { }, },
6440 { 257 /* clrex */, AArch64::CLREX, Convert__Imm0_151_0, 0, { MCK_Imm0_15 }, },
6441 { 263 /* cls */, AArch64::CLSWr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
6442 { 263 /* cls */, AArch64::CLSXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
6443 { 263 /* cls */, AArch64::CLSv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6444 { 263 /* cls */, AArch64::CLSv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6445 { 263 /* cls */, AArch64::CLSv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6446 { 263 /* cls */, AArch64::CLSv2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6447 { 263 /* cls */, AArch64::CLSv4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6448 { 263 /* cls */, AArch64::CLSv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6449 { 267 /* clz */, AArch64::CLZWr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
6450 { 267 /* clz */, AArch64::CLZXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
6451 { 267 /* clz */, AArch64::CLZv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6452 { 267 /* clz */, AArch64::CLZv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6453 { 267 /* clz */, AArch64::CLZv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6454 { 267 /* clz */, AArch64::CLZv2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6455 { 267 /* clz */, AArch64::CLZv4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6456 { 267 /* clz */, AArch64::CLZv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6457 { 271 /* cmeq */, AArch64::CMEQv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6458 { 271 /* cmeq */, AArch64::CMEQv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6459 { 271 /* cmeq */, AArch64::CMEQv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__35_0 }, },
6460 { 271 /* cmeq */, AArch64::CMEQv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6461 { 271 /* cmeq */, AArch64::CMEQv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6462 { 271 /* cmeq */, AArch64::CMEQv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6463 { 271 /* cmeq */, AArch64::CMEQv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6464 { 271 /* cmeq */, AArch64::CMEQv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6465 { 271 /* cmeq */, AArch64::CMEQv8i8rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__35_0 }, },
6466 { 271 /* cmeq */, AArch64::CMEQv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6467 { 271 /* cmeq */, AArch64::CMEQv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6468 { 271 /* cmeq */, AArch64::CMEQv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6469 { 271 /* cmeq */, AArch64::CMEQv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6470 { 271 /* cmeq */, AArch64::CMEQv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6471 { 271 /* cmeq */, AArch64::CMEQv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6472 { 271 /* cmeq */, AArch64::CMEQv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6473 { 276 /* cmge */, AArch64::CMGEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6474 { 276 /* cmge */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6475 { 276 /* cmge */, AArch64::CMGEv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__35_0 }, },
6476 { 276 /* cmge */, AArch64::CMGEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6477 { 276 /* cmge */, AArch64::CMGEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6478 { 276 /* cmge */, AArch64::CMGEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6479 { 276 /* cmge */, AArch64::CMGEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6480 { 276 /* cmge */, AArch64::CMGEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6481 { 276 /* cmge */, AArch64::CMGEv8i8rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__35_0 }, },
6482 { 276 /* cmge */, AArch64::CMGEv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6483 { 276 /* cmge */, AArch64::CMGEv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6484 { 276 /* cmge */, AArch64::CMGEv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6485 { 276 /* cmge */, AArch64::CMGEv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6486 { 276 /* cmge */, AArch64::CMGEv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6487 { 276 /* cmge */, AArch64::CMGEv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6488 { 276 /* cmge */, AArch64::CMGEv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6489 { 281 /* cmgt */, AArch64::CMGTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6490 { 281 /* cmgt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6491 { 281 /* cmgt */, AArch64::CMGTv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__35_0 }, },
6492 { 281 /* cmgt */, AArch64::CMGTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6493 { 281 /* cmgt */, AArch64::CMGTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6494 { 281 /* cmgt */, AArch64::CMGTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6495 { 281 /* cmgt */, AArch64::CMGTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6496 { 281 /* cmgt */, AArch64::CMGTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6497 { 281 /* cmgt */, AArch64::CMGTv8i8rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__35_0 }, },
6498 { 281 /* cmgt */, AArch64::CMGTv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6499 { 281 /* cmgt */, AArch64::CMGTv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6500 { 281 /* cmgt */, AArch64::CMGTv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6501 { 281 /* cmgt */, AArch64::CMGTv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6502 { 281 /* cmgt */, AArch64::CMGTv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6503 { 281 /* cmgt */, AArch64::CMGTv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6504 { 281 /* cmgt */, AArch64::CMGTv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6505 { 286 /* cmhi */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6506 { 286 /* cmhi */, AArch64::CMHIv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6507 { 286 /* cmhi */, AArch64::CMHIv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6508 { 286 /* cmhi */, AArch64::CMHIv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6509 { 286 /* cmhi */, AArch64::CMHIv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6510 { 286 /* cmhi */, AArch64::CMHIv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6511 { 286 /* cmhi */, AArch64::CMHIv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6512 { 286 /* cmhi */, AArch64::CMHIv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6513 { 291 /* cmhs */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6514 { 291 /* cmhs */, AArch64::CMHSv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6515 { 291 /* cmhs */, AArch64::CMHSv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6516 { 291 /* cmhs */, AArch64::CMHSv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6517 { 291 /* cmhs */, AArch64::CMHSv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6518 { 291 /* cmhs */, AArch64::CMHSv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6519 { 291 /* cmhs */, AArch64::CMHSv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6520 { 291 /* cmhs */, AArch64::CMHSv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6521 { 296 /* cmle */, AArch64::CMLEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6522 { 296 /* cmle */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6523 { 296 /* cmle */, AArch64::CMLEv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__35_0 }, },
6524 { 296 /* cmle */, AArch64::CMLEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6525 { 296 /* cmle */, AArch64::CMLEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6526 { 296 /* cmle */, AArch64::CMLEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6527 { 296 /* cmle */, AArch64::CMLEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6528 { 296 /* cmle */, AArch64::CMLEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6529 { 296 /* cmle */, AArch64::CMLEv8i8rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__35_0 }, },
6530 { 296 /* cmle */, AArch64::CMGEv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6531 { 296 /* cmle */, AArch64::CMGEv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6532 { 296 /* cmle */, AArch64::CMGEv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6533 { 296 /* cmle */, AArch64::CMGEv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6534 { 296 /* cmle */, AArch64::CMGEv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6535 { 296 /* cmle */, AArch64::CMGEv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6536 { 296 /* cmle */, AArch64::CMGEv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6537 { 301 /* cmlo */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6538 { 301 /* cmlo */, AArch64::CMHIv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6539 { 301 /* cmlo */, AArch64::CMHIv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6540 { 301 /* cmlo */, AArch64::CMHIv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6541 { 301 /* cmlo */, AArch64::CMHIv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6542 { 301 /* cmlo */, AArch64::CMHIv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6543 { 301 /* cmlo */, AArch64::CMHIv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6544 { 301 /* cmlo */, AArch64::CMHIv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6545 { 306 /* cmls */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6546 { 306 /* cmls */, AArch64::CMHSv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6547 { 306 /* cmls */, AArch64::CMHSv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6548 { 306 /* cmls */, AArch64::CMHSv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6549 { 306 /* cmls */, AArch64::CMHSv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6550 { 306 /* cmls */, AArch64::CMHSv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6551 { 306 /* cmls */, AArch64::CMHSv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6552 { 306 /* cmls */, AArch64::CMHSv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6553 { 311 /* cmlt */, AArch64::CMLTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6554 { 311 /* cmlt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6555 { 311 /* cmlt */, AArch64::CMLTv16i8rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK__35_0 }, },
6556 { 311 /* cmlt */, AArch64::CMLTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6557 { 311 /* cmlt */, AArch64::CMLTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6558 { 311 /* cmlt */, AArch64::CMLTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6559 { 311 /* cmlt */, AArch64::CMLTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6560 { 311 /* cmlt */, AArch64::CMLTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6561 { 311 /* cmlt */, AArch64::CMLTv8i8rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK__35_0 }, },
6562 { 311 /* cmlt */, AArch64::CMGTv16i8, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6563 { 311 /* cmlt */, AArch64::CMGTv2i64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6564 { 311 /* cmlt */, AArch64::CMGTv4i32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6565 { 311 /* cmlt */, AArch64::CMGTv8i16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6566 { 311 /* cmlt */, AArch64::CMGTv2i32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6567 { 311 /* cmlt */, AArch64::CMGTv4i16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6568 { 311 /* cmlt */, AArch64::CMGTv8i8, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6569 { 316 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, 0, { MCK_GPR32sponly, MCK_GPR32 }, },
6570 { 316 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, 0, { MCK_GPR64sponly, MCK_GPR64 }, },
6571 { 316 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
6572 { 316 /* cmn */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImmNeg2_1, 0, { MCK_GPR32sp, MCK_AddSubImmNeg }, },
6573 { 316 /* cmn */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, 0, { MCK_GPR32sp, MCK_AddSubImm }, },
6574 { 316 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
6575 { 316 /* cmn */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImmNeg2_1, 0, { MCK_GPR64sp, MCK_AddSubImmNeg }, },
6576 { 316 /* cmn */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, 0, { MCK_GPR64sp, MCK_AddSubImm }, },
6577 { 316 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
6578 { 316 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
6579 { 316 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
6580 { 316 /* cmn */, AArch64::ADDSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, 0, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
6581 { 316 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
6582 { 320 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, 0, { MCK_GPR32sponly, MCK_GPR32 }, },
6583 { 320 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, 0, { MCK_GPR64sponly, MCK_GPR64 }, },
6584 { 320 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
6585 { 320 /* cmp */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImmNeg2_1, 0, { MCK_GPR32sp, MCK_AddSubImmNeg }, },
6586 { 320 /* cmp */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, 0, { MCK_GPR32sp, MCK_AddSubImm }, },
6587 { 320 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
6588 { 320 /* cmp */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImmNeg2_1, 0, { MCK_GPR64sp, MCK_AddSubImmNeg }, },
6589 { 320 /* cmp */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, 0, { MCK_GPR64sp, MCK_AddSubImm }, },
6590 { 320 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
6591 { 320 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
6592 { 320 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
6593 { 320 /* cmp */, AArch64::SUBSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, 0, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
6594 { 320 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
6595 { 324 /* cmtst */, AArch64::CMTSTv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6596 { 324 /* cmtst */, AArch64::CMTSTv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6597 { 324 /* cmtst */, AArch64::CMTSTv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6598 { 324 /* cmtst */, AArch64::CMTSTv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6599 { 324 /* cmtst */, AArch64::CMTSTv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6600 { 324 /* cmtst */, AArch64::CMTSTv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6601 { 324 /* cmtst */, AArch64::CMTSTv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6602 { 324 /* cmtst */, AArch64::CMTSTv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6603 { 330 /* cneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
6604 { 330 /* cneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
6605 { 335 /* cnt */, AArch64::CNTv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6606 { 335 /* cnt */, AArch64::CNTv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6607 { 339 /* crc32b */, AArch64::CRC32Brr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6608 { 346 /* crc32cb */, AArch64::CRC32CBrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6609 { 354 /* crc32ch */, AArch64::CRC32CHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6610 { 362 /* crc32cw */, AArch64::CRC32CWrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6611 { 370 /* crc32cx */, AArch64::CRC32CXrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
6612 { 378 /* crc32h */, AArch64::CRC32Hrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6613 { 385 /* crc32w */, AArch64::CRC32Wrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6614 { 392 /* crc32x */, AArch64::CRC32Xrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
6615 { 399 /* csel */, AArch64::CSELWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
6616 { 399 /* csel */, AArch64::CSELXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
6617 { 404 /* cset */, AArch64::CSINCWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, 0, { MCK_GPR32, MCK_CondCode }, },
6618 { 404 /* cset */, AArch64::CSINCXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, 0, { MCK_GPR64, MCK_CondCode }, },
6619 { 409 /* csetm */, AArch64::CSINVWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, 0, { MCK_GPR32, MCK_CondCode }, },
6620 { 409 /* csetm */, AArch64::CSINVXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, 0, { MCK_GPR64, MCK_CondCode }, },
6621 { 415 /* csinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
6622 { 415 /* csinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
6623 { 421 /* csinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
6624 { 421 /* csinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
6625 { 427 /* csneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
6626 { 427 /* csneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
6627 { 433 /* dcps1 */, AArch64::DCPS1, Convert__imm_95_0, 0, { }, },
6628 { 433 /* dcps1 */, AArch64::DCPS1, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
6629 { 439 /* dcps2 */, AArch64::DCPS2, Convert__imm_95_0, 0, { }, },
6630 { 439 /* dcps2 */, AArch64::DCPS2, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
6631 { 445 /* dcps3 */, AArch64::DCPS3, Convert__imm_95_0, 0, { }, },
6632 { 445 /* dcps3 */, AArch64::DCPS3, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
6633 { 451 /* dmb */, AArch64::DMB, Convert__Barrier1_0, 0, { MCK_Barrier }, },
6634 { 455 /* drps */, AArch64::DRPS, Convert_NoOperands, 0, { }, },
6635 { 460 /* dsb */, AArch64::DSB, Convert__Barrier1_0, 0, { MCK_Barrier }, },
6636 { 464 /* dup */, AArch64::DUPv16i8gpr, Convert__VectorReg1281_0__Reg1_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_GPR32 }, },
6637 { 464 /* dup */, AArch64::DUPv2i64gpr, Convert__VectorReg1281_0__Reg1_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_GPR64 }, },
6638 { 464 /* dup */, AArch64::DUPv4i32gpr, Convert__VectorReg1281_0__Reg1_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_GPR32 }, },
6639 { 464 /* dup */, AArch64::DUPv8i16gpr, Convert__VectorReg1281_0__Reg1_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_GPR32 }, },
6640 { 464 /* dup */, AArch64::DUPv2i32gpr, Convert__VectorReg641_0__Reg1_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_GPR32 }, },
6641 { 464 /* dup */, AArch64::DUPv4i16gpr, Convert__VectorReg641_0__Reg1_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_GPR32 }, },
6642 { 464 /* dup */, AArch64::DUPv8i8gpr, Convert__VectorReg641_0__Reg1_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_GPR32 }, },
6643 { 464 /* dup */, AArch64::CPYi16, Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_3, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
6644 { 464 /* dup */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_3, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
6645 { 464 /* dup */, AArch64::CPYi64, Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_3, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
6646 { 464 /* dup */, AArch64::CPYi8, Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_3, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
6647 { 464 /* dup */, AArch64::DUPv16i8lane, Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexB1_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
6648 { 464 /* dup */, AArch64::DUPv2i64lane, Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexD1_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
6649 { 464 /* dup */, AArch64::DUPv4i32lane, Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
6650 { 464 /* dup */, AArch64::DUPv8i16lane, Convert__VectorReg1281_0__VectorReg1281_2__VectorIndexH1_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
6651 { 464 /* dup */, AArch64::DUPv2i32lane, Convert__VectorReg641_0__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
6652 { 464 /* dup */, AArch64::DUPv4i16lane, Convert__VectorReg641_0__VectorReg1281_2__VectorIndexH1_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
6653 { 464 /* dup */, AArch64::DUPv8i8lane, Convert__VectorReg641_0__VectorReg1281_2__VectorIndexB1_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
6654 { 468 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6655 { 468 /* eon */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
6656 { 468 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6657 { 468 /* eon */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
6658 { 468 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
6659 { 468 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
6660 { 472 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
6661 { 472 /* eor */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
6662 { 472 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
6663 { 472 /* eor */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
6664 { 472 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
6665 { 472 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
6666 { 472 /* eor */, AArch64::EORv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
6667 { 472 /* eor */, AArch64::EORv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
6668 { 476 /* eret */, AArch64::ERET, Convert_NoOperands, 0, { }, },
6669 { 481 /* ext */, AArch64::EXTv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__Imm1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm }, },
6670 { 481 /* ext */, AArch64::EXTv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4__Imm1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm }, },
6671 { 485 /* extr */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
6672 { 485 /* extr */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
6673 { 490 /* fabd */, AArch64::FABD16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
6674 { 490 /* fabd */, AArch64::FABD32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6675 { 490 /* fabd */, AArch64::FABD64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6676 { 490 /* fabd */, AArch64::FABDv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6677 { 490 /* fabd */, AArch64::FABDv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6678 { 490 /* fabd */, AArch64::FABDv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6679 { 490 /* fabd */, AArch64::FABDv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6680 { 490 /* fabd */, AArch64::FABDv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6681 { 495 /* fabs */, AArch64::FABSHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6682 { 495 /* fabs */, AArch64::FABSSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
6683 { 495 /* fabs */, AArch64::FABSDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
6684 { 495 /* fabs */, AArch64::FABSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6685 { 495 /* fabs */, AArch64::FABSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6686 { 495 /* fabs */, AArch64::FABSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6687 { 495 /* fabs */, AArch64::FABSv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6688 { 495 /* fabs */, AArch64::FABSv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6689 { 500 /* facge */, AArch64::FACGE16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
6690 { 500 /* facge */, AArch64::FACGE32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6691 { 500 /* facge */, AArch64::FACGE64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6692 { 500 /* facge */, AArch64::FACGEv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6693 { 500 /* facge */, AArch64::FACGEv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6694 { 500 /* facge */, AArch64::FACGEv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6695 { 500 /* facge */, AArch64::FACGEv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6696 { 500 /* facge */, AArch64::FACGEv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6697 { 506 /* facgt */, AArch64::FACGT16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
6698 { 506 /* facgt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6699 { 506 /* facgt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6700 { 506 /* facgt */, AArch64::FACGTv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6701 { 506 /* facgt */, AArch64::FACGTv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6702 { 506 /* facgt */, AArch64::FACGTv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6703 { 506 /* facgt */, AArch64::FACGTv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6704 { 506 /* facgt */, AArch64::FACGTv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6705 { 512 /* facle */, AArch64::FACGE32, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6706 { 512 /* facle */, AArch64::FACGE64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6707 { 512 /* facle */, AArch64::FACGEv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6708 { 512 /* facle */, AArch64::FACGEv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6709 { 512 /* facle */, AArch64::FACGEv8f16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6710 { 512 /* facle */, AArch64::FACGEv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6711 { 512 /* facle */, AArch64::FACGEv4f16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6712 { 518 /* faclt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6713 { 518 /* faclt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6714 { 518 /* faclt */, AArch64::FACGTv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6715 { 518 /* faclt */, AArch64::FACGTv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6716 { 518 /* faclt */, AArch64::FACGTv8f16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6717 { 518 /* faclt */, AArch64::FACGTv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6718 { 518 /* faclt */, AArch64::FACGTv4f16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6719 { 524 /* fadd */, AArch64::FADDHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
6720 { 524 /* fadd */, AArch64::FADDSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6721 { 524 /* fadd */, AArch64::FADDDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6722 { 524 /* fadd */, AArch64::FADDv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6723 { 524 /* fadd */, AArch64::FADDv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6724 { 524 /* fadd */, AArch64::FADDv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6725 { 524 /* fadd */, AArch64::FADDv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6726 { 524 /* fadd */, AArch64::FADDv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6727 { 529 /* faddp */, AArch64::FADDPv2i16p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_2h }, },
6728 { 529 /* faddp */, AArch64::FADDPv2i32p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_2s }, },
6729 { 529 /* faddp */, AArch64::FADDPv2i64p, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_2d }, },
6730 { 529 /* faddp */, AArch64::FADDPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6731 { 529 /* faddp */, AArch64::FADDPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6732 { 529 /* faddp */, AArch64::FADDPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6733 { 529 /* faddp */, AArch64::FADDPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6734 { 529 /* faddp */, AArch64::FADDPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6735 { 535 /* fccmp */, AArch64::FCCMPHrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15, MCK_CondCode }, },
6736 { 535 /* fccmp */, AArch64::FCCMPSrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_Imm0_15, MCK_CondCode }, },
6737 { 535 /* fccmp */, AArch64::FCCMPDrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_Imm0_15, MCK_CondCode }, },
6738 { 541 /* fccmpe */, AArch64::FCCMPEHrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15, MCK_CondCode }, },
6739 { 541 /* fccmpe */, AArch64::FCCMPESrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_Imm0_15, MCK_CondCode }, },
6740 { 541 /* fccmpe */, AArch64::FCCMPEDrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_Imm0_15, MCK_CondCode }, },
6741 { 548 /* fcmeq */, AArch64::FCMEQv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
6742 { 548 /* fcmeq */, AArch64::FCMEQ16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
6743 { 548 /* fcmeq */, AArch64::FCMEQv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
6744 { 548 /* fcmeq */, AArch64::FCMEQ32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6745 { 548 /* fcmeq */, AArch64::FCMEQv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6746 { 548 /* fcmeq */, AArch64::FCMEQ64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6747 { 548 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6748 { 548 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6749 { 548 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6750 { 548 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6751 { 548 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6752 { 548 /* fcmeq */, AArch64::FCMEQv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
6753 { 548 /* fcmeq */, AArch64::FCMEQv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
6754 { 548 /* fcmeq */, AArch64::FCMEQv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
6755 { 548 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6756 { 548 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6757 { 548 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6758 { 548 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6759 { 548 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6760 { 548 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0, MCK__DOT_0 }, },
6761 { 548 /* fcmeq */, AArch64::FCMEQv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6762 { 548 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0, MCK__DOT_0 }, },
6763 { 548 /* fcmeq */, AArch64::FCMEQv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6764 { 548 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0, MCK__DOT_0 }, },
6765 { 548 /* fcmeq */, AArch64::FCMEQv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6766 { 548 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0, MCK__DOT_0 }, },
6767 { 548 /* fcmeq */, AArch64::FCMEQv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6768 { 548 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0, MCK__DOT_0 }, },
6769 { 548 /* fcmeq */, AArch64::FCMEQv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6770 { 554 /* fcmge */, AArch64::FCMGEv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
6771 { 554 /* fcmge */, AArch64::FCMGE16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
6772 { 554 /* fcmge */, AArch64::FCMGEv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
6773 { 554 /* fcmge */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6774 { 554 /* fcmge */, AArch64::FCMGEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6775 { 554 /* fcmge */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6776 { 554 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6777 { 554 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6778 { 554 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6779 { 554 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6780 { 554 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6781 { 554 /* fcmge */, AArch64::FCMGEv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
6782 { 554 /* fcmge */, AArch64::FCMGEv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
6783 { 554 /* fcmge */, AArch64::FCMGEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
6784 { 554 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6785 { 554 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6786 { 554 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6787 { 554 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6788 { 554 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6789 { 554 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0, MCK__DOT_0 }, },
6790 { 554 /* fcmge */, AArch64::FCMGEv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6791 { 554 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0, MCK__DOT_0 }, },
6792 { 554 /* fcmge */, AArch64::FCMGEv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6793 { 554 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0, MCK__DOT_0 }, },
6794 { 554 /* fcmge */, AArch64::FCMGEv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6795 { 554 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0, MCK__DOT_0 }, },
6796 { 554 /* fcmge */, AArch64::FCMGEv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6797 { 554 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0, MCK__DOT_0 }, },
6798 { 554 /* fcmge */, AArch64::FCMGEv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6799 { 560 /* fcmgt */, AArch64::FCMGTv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
6800 { 560 /* fcmgt */, AArch64::FCMGT16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
6801 { 560 /* fcmgt */, AArch64::FCMGTv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
6802 { 560 /* fcmgt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6803 { 560 /* fcmgt */, AArch64::FCMGTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6804 { 560 /* fcmgt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6805 { 560 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6806 { 560 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6807 { 560 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6808 { 560 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6809 { 560 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6810 { 560 /* fcmgt */, AArch64::FCMGTv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
6811 { 560 /* fcmgt */, AArch64::FCMGTv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
6812 { 560 /* fcmgt */, AArch64::FCMGTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
6813 { 560 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6814 { 560 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6815 { 560 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6816 { 560 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6817 { 560 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6818 { 560 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0, MCK__DOT_0 }, },
6819 { 560 /* fcmgt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6820 { 560 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0, MCK__DOT_0 }, },
6821 { 560 /* fcmgt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6822 { 560 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0, MCK__DOT_0 }, },
6823 { 560 /* fcmgt */, AArch64::FCMGTv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6824 { 560 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0, MCK__DOT_0 }, },
6825 { 560 /* fcmgt */, AArch64::FCMGTv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6826 { 560 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0, MCK__DOT_0 }, },
6827 { 560 /* fcmgt */, AArch64::FCMGTv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6828 { 566 /* fcmle */, AArch64::FCMLEv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
6829 { 566 /* fcmle */, AArch64::FCMLEv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
6830 { 566 /* fcmle */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6831 { 566 /* fcmle */, AArch64::FCMLEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6832 { 566 /* fcmle */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6833 { 566 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6834 { 566 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6835 { 566 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6836 { 566 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6837 { 566 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6838 { 566 /* fcmle */, AArch64::FCMLEv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
6839 { 566 /* fcmle */, AArch64::FCMLEv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
6840 { 566 /* fcmle */, AArch64::FCMLEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
6841 { 566 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6842 { 566 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6843 { 566 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6844 { 566 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6845 { 566 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6846 { 566 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0, MCK__DOT_0 }, },
6847 { 566 /* fcmle */, AArch64::FCMGEv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6848 { 566 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0, MCK__DOT_0 }, },
6849 { 566 /* fcmle */, AArch64::FCMGEv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6850 { 566 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0, MCK__DOT_0 }, },
6851 { 566 /* fcmle */, AArch64::FCMGEv8f16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6852 { 566 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0, MCK__DOT_0 }, },
6853 { 566 /* fcmle */, AArch64::FCMGEv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6854 { 566 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0, MCK__DOT_0 }, },
6855 { 566 /* fcmle */, AArch64::FCMGEv4f16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6856 { 572 /* fcmlt */, AArch64::FCMLTv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
6857 { 572 /* fcmlt */, AArch64::FCMLTv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
6858 { 572 /* fcmlt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
6859 { 572 /* fcmlt */, AArch64::FCMLTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
6860 { 572 /* fcmlt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
6861 { 572 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6862 { 572 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6863 { 572 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
6864 { 572 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6865 { 572 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
6866 { 572 /* fcmlt */, AArch64::FCMLTv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
6867 { 572 /* fcmlt */, AArch64::FCMLTv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
6868 { 572 /* fcmlt */, AArch64::FCMLTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
6869 { 572 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
6870 { 572 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
6871 { 572 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
6872 { 572 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
6873 { 572 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
6874 { 572 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0, MCK__DOT_0 }, },
6875 { 572 /* fcmlt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6876 { 572 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0, MCK__DOT_0 }, },
6877 { 572 /* fcmlt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6878 { 572 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0, MCK__DOT_0 }, },
6879 { 572 /* fcmlt */, AArch64::FCMGTv8f16, Convert__VectorReg1281_0__VectorReg1281_4__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6880 { 572 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0, MCK__DOT_0 }, },
6881 { 572 /* fcmlt */, AArch64::FCMGTv2f32, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6882 { 572 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0, MCK__DOT_0 }, },
6883 { 572 /* fcmlt */, AArch64::FCMGTv4f16, Convert__VectorReg641_0__VectorReg641_4__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6884 { 578 /* fcmp */, AArch64::FCMPHrr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6885 { 578 /* fcmp */, AArch64::FCMPSrr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
6886 { 578 /* fcmp */, AArch64::FCMPDrr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
6887 { 578 /* fcmp */, AArch64::FCMPHri, Convert__Reg1_0, Feature_HasFullFP16, { MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
6888 { 578 /* fcmp */, AArch64::FCMPSri, Convert__Reg1_0, Feature_HasFPARMv8, { MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
6889 { 578 /* fcmp */, AArch64::FCMPDri, Convert__Reg1_0, Feature_HasFPARMv8, { MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
6890 { 583 /* fcmpe */, AArch64::FCMPEHrr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6891 { 583 /* fcmpe */, AArch64::FCMPESrr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
6892 { 583 /* fcmpe */, AArch64::FCMPEDrr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
6893 { 583 /* fcmpe */, AArch64::FCMPEHri, Convert__Reg1_0, Feature_HasFullFP16, { MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
6894 { 583 /* fcmpe */, AArch64::FCMPESri, Convert__Reg1_0, Feature_HasFPARMv8, { MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
6895 { 583 /* fcmpe */, AArch64::FCMPEDri, Convert__Reg1_0, Feature_HasFPARMv8, { MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
6896 { 589 /* fcsel */, AArch64::FCSELHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_CondCode }, },
6897 { 589 /* fcsel */, AArch64::FCSELSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_CondCode }, },
6898 { 589 /* fcsel */, AArch64::FCSELDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_CondCode }, },
6899 { 595 /* fcvt */, AArch64::FCVTHSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR16, MCK_FPR32 }, },
6900 { 595 /* fcvt */, AArch64::FCVTHDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR16, MCK_FPR64 }, },
6901 { 595 /* fcvt */, AArch64::FCVTSHr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR16 }, },
6902 { 595 /* fcvt */, AArch64::FCVTSDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR64 }, },
6903 { 595 /* fcvt */, AArch64::FCVTDHr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR16 }, },
6904 { 595 /* fcvt */, AArch64::FCVTDSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR32 }, },
6905 { 600 /* fcvtas */, AArch64::FCVTASv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6906 { 600 /* fcvtas */, AArch64::FCVTASv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
6907 { 600 /* fcvtas */, AArch64::FCVTASv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
6908 { 600 /* fcvtas */, AArch64::FCVTASUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
6909 { 600 /* fcvtas */, AArch64::FCVTASUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
6910 { 600 /* fcvtas */, AArch64::FCVTASUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
6911 { 600 /* fcvtas */, AArch64::FCVTASUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
6912 { 600 /* fcvtas */, AArch64::FCVTASUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
6913 { 600 /* fcvtas */, AArch64::FCVTASUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
6914 { 600 /* fcvtas */, AArch64::FCVTASv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6915 { 600 /* fcvtas */, AArch64::FCVTASv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6916 { 600 /* fcvtas */, AArch64::FCVTASv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6917 { 600 /* fcvtas */, AArch64::FCVTASv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6918 { 600 /* fcvtas */, AArch64::FCVTASv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6919 { 607 /* fcvtau */, AArch64::FCVTAUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6920 { 607 /* fcvtau */, AArch64::FCVTAUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
6921 { 607 /* fcvtau */, AArch64::FCVTAUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
6922 { 607 /* fcvtau */, AArch64::FCVTAUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
6923 { 607 /* fcvtau */, AArch64::FCVTAUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
6924 { 607 /* fcvtau */, AArch64::FCVTAUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
6925 { 607 /* fcvtau */, AArch64::FCVTAUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
6926 { 607 /* fcvtau */, AArch64::FCVTAUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
6927 { 607 /* fcvtau */, AArch64::FCVTAUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
6928 { 607 /* fcvtau */, AArch64::FCVTAUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6929 { 607 /* fcvtau */, AArch64::FCVTAUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6930 { 607 /* fcvtau */, AArch64::FCVTAUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6931 { 607 /* fcvtau */, AArch64::FCVTAUv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6932 { 607 /* fcvtau */, AArch64::FCVTAUv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6933 { 614 /* fcvtl */, AArch64::FCVTLv2i32, Convert__VectorReg1281_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
6934 { 614 /* fcvtl */, AArch64::FCVTLv4i16, Convert__VectorReg1281_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
6935 { 620 /* fcvtl2 */, AArch64::FCVTLv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
6936 { 620 /* fcvtl2 */, AArch64::FCVTLv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
6937 { 627 /* fcvtms */, AArch64::FCVTMSv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6938 { 627 /* fcvtms */, AArch64::FCVTMSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
6939 { 627 /* fcvtms */, AArch64::FCVTMSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
6940 { 627 /* fcvtms */, AArch64::FCVTMSUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
6941 { 627 /* fcvtms */, AArch64::FCVTMSUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
6942 { 627 /* fcvtms */, AArch64::FCVTMSUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
6943 { 627 /* fcvtms */, AArch64::FCVTMSUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
6944 { 627 /* fcvtms */, AArch64::FCVTMSUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
6945 { 627 /* fcvtms */, AArch64::FCVTMSUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
6946 { 627 /* fcvtms */, AArch64::FCVTMSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6947 { 627 /* fcvtms */, AArch64::FCVTMSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6948 { 627 /* fcvtms */, AArch64::FCVTMSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6949 { 627 /* fcvtms */, AArch64::FCVTMSv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6950 { 627 /* fcvtms */, AArch64::FCVTMSv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6951 { 634 /* fcvtmu */, AArch64::FCVTMUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6952 { 634 /* fcvtmu */, AArch64::FCVTMUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
6953 { 634 /* fcvtmu */, AArch64::FCVTMUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
6954 { 634 /* fcvtmu */, AArch64::FCVTMUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
6955 { 634 /* fcvtmu */, AArch64::FCVTMUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
6956 { 634 /* fcvtmu */, AArch64::FCVTMUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
6957 { 634 /* fcvtmu */, AArch64::FCVTMUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
6958 { 634 /* fcvtmu */, AArch64::FCVTMUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
6959 { 634 /* fcvtmu */, AArch64::FCVTMUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
6960 { 634 /* fcvtmu */, AArch64::FCVTMUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6961 { 634 /* fcvtmu */, AArch64::FCVTMUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6962 { 634 /* fcvtmu */, AArch64::FCVTMUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6963 { 634 /* fcvtmu */, AArch64::FCVTMUv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6964 { 634 /* fcvtmu */, AArch64::FCVTMUv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6965 { 641 /* fcvtn */, AArch64::FCVTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
6966 { 641 /* fcvtn */, AArch64::FCVTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
6967 { 647 /* fcvtn2 */, AArch64::FCVTNv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
6968 { 647 /* fcvtn2 */, AArch64::FCVTNv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
6969 { 654 /* fcvtns */, AArch64::FCVTNSv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6970 { 654 /* fcvtns */, AArch64::FCVTNSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
6971 { 654 /* fcvtns */, AArch64::FCVTNSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
6972 { 654 /* fcvtns */, AArch64::FCVTNSUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
6973 { 654 /* fcvtns */, AArch64::FCVTNSUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
6974 { 654 /* fcvtns */, AArch64::FCVTNSUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
6975 { 654 /* fcvtns */, AArch64::FCVTNSUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
6976 { 654 /* fcvtns */, AArch64::FCVTNSUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
6977 { 654 /* fcvtns */, AArch64::FCVTNSUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
6978 { 654 /* fcvtns */, AArch64::FCVTNSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6979 { 654 /* fcvtns */, AArch64::FCVTNSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6980 { 654 /* fcvtns */, AArch64::FCVTNSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6981 { 654 /* fcvtns */, AArch64::FCVTNSv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6982 { 654 /* fcvtns */, AArch64::FCVTNSv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6983 { 661 /* fcvtnu */, AArch64::FCVTNUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6984 { 661 /* fcvtnu */, AArch64::FCVTNUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
6985 { 661 /* fcvtnu */, AArch64::FCVTNUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
6986 { 661 /* fcvtnu */, AArch64::FCVTNUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
6987 { 661 /* fcvtnu */, AArch64::FCVTNUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
6988 { 661 /* fcvtnu */, AArch64::FCVTNUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
6989 { 661 /* fcvtnu */, AArch64::FCVTNUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
6990 { 661 /* fcvtnu */, AArch64::FCVTNUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
6991 { 661 /* fcvtnu */, AArch64::FCVTNUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
6992 { 661 /* fcvtnu */, AArch64::FCVTNUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
6993 { 661 /* fcvtnu */, AArch64::FCVTNUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
6994 { 661 /* fcvtnu */, AArch64::FCVTNUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
6995 { 661 /* fcvtnu */, AArch64::FCVTNUv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
6996 { 661 /* fcvtnu */, AArch64::FCVTNUv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
6997 { 668 /* fcvtps */, AArch64::FCVTPSv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
6998 { 668 /* fcvtps */, AArch64::FCVTPSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
6999 { 668 /* fcvtps */, AArch64::FCVTPSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
7000 { 668 /* fcvtps */, AArch64::FCVTPSUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
7001 { 668 /* fcvtps */, AArch64::FCVTPSUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
7002 { 668 /* fcvtps */, AArch64::FCVTPSUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
7003 { 668 /* fcvtps */, AArch64::FCVTPSUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
7004 { 668 /* fcvtps */, AArch64::FCVTPSUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
7005 { 668 /* fcvtps */, AArch64::FCVTPSUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
7006 { 668 /* fcvtps */, AArch64::FCVTPSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7007 { 668 /* fcvtps */, AArch64::FCVTPSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7008 { 668 /* fcvtps */, AArch64::FCVTPSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7009 { 668 /* fcvtps */, AArch64::FCVTPSv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7010 { 668 /* fcvtps */, AArch64::FCVTPSv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7011 { 675 /* fcvtpu */, AArch64::FCVTPUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7012 { 675 /* fcvtpu */, AArch64::FCVTPUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
7013 { 675 /* fcvtpu */, AArch64::FCVTPUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
7014 { 675 /* fcvtpu */, AArch64::FCVTPUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
7015 { 675 /* fcvtpu */, AArch64::FCVTPUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
7016 { 675 /* fcvtpu */, AArch64::FCVTPUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
7017 { 675 /* fcvtpu */, AArch64::FCVTPUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
7018 { 675 /* fcvtpu */, AArch64::FCVTPUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
7019 { 675 /* fcvtpu */, AArch64::FCVTPUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
7020 { 675 /* fcvtpu */, AArch64::FCVTPUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7021 { 675 /* fcvtpu */, AArch64::FCVTPUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7022 { 675 /* fcvtpu */, AArch64::FCVTPUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7023 { 675 /* fcvtpu */, AArch64::FCVTPUv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7024 { 675 /* fcvtpu */, AArch64::FCVTPUv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7025 { 682 /* fcvtxn */, AArch64::FCVTXNv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
7026 { 682 /* fcvtxn */, AArch64::FCVTXNv2f32, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
7027 { 689 /* fcvtxn2 */, AArch64::FCVTXNv4f32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
7028 { 697 /* fcvtzs */, AArch64::FCVTZSv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7029 { 697 /* fcvtzs */, AArch64::FCVTZSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
7030 { 697 /* fcvtzs */, AArch64::FCVTZSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
7031 { 697 /* fcvtzs */, AArch64::FCVTZSUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
7032 { 697 /* fcvtzs */, AArch64::FCVTZSUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
7033 { 697 /* fcvtzs */, AArch64::FCVTZSUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
7034 { 697 /* fcvtzs */, AArch64::FCVTZSUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
7035 { 697 /* fcvtzs */, AArch64::FCVTZSUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
7036 { 697 /* fcvtzs */, AArch64::FCVTZSUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
7037 { 697 /* fcvtzs */, AArch64::FCVTZSh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
7038 { 697 /* fcvtzs */, AArch64::FCVTZSs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
7039 { 697 /* fcvtzs */, AArch64::FCVTZSd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
7040 { 697 /* fcvtzs */, AArch64::FCVTZSSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16, MCK_Imm1_32 }, },
7041 { 697 /* fcvtzs */, AArch64::FCVTZSSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32, MCK_Imm1_32 }, },
7042 { 697 /* fcvtzs */, AArch64::FCVTZSSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64, MCK_Imm1_32 }, },
7043 { 697 /* fcvtzs */, AArch64::FCVTZSSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16, MCK_Imm1_64 }, },
7044 { 697 /* fcvtzs */, AArch64::FCVTZSSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32, MCK_Imm1_64 }, },
7045 { 697 /* fcvtzs */, AArch64::FCVTZSSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64, MCK_Imm1_64 }, },
7046 { 697 /* fcvtzs */, AArch64::FCVTZSv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7047 { 697 /* fcvtzs */, AArch64::FCVTZSv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7048 { 697 /* fcvtzs */, AArch64::FCVTZSv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7049 { 697 /* fcvtzs */, AArch64::FCVTZSv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7050 { 697 /* fcvtzs */, AArch64::FCVTZSv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7051 { 697 /* fcvtzs */, AArch64::FCVTZSv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
7052 { 697 /* fcvtzs */, AArch64::FCVTZSv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
7053 { 697 /* fcvtzs */, AArch64::FCVTZSv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
7054 { 697 /* fcvtzs */, AArch64::FCVTZSv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
7055 { 697 /* fcvtzs */, AArch64::FCVTZSv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
7056 { 704 /* fcvtzu */, AArch64::FCVTZUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7057 { 704 /* fcvtzu */, AArch64::FCVTZUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
7058 { 704 /* fcvtzu */, AArch64::FCVTZUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
7059 { 704 /* fcvtzu */, AArch64::FCVTZUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
7060 { 704 /* fcvtzu */, AArch64::FCVTZUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
7061 { 704 /* fcvtzu */, AArch64::FCVTZUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
7062 { 704 /* fcvtzu */, AArch64::FCVTZUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
7063 { 704 /* fcvtzu */, AArch64::FCVTZUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
7064 { 704 /* fcvtzu */, AArch64::FCVTZUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
7065 { 704 /* fcvtzu */, AArch64::FCVTZUh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
7066 { 704 /* fcvtzu */, AArch64::FCVTZUs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
7067 { 704 /* fcvtzu */, AArch64::FCVTZUd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
7068 { 704 /* fcvtzu */, AArch64::FCVTZUSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16, MCK_Imm1_32 }, },
7069 { 704 /* fcvtzu */, AArch64::FCVTZUSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32, MCK_Imm1_32 }, },
7070 { 704 /* fcvtzu */, AArch64::FCVTZUSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64, MCK_Imm1_32 }, },
7071 { 704 /* fcvtzu */, AArch64::FCVTZUSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16, MCK_Imm1_64 }, },
7072 { 704 /* fcvtzu */, AArch64::FCVTZUSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32, MCK_Imm1_64 }, },
7073 { 704 /* fcvtzu */, AArch64::FCVTZUSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64, MCK_Imm1_64 }, },
7074 { 704 /* fcvtzu */, AArch64::FCVTZUv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7075 { 704 /* fcvtzu */, AArch64::FCVTZUv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7076 { 704 /* fcvtzu */, AArch64::FCVTZUv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7077 { 704 /* fcvtzu */, AArch64::FCVTZUv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7078 { 704 /* fcvtzu */, AArch64::FCVTZUv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7079 { 704 /* fcvtzu */, AArch64::FCVTZUv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
7080 { 704 /* fcvtzu */, AArch64::FCVTZUv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
7081 { 704 /* fcvtzu */, AArch64::FCVTZUv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
7082 { 704 /* fcvtzu */, AArch64::FCVTZUv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
7083 { 704 /* fcvtzu */, AArch64::FCVTZUv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
7084 { 711 /* fdiv */, AArch64::FDIVHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7085 { 711 /* fdiv */, AArch64::FDIVSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7086 { 711 /* fdiv */, AArch64::FDIVDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7087 { 711 /* fdiv */, AArch64::FDIVv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7088 { 711 /* fdiv */, AArch64::FDIVv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7089 { 711 /* fdiv */, AArch64::FDIVv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7090 { 711 /* fdiv */, AArch64::FDIVv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7091 { 711 /* fdiv */, AArch64::FDIVv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7092 { 716 /* fmadd */, AArch64::FMADDHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7093 { 716 /* fmadd */, AArch64::FMADDSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7094 { 716 /* fmadd */, AArch64::FMADDDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7095 { 722 /* fmax */, AArch64::FMAXHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7096 { 722 /* fmax */, AArch64::FMAXSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7097 { 722 /* fmax */, AArch64::FMAXDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7098 { 722 /* fmax */, AArch64::FMAXv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7099 { 722 /* fmax */, AArch64::FMAXv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7100 { 722 /* fmax */, AArch64::FMAXv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7101 { 722 /* fmax */, AArch64::FMAXv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7102 { 722 /* fmax */, AArch64::FMAXv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7103 { 727 /* fmaxnm */, AArch64::FMAXNMHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7104 { 727 /* fmaxnm */, AArch64::FMAXNMSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7105 { 727 /* fmaxnm */, AArch64::FMAXNMDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7106 { 727 /* fmaxnm */, AArch64::FMAXNMv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7107 { 727 /* fmaxnm */, AArch64::FMAXNMv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7108 { 727 /* fmaxnm */, AArch64::FMAXNMv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7109 { 727 /* fmaxnm */, AArch64::FMAXNMv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7110 { 727 /* fmaxnm */, AArch64::FMAXNMv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7111 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2i16p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_2h }, },
7112 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2i32p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_2s }, },
7113 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2i64p, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_2d }, },
7114 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7115 { 734 /* fmaxnmp */, AArch64::FMAXNMPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7116 { 734 /* fmaxnmp */, AArch64::FMAXNMPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7117 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7118 { 734 /* fmaxnmp */, AArch64::FMAXNMPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7119 { 742 /* fmaxnmv */, AArch64::FMAXNMVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
7120 { 742 /* fmaxnmv */, AArch64::FMAXNMVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
7121 { 742 /* fmaxnmv */, AArch64::FMAXNMVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
7122 { 750 /* fmaxp */, AArch64::FMAXPv2i16p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_2h }, },
7123 { 750 /* fmaxp */, AArch64::FMAXPv2i32p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_2s }, },
7124 { 750 /* fmaxp */, AArch64::FMAXPv2i64p, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_2d }, },
7125 { 750 /* fmaxp */, AArch64::FMAXPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7126 { 750 /* fmaxp */, AArch64::FMAXPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7127 { 750 /* fmaxp */, AArch64::FMAXPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7128 { 750 /* fmaxp */, AArch64::FMAXPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7129 { 750 /* fmaxp */, AArch64::FMAXPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7130 { 756 /* fmaxv */, AArch64::FMAXVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
7131 { 756 /* fmaxv */, AArch64::FMAXVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
7132 { 756 /* fmaxv */, AArch64::FMAXVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
7133 { 762 /* fmin */, AArch64::FMINHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7134 { 762 /* fmin */, AArch64::FMINSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7135 { 762 /* fmin */, AArch64::FMINDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7136 { 762 /* fmin */, AArch64::FMINv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7137 { 762 /* fmin */, AArch64::FMINv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7138 { 762 /* fmin */, AArch64::FMINv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7139 { 762 /* fmin */, AArch64::FMINv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7140 { 762 /* fmin */, AArch64::FMINv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7141 { 767 /* fminnm */, AArch64::FMINNMHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7142 { 767 /* fminnm */, AArch64::FMINNMSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7143 { 767 /* fminnm */, AArch64::FMINNMDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7144 { 767 /* fminnm */, AArch64::FMINNMv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7145 { 767 /* fminnm */, AArch64::FMINNMv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7146 { 767 /* fminnm */, AArch64::FMINNMv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7147 { 767 /* fminnm */, AArch64::FMINNMv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7148 { 767 /* fminnm */, AArch64::FMINNMv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7149 { 774 /* fminnmp */, AArch64::FMINNMPv2i16p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_2h }, },
7150 { 774 /* fminnmp */, AArch64::FMINNMPv2i32p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_2s }, },
7151 { 774 /* fminnmp */, AArch64::FMINNMPv2i64p, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_2d }, },
7152 { 774 /* fminnmp */, AArch64::FMINNMPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7153 { 774 /* fminnmp */, AArch64::FMINNMPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7154 { 774 /* fminnmp */, AArch64::FMINNMPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7155 { 774 /* fminnmp */, AArch64::FMINNMPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7156 { 774 /* fminnmp */, AArch64::FMINNMPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7157 { 782 /* fminnmv */, AArch64::FMINNMVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
7158 { 782 /* fminnmv */, AArch64::FMINNMVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
7159 { 782 /* fminnmv */, AArch64::FMINNMVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
7160 { 790 /* fminp */, AArch64::FMINPv2i16p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_2h }, },
7161 { 790 /* fminp */, AArch64::FMINPv2i32p, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_2s }, },
7162 { 790 /* fminp */, AArch64::FMINPv2i64p, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_2d }, },
7163 { 790 /* fminp */, AArch64::FMINPv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7164 { 790 /* fminp */, AArch64::FMINPv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7165 { 790 /* fminp */, AArch64::FMINPv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7166 { 790 /* fminp */, AArch64::FMINPv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7167 { 790 /* fminp */, AArch64::FMINPv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7168 { 796 /* fminv */, AArch64::FMINVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
7169 { 796 /* fminv */, AArch64::FMINVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
7170 { 796 /* fminv */, AArch64::FMINVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
7171 { 802 /* fmla */, AArch64::FMLAv1i16_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7172 { 802 /* fmla */, AArch64::FMLAv1i32_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7173 { 802 /* fmla */, AArch64::FMLAv1i64_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexD1_4, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7174 { 802 /* fmla */, AArch64::FMLAv2f64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7175 { 802 /* fmla */, AArch64::FMLAv4f32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7176 { 802 /* fmla */, AArch64::FMLAv8f16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7177 { 802 /* fmla */, AArch64::FMLAv2f32, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7178 { 802 /* fmla */, AArch64::FMLAv4f16, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7179 { 802 /* fmla */, AArch64::FMLAv2i64_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexD1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7180 { 802 /* fmla */, AArch64::FMLAv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7181 { 802 /* fmla */, AArch64::FMLAv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7182 { 802 /* fmla */, AArch64::FMLAv2i32_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7183 { 802 /* fmla */, AArch64::FMLAv4i16_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7184 { 807 /* fmls */, AArch64::FMLSv1i16_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7185 { 807 /* fmls */, AArch64::FMLSv1i32_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7186 { 807 /* fmls */, AArch64::FMLSv1i64_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexD1_4, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7187 { 807 /* fmls */, AArch64::FMLSv2f64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7188 { 807 /* fmls */, AArch64::FMLSv4f32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7189 { 807 /* fmls */, AArch64::FMLSv8f16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7190 { 807 /* fmls */, AArch64::FMLSv2f32, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7191 { 807 /* fmls */, AArch64::FMLSv4f16, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7192 { 807 /* fmls */, AArch64::FMLSv2i64_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexD1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7193 { 807 /* fmls */, AArch64::FMLSv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7194 { 807 /* fmls */, AArch64::FMLSv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7195 { 807 /* fmls */, AArch64::FMLSv2i32_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7196 { 807 /* fmls */, AArch64::FMLSv4i16_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7197 { 812 /* fmov */, AArch64::FMOVHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7198 { 812 /* fmov */, AArch64::FMOVWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
7199 { 812 /* fmov */, AArch64::FMOVXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
7200 { 812 /* fmov */, AArch64::FMOVHi, Convert__Reg1_0__FPImm1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPImm }, },
7201 { 812 /* fmov */, AArch64::FMOVSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7202 { 812 /* fmov */, AArch64::FMOVWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
7203 { 812 /* fmov */, AArch64::FMOVSi, Convert__Reg1_0__FPImm1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPImm }, },
7204 { 812 /* fmov */, AArch64::FMOVDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7205 { 812 /* fmov */, AArch64::FMOVXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
7206 { 812 /* fmov */, AArch64::FMOVDi, Convert__Reg1_0__FPImm1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPImm }, },
7207 { 812 /* fmov */, AArch64::FMOVHWr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
7208 { 812 /* fmov */, AArch64::FMOVSWr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
7209 { 812 /* fmov */, AArch64::FMOVHXr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
7210 { 812 /* fmov */, AArch64::FMOVDXr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
7211 { 812 /* fmov */, AArch64::FMOVv2f64_ns, Convert__VectorReg1281_0__FPImm1_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_FPImm }, },
7212 { 812 /* fmov */, AArch64::FMOVv4f32_ns, Convert__VectorReg1281_0__FPImm1_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_FPImm }, },
7213 { 812 /* fmov */, AArch64::FMOVv8f16_ns, Convert__VectorReg1281_0__FPImm1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_FPImm }, },
7214 { 812 /* fmov */, AArch64::FMOVv2f32_ns, Convert__VectorReg641_0__FPImm1_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_FPImm }, },
7215 { 812 /* fmov */, AArch64::FMOVv4f16_ns, Convert__VectorReg641_0__FPImm1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_FPImm }, },
7216 { 812 /* fmov */, AArch64::FMOVDXHighr, Convert__Reg1_0__VectorReg1281_1__VectorIndex11_3, Feature_HasFPARMv8, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndex1 }, },
7217 { 812 /* fmov */, AArch64::FMOVXDHighr, Convert__VectorReg1281_0__Reg1_3__VectorIndex11_2, Feature_HasFPARMv8, { MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndex1, MCK_GPR64 }, },
7218 { 817 /* fmsub */, AArch64::FMSUBHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7219 { 817 /* fmsub */, AArch64::FMSUBSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7220 { 817 /* fmsub */, AArch64::FMSUBDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7221 { 823 /* fmul */, AArch64::FMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7222 { 823 /* fmul */, AArch64::FMULSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7223 { 823 /* fmul */, AArch64::FMULDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7224 { 823 /* fmul */, AArch64::FMULv1i16_indexed, Convert__Reg1_0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7225 { 823 /* fmul */, AArch64::FMULv1i32_indexed, Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7226 { 823 /* fmul */, AArch64::FMULv1i64_indexed, Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexD1_4, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7227 { 823 /* fmul */, AArch64::FMULv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7228 { 823 /* fmul */, AArch64::FMULv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7229 { 823 /* fmul */, AArch64::FMULv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7230 { 823 /* fmul */, AArch64::FMULv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7231 { 823 /* fmul */, AArch64::FMULv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7232 { 823 /* fmul */, AArch64::FMULv2i64_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexD1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7233 { 823 /* fmul */, AArch64::FMULv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7234 { 823 /* fmul */, AArch64::FMULv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7235 { 823 /* fmul */, AArch64::FMULv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7236 { 823 /* fmul */, AArch64::FMULv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7237 { 828 /* fmulx */, AArch64::FMULX16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7238 { 828 /* fmulx */, AArch64::FMULX32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7239 { 828 /* fmulx */, AArch64::FMULX64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7240 { 828 /* fmulx */, AArch64::FMULXv1i16_indexed, Convert__Reg1_0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7241 { 828 /* fmulx */, AArch64::FMULXv1i32_indexed, Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7242 { 828 /* fmulx */, AArch64::FMULXv1i64_indexed, Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexD1_4, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7243 { 828 /* fmulx */, AArch64::FMULXv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7244 { 828 /* fmulx */, AArch64::FMULXv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7245 { 828 /* fmulx */, AArch64::FMULXv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7246 { 828 /* fmulx */, AArch64::FMULXv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7247 { 828 /* fmulx */, AArch64::FMULXv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7248 { 828 /* fmulx */, AArch64::FMULXv2i64_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexD1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7249 { 828 /* fmulx */, AArch64::FMULXv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7250 { 828 /* fmulx */, AArch64::FMULXv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7251 { 828 /* fmulx */, AArch64::FMULXv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7252 { 828 /* fmulx */, AArch64::FMULXv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
7253 { 834 /* fneg */, AArch64::FNEGHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7254 { 834 /* fneg */, AArch64::FNEGSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7255 { 834 /* fneg */, AArch64::FNEGDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7256 { 834 /* fneg */, AArch64::FNEGv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7257 { 834 /* fneg */, AArch64::FNEGv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7258 { 834 /* fneg */, AArch64::FNEGv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7259 { 834 /* fneg */, AArch64::FNEGv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7260 { 834 /* fneg */, AArch64::FNEGv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7261 { 839 /* fnmadd */, AArch64::FNMADDHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7262 { 839 /* fnmadd */, AArch64::FNMADDSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7263 { 839 /* fnmadd */, AArch64::FNMADDDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7264 { 846 /* fnmsub */, AArch64::FNMSUBHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7265 { 846 /* fnmsub */, AArch64::FNMSUBSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7266 { 846 /* fnmsub */, AArch64::FNMSUBDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7267 { 853 /* fnmul */, AArch64::FNMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7268 { 853 /* fnmul */, AArch64::FNMULSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7269 { 853 /* fnmul */, AArch64::FNMULDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7270 { 859 /* frecpe */, AArch64::FRECPEv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7271 { 859 /* frecpe */, AArch64::FRECPEv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
7272 { 859 /* frecpe */, AArch64::FRECPEv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
7273 { 859 /* frecpe */, AArch64::FRECPEv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7274 { 859 /* frecpe */, AArch64::FRECPEv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7275 { 859 /* frecpe */, AArch64::FRECPEv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7276 { 859 /* frecpe */, AArch64::FRECPEv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7277 { 859 /* frecpe */, AArch64::FRECPEv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7278 { 866 /* frecps */, AArch64::FRECPS16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7279 { 866 /* frecps */, AArch64::FRECPS32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7280 { 866 /* frecps */, AArch64::FRECPS64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7281 { 866 /* frecps */, AArch64::FRECPSv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7282 { 866 /* frecps */, AArch64::FRECPSv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7283 { 866 /* frecps */, AArch64::FRECPSv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7284 { 866 /* frecps */, AArch64::FRECPSv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7285 { 866 /* frecps */, AArch64::FRECPSv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7286 { 873 /* frecpx */, AArch64::FRECPXv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7287 { 873 /* frecpx */, AArch64::FRECPXv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
7288 { 873 /* frecpx */, AArch64::FRECPXv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
7289 { 880 /* frinta */, AArch64::FRINTAHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7290 { 880 /* frinta */, AArch64::FRINTASr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7291 { 880 /* frinta */, AArch64::FRINTADr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7292 { 880 /* frinta */, AArch64::FRINTAv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7293 { 880 /* frinta */, AArch64::FRINTAv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7294 { 880 /* frinta */, AArch64::FRINTAv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7295 { 880 /* frinta */, AArch64::FRINTAv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7296 { 880 /* frinta */, AArch64::FRINTAv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7297 { 887 /* frinti */, AArch64::FRINTIHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7298 { 887 /* frinti */, AArch64::FRINTISr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7299 { 887 /* frinti */, AArch64::FRINTIDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7300 { 887 /* frinti */, AArch64::FRINTIv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7301 { 887 /* frinti */, AArch64::FRINTIv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7302 { 887 /* frinti */, AArch64::FRINTIv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7303 { 887 /* frinti */, AArch64::FRINTIv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7304 { 887 /* frinti */, AArch64::FRINTIv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7305 { 894 /* frintm */, AArch64::FRINTMHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7306 { 894 /* frintm */, AArch64::FRINTMSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7307 { 894 /* frintm */, AArch64::FRINTMDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7308 { 894 /* frintm */, AArch64::FRINTMv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7309 { 894 /* frintm */, AArch64::FRINTMv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7310 { 894 /* frintm */, AArch64::FRINTMv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7311 { 894 /* frintm */, AArch64::FRINTMv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7312 { 894 /* frintm */, AArch64::FRINTMv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7313 { 901 /* frintn */, AArch64::FRINTNHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7314 { 901 /* frintn */, AArch64::FRINTNSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7315 { 901 /* frintn */, AArch64::FRINTNDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7316 { 901 /* frintn */, AArch64::FRINTNv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7317 { 901 /* frintn */, AArch64::FRINTNv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7318 { 901 /* frintn */, AArch64::FRINTNv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7319 { 901 /* frintn */, AArch64::FRINTNv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7320 { 901 /* frintn */, AArch64::FRINTNv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7321 { 908 /* frintp */, AArch64::FRINTPHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7322 { 908 /* frintp */, AArch64::FRINTPSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7323 { 908 /* frintp */, AArch64::FRINTPDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7324 { 908 /* frintp */, AArch64::FRINTPv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7325 { 908 /* frintp */, AArch64::FRINTPv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7326 { 908 /* frintp */, AArch64::FRINTPv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7327 { 908 /* frintp */, AArch64::FRINTPv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7328 { 908 /* frintp */, AArch64::FRINTPv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7329 { 915 /* frintx */, AArch64::FRINTXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7330 { 915 /* frintx */, AArch64::FRINTXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7331 { 915 /* frintx */, AArch64::FRINTXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7332 { 915 /* frintx */, AArch64::FRINTXv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7333 { 915 /* frintx */, AArch64::FRINTXv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7334 { 915 /* frintx */, AArch64::FRINTXv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7335 { 915 /* frintx */, AArch64::FRINTXv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7336 { 915 /* frintx */, AArch64::FRINTXv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7337 { 922 /* frintz */, AArch64::FRINTZHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7338 { 922 /* frintz */, AArch64::FRINTZSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7339 { 922 /* frintz */, AArch64::FRINTZDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7340 { 922 /* frintz */, AArch64::FRINTZv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7341 { 922 /* frintz */, AArch64::FRINTZv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7342 { 922 /* frintz */, AArch64::FRINTZv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7343 { 922 /* frintz */, AArch64::FRINTZv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7344 { 922 /* frintz */, AArch64::FRINTZv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7345 { 929 /* frsqrte */, AArch64::FRSQRTEv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7346 { 929 /* frsqrte */, AArch64::FRSQRTEv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
7347 { 929 /* frsqrte */, AArch64::FRSQRTEv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
7348 { 929 /* frsqrte */, AArch64::FRSQRTEv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7349 { 929 /* frsqrte */, AArch64::FRSQRTEv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7350 { 929 /* frsqrte */, AArch64::FRSQRTEv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7351 { 929 /* frsqrte */, AArch64::FRSQRTEv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7352 { 929 /* frsqrte */, AArch64::FRSQRTEv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7353 { 937 /* frsqrts */, AArch64::FRSQRTS16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7354 { 937 /* frsqrts */, AArch64::FRSQRTS32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7355 { 937 /* frsqrts */, AArch64::FRSQRTS64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7356 { 937 /* frsqrts */, AArch64::FRSQRTSv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7357 { 937 /* frsqrts */, AArch64::FRSQRTSv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7358 { 937 /* frsqrts */, AArch64::FRSQRTSv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7359 { 937 /* frsqrts */, AArch64::FRSQRTSv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7360 { 937 /* frsqrts */, AArch64::FRSQRTSv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7361 { 945 /* fsqrt */, AArch64::FSQRTHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
7362 { 945 /* fsqrt */, AArch64::FSQRTSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
7363 { 945 /* fsqrt */, AArch64::FSQRTDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
7364 { 945 /* fsqrt */, AArch64::FSQRTv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7365 { 945 /* fsqrt */, AArch64::FSQRTv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7366 { 945 /* fsqrt */, AArch64::FSQRTv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7367 { 945 /* fsqrt */, AArch64::FSQRTv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7368 { 945 /* fsqrt */, AArch64::FSQRTv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7369 { 951 /* fsub */, AArch64::FSUBHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
7370 { 951 /* fsub */, AArch64::FSUBSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
7371 { 951 /* fsub */, AArch64::FSUBDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
7372 { 951 /* fsub */, AArch64::FSUBv2f64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
7373 { 951 /* fsub */, AArch64::FSUBv4f32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
7374 { 951 /* fsub */, AArch64::FSUBv8f16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
7375 { 951 /* fsub */, AArch64::FSUBv2f32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
7376 { 951 /* fsub */, AArch64::FSUBv4f16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
7377 { 956 /* hint */, AArch64::HINT, Convert__Imm0_1271_0, 0, { MCK_Imm0_127 }, },
7378 { 961 /* hlt */, AArch64::HLT, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
7379 { 965 /* hvc */, AArch64::HVC, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
7380 { 969 /* ins */, AArch64::INSvi8gpr, Convert__VectorReg1281_0__Tie0__VectorIndexB1_2__Reg1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB, MCK_GPR32 }, },
7381 { 969 /* ins */, AArch64::INSvi64gpr, Convert__VectorReg1281_0__Tie0__VectorIndexD1_2__Reg1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD, MCK_GPR64 }, },
7382 { 969 /* ins */, AArch64::INSvi16gpr, Convert__VectorReg1281_0__Tie0__VectorIndexH1_2__Reg1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH, MCK_GPR32 }, },
7383 { 969 /* ins */, AArch64::INSvi32gpr, Convert__VectorReg1281_0__Tie0__VectorIndexS1_2__Reg1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS, MCK_GPR32 }, },
7384 { 969 /* ins */, AArch64::INSvi8lane, Convert__VectorReg1281_0__Tie0__VectorIndexB1_2__VectorReg1281_3__VectorIndexB1_5, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
7385 { 969 /* ins */, AArch64::INSvi64lane, Convert__VectorReg1281_0__Tie0__VectorIndexD1_2__VectorReg1281_3__VectorIndexD1_5, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
7386 { 969 /* ins */, AArch64::INSvi16lane, Convert__VectorReg1281_0__Tie0__VectorIndexH1_2__VectorReg1281_3__VectorIndexH1_5, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
7387 { 969 /* ins */, AArch64::INSvi32lane, Convert__VectorReg1281_0__Tie0__VectorIndexS1_2__VectorReg1281_3__VectorIndexS1_5, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
7388 { 973 /* isb */, AArch64::ISB, Convert__imm_95_15, 0, { }, },
7389 { 973 /* isb */, AArch64::ISB, Convert__Barrier1_0, 0, { MCK_Barrier }, },
7390 { 977 /* ld1 */, AArch64::LD1Fourv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7391 { 977 /* ld1 */, AArch64::LD1Fourv1d, Convert__TypedVectorList4_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7392 { 977 /* ld1 */, AArch64::LD1Fourv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7393 { 977 /* ld1 */, AArch64::LD1Fourv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7394 { 977 /* ld1 */, AArch64::LD1Fourv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7395 { 977 /* ld1 */, AArch64::LD1Fourv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7396 { 977 /* ld1 */, AArch64::LD1Fourv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7397 { 977 /* ld1 */, AArch64::LD1Fourv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7398 { 977 /* ld1 */, AArch64::LD1Onev16b, Convert__TypedVectorList1_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7399 { 977 /* ld1 */, AArch64::LD1Onev1d, Convert__TypedVectorList1_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7400 { 977 /* ld1 */, AArch64::LD1Onev2d, Convert__TypedVectorList1_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7401 { 977 /* ld1 */, AArch64::LD1Onev2s, Convert__TypedVectorList1_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7402 { 977 /* ld1 */, AArch64::LD1Onev4h, Convert__TypedVectorList1_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7403 { 977 /* ld1 */, AArch64::LD1Onev4s, Convert__TypedVectorList1_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7404 { 977 /* ld1 */, AArch64::LD1Onev8b, Convert__TypedVectorList1_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7405 { 977 /* ld1 */, AArch64::LD1Onev8h, Convert__TypedVectorList1_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7406 { 977 /* ld1 */, AArch64::LD1Threev16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7407 { 977 /* ld1 */, AArch64::LD1Threev1d, Convert__TypedVectorList3_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7408 { 977 /* ld1 */, AArch64::LD1Threev2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7409 { 977 /* ld1 */, AArch64::LD1Threev2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7410 { 977 /* ld1 */, AArch64::LD1Threev4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7411 { 977 /* ld1 */, AArch64::LD1Threev4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7412 { 977 /* ld1 */, AArch64::LD1Threev8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7413 { 977 /* ld1 */, AArch64::LD1Threev8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7414 { 977 /* ld1 */, AArch64::LD1Twov16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7415 { 977 /* ld1 */, AArch64::LD1Twov1d, Convert__TypedVectorList2_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7416 { 977 /* ld1 */, AArch64::LD1Twov2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7417 { 977 /* ld1 */, AArch64::LD1Twov2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7418 { 977 /* ld1 */, AArch64::LD1Twov4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7419 { 977 /* ld1 */, AArch64::LD1Twov4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7420 { 977 /* ld1 */, AArch64::LD1Twov8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7421 { 977 /* ld1 */, AArch64::LD1Twov8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7422 { 977 /* ld1 */, AArch64::LD1Fourv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7423 { 977 /* ld1 */, AArch64::LD1Onev16b, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7424 { 977 /* ld1 */, AArch64::LD1Threev16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7425 { 977 /* ld1 */, AArch64::LD1Twov16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7426 { 977 /* ld1 */, AArch64::LD1Fourv1d, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7427 { 977 /* ld1 */, AArch64::LD1Onev1d, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7428 { 977 /* ld1 */, AArch64::LD1Threev1d, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7429 { 977 /* ld1 */, AArch64::LD1Twov1d, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7430 { 977 /* ld1 */, AArch64::LD1Fourv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7431 { 977 /* ld1 */, AArch64::LD1Onev2d, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7432 { 977 /* ld1 */, AArch64::LD1Threev2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7433 { 977 /* ld1 */, AArch64::LD1Twov2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7434 { 977 /* ld1 */, AArch64::LD1Fourv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7435 { 977 /* ld1 */, AArch64::LD1Onev2s, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7436 { 977 /* ld1 */, AArch64::LD1Threev2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7437 { 977 /* ld1 */, AArch64::LD1Twov2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7438 { 977 /* ld1 */, AArch64::LD1Fourv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7439 { 977 /* ld1 */, AArch64::LD1Onev4h, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7440 { 977 /* ld1 */, AArch64::LD1Threev4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7441 { 977 /* ld1 */, AArch64::LD1Twov4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7442 { 977 /* ld1 */, AArch64::LD1Fourv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7443 { 977 /* ld1 */, AArch64::LD1Onev4s, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7444 { 977 /* ld1 */, AArch64::LD1Threev4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7445 { 977 /* ld1 */, AArch64::LD1Twov4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7446 { 977 /* ld1 */, AArch64::LD1Fourv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7447 { 977 /* ld1 */, AArch64::LD1Onev8b, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7448 { 977 /* ld1 */, AArch64::LD1Threev8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7449 { 977 /* ld1 */, AArch64::LD1Twov8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7450 { 977 /* ld1 */, AArch64::LD1Fourv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7451 { 977 /* ld1 */, AArch64::LD1Onev8h, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7452 { 977 /* ld1 */, AArch64::LD1Threev8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7453 { 977 /* ld1 */, AArch64::LD1Twov8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7454 { 977 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7455 { 977 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7456 { 977 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7457 { 977 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7458 { 977 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7459 { 977 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7460 { 977 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7461 { 977 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7462 { 977 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7463 { 977 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7464 { 977 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7465 { 977 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7466 { 977 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7467 { 977 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7468 { 977 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7469 { 977 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7470 { 977 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7471 { 977 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7472 { 977 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7473 { 977 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7474 { 977 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7475 { 977 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7476 { 977 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7477 { 977 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7478 { 977 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7479 { 977 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7480 { 977 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7481 { 977 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7482 { 977 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7483 { 977 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7484 { 977 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7485 { 977 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7486 { 977 /* ld1 */, AArch64::LD1i8, Convert__TypedVectorList1_0b1_0__Tie0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7487 { 977 /* ld1 */, AArch64::LD1i64, Convert__TypedVectorList1_0d1_0__Tie0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7488 { 977 /* ld1 */, AArch64::LD1i16, Convert__TypedVectorList1_0h1_0__Tie0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7489 { 977 /* ld1 */, AArch64::LD1i32, Convert__TypedVectorList1_0s1_0__Tie0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7490 { 977 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7491 { 977 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7492 { 977 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7493 { 977 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7494 { 977 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7495 { 977 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7496 { 977 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7497 { 977 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7498 { 977 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7499 { 977 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7500 { 977 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7501 { 977 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7502 { 977 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7503 { 977 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7504 { 977 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7505 { 977 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7506 { 977 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7507 { 977 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7508 { 977 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7509 { 977 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7510 { 977 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7511 { 977 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7512 { 977 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7513 { 977 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7514 { 977 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7515 { 977 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7516 { 977 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7517 { 977 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7518 { 977 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7519 { 977 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7520 { 977 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7521 { 977 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7522 { 977 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7523 { 977 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7524 { 977 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7525 { 977 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7526 { 977 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7527 { 977 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7528 { 977 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7529 { 977 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7530 { 977 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7531 { 977 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7532 { 977 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7533 { 977 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7534 { 977 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7535 { 977 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7536 { 977 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7537 { 977 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7538 { 977 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7539 { 977 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7540 { 977 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7541 { 977 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7542 { 977 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7543 { 977 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7544 { 977 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7545 { 977 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7546 { 977 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7547 { 977 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7548 { 977 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7549 { 977 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7550 { 977 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7551 { 977 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7552 { 977 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7553 { 977 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7554 { 977 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7555 { 977 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7556 { 977 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7557 { 977 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7558 { 977 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7559 { 977 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7560 { 977 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7561 { 977 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7562 { 977 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7563 { 977 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7564 { 977 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7565 { 977 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7566 { 977 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7567 { 977 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7568 { 977 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7569 { 977 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7570 { 977 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7571 { 977 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7572 { 977 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7573 { 977 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7574 { 977 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7575 { 977 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7576 { 977 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7577 { 977 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7578 { 977 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7579 { 977 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7580 { 977 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7581 { 977 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7582 { 977 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7583 { 977 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7584 { 977 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7585 { 977 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7586 { 977 /* ld1 */, AArch64::LD1i8, Convert__VecListOne1281_1__Tie0__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7587 { 977 /* ld1 */, AArch64::LD1i64, Convert__VecListOne1281_1__Tie0__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7588 { 977 /* ld1 */, AArch64::LD1i16, Convert__VecListOne1281_1__Tie0__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7589 { 977 /* ld1 */, AArch64::LD1i32, Convert__VecListOne1281_1__Tie0__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7590 { 977 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_3__TypedVectorList1_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
7591 { 977 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_3__TypedVectorList1_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7592 { 977 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_3__TypedVectorList1_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7593 { 977 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_3__TypedVectorList1_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7594 { 977 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_3__TypedVectorList1_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7595 { 977 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_3__TypedVectorList1_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7596 { 977 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_3__TypedVectorList1_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7597 { 977 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_3__TypedVectorList1_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7598 { 977 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
7599 { 977 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7600 { 977 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7601 { 977 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7602 { 977 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7603 { 977 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7604 { 977 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7605 { 977 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7606 { 981 /* ld1r */, AArch64::LD1Rv16b, Convert__TypedVectorList1_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7607 { 981 /* ld1r */, AArch64::LD1Rv1d, Convert__TypedVectorList1_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7608 { 981 /* ld1r */, AArch64::LD1Rv2d, Convert__TypedVectorList1_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7609 { 981 /* ld1r */, AArch64::LD1Rv2s, Convert__TypedVectorList1_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7610 { 981 /* ld1r */, AArch64::LD1Rv4h, Convert__TypedVectorList1_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7611 { 981 /* ld1r */, AArch64::LD1Rv4s, Convert__TypedVectorList1_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7612 { 981 /* ld1r */, AArch64::LD1Rv8b, Convert__TypedVectorList1_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7613 { 981 /* ld1r */, AArch64::LD1Rv8h, Convert__TypedVectorList1_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7614 { 981 /* ld1r */, AArch64::LD1Rv16b, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7615 { 981 /* ld1r */, AArch64::LD1Rv1d, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7616 { 981 /* ld1r */, AArch64::LD1Rv2d, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7617 { 981 /* ld1r */, AArch64::LD1Rv2s, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7618 { 981 /* ld1r */, AArch64::LD1Rv4h, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7619 { 981 /* ld1r */, AArch64::LD1Rv4s, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7620 { 981 /* ld1r */, AArch64::LD1Rv8b, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7621 { 981 /* ld1r */, AArch64::LD1Rv8h, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7622 { 981 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
7623 { 981 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7624 { 981 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7625 { 981 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7626 { 981 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7627 { 981 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7628 { 981 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7629 { 981 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7630 { 981 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7631 { 981 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7632 { 981 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7633 { 981 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7634 { 981 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
7635 { 981 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7636 { 981 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7637 { 981 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7638 { 981 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
7639 { 981 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7640 { 981 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7641 { 981 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7642 { 981 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7643 { 981 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7644 { 981 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7645 { 981 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7646 { 981 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7647 { 981 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7648 { 981 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7649 { 981 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7650 { 981 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
7651 { 981 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7652 { 981 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7653 { 981 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7654 { 986 /* ld2 */, AArch64::LD2Twov16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7655 { 986 /* ld2 */, AArch64::LD2Twov2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7656 { 986 /* ld2 */, AArch64::LD2Twov2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7657 { 986 /* ld2 */, AArch64::LD2Twov4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7658 { 986 /* ld2 */, AArch64::LD2Twov4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7659 { 986 /* ld2 */, AArch64::LD2Twov8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7660 { 986 /* ld2 */, AArch64::LD2Twov8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7661 { 986 /* ld2 */, AArch64::LD2Twov16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7662 { 986 /* ld2 */, AArch64::LD2Twov2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7663 { 986 /* ld2 */, AArch64::LD2Twov2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7664 { 986 /* ld2 */, AArch64::LD2Twov4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7665 { 986 /* ld2 */, AArch64::LD2Twov4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7666 { 986 /* ld2 */, AArch64::LD2Twov8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7667 { 986 /* ld2 */, AArch64::LD2Twov8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7668 { 986 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7669 { 986 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7670 { 986 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7671 { 986 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7672 { 986 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7673 { 986 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7674 { 986 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7675 { 986 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7676 { 986 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7677 { 986 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7678 { 986 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7679 { 986 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7680 { 986 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7681 { 986 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7682 { 986 /* ld2 */, AArch64::LD2i8, Convert__TypedVectorList2_0b1_0__Tie0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7683 { 986 /* ld2 */, AArch64::LD2i64, Convert__TypedVectorList2_0d1_0__Tie0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7684 { 986 /* ld2 */, AArch64::LD2i16, Convert__TypedVectorList2_0h1_0__Tie0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7685 { 986 /* ld2 */, AArch64::LD2i32, Convert__TypedVectorList2_0s1_0__Tie0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7686 { 986 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7687 { 986 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7688 { 986 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7689 { 986 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7690 { 986 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7691 { 986 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7692 { 986 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7693 { 986 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7694 { 986 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7695 { 986 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7696 { 986 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7697 { 986 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7698 { 986 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7699 { 986 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7700 { 986 /* ld2 */, AArch64::LD2i8, Convert__VecListTwo1281_1__Tie0__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7701 { 986 /* ld2 */, AArch64::LD2i64, Convert__VecListTwo1281_1__Tie0__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7702 { 986 /* ld2 */, AArch64::LD2i16, Convert__VecListTwo1281_1__Tie0__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7703 { 986 /* ld2 */, AArch64::LD2i32, Convert__VecListTwo1281_1__Tie0__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7704 { 986 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_3__TypedVectorList2_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7705 { 986 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_3__TypedVectorList2_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7706 { 986 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_3__TypedVectorList2_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7707 { 986 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_3__TypedVectorList2_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7708 { 986 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_3__TypedVectorList2_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7709 { 986 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_3__TypedVectorList2_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7710 { 986 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_3__TypedVectorList2_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7711 { 986 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_3__TypedVectorList2_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7712 { 986 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7713 { 986 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7714 { 986 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7715 { 986 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7716 { 986 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7717 { 986 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7718 { 986 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7719 { 986 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7720 { 990 /* ld2r */, AArch64::LD2Rv16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7721 { 990 /* ld2r */, AArch64::LD2Rv1d, Convert__TypedVectorList2_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7722 { 990 /* ld2r */, AArch64::LD2Rv2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7723 { 990 /* ld2r */, AArch64::LD2Rv2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7724 { 990 /* ld2r */, AArch64::LD2Rv4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7725 { 990 /* ld2r */, AArch64::LD2Rv4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7726 { 990 /* ld2r */, AArch64::LD2Rv8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7727 { 990 /* ld2r */, AArch64::LD2Rv8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7728 { 990 /* ld2r */, AArch64::LD2Rv16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7729 { 990 /* ld2r */, AArch64::LD2Rv1d, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7730 { 990 /* ld2r */, AArch64::LD2Rv2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7731 { 990 /* ld2r */, AArch64::LD2Rv2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7732 { 990 /* ld2r */, AArch64::LD2Rv4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7733 { 990 /* ld2r */, AArch64::LD2Rv4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7734 { 990 /* ld2r */, AArch64::LD2Rv8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7735 { 990 /* ld2r */, AArch64::LD2Rv8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7736 { 990 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7737 { 990 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7738 { 990 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7739 { 990 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7740 { 990 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7741 { 990 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7742 { 990 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7743 { 990 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7744 { 990 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7745 { 990 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7746 { 990 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7747 { 990 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7748 { 990 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7749 { 990 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7750 { 990 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7751 { 990 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7752 { 990 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7753 { 990 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7754 { 990 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7755 { 990 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7756 { 990 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7757 { 990 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7758 { 990 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7759 { 990 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7760 { 990 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7761 { 990 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7762 { 990 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7763 { 990 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7764 { 990 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
7765 { 990 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7766 { 990 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7767 { 990 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7768 { 995 /* ld3 */, AArch64::LD3Threev16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7769 { 995 /* ld3 */, AArch64::LD3Threev2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7770 { 995 /* ld3 */, AArch64::LD3Threev2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7771 { 995 /* ld3 */, AArch64::LD3Threev4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7772 { 995 /* ld3 */, AArch64::LD3Threev4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7773 { 995 /* ld3 */, AArch64::LD3Threev8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7774 { 995 /* ld3 */, AArch64::LD3Threev8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7775 { 995 /* ld3 */, AArch64::LD3Threev16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7776 { 995 /* ld3 */, AArch64::LD3Threev2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7777 { 995 /* ld3 */, AArch64::LD3Threev2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7778 { 995 /* ld3 */, AArch64::LD3Threev4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7779 { 995 /* ld3 */, AArch64::LD3Threev4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7780 { 995 /* ld3 */, AArch64::LD3Threev8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7781 { 995 /* ld3 */, AArch64::LD3Threev8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7782 { 995 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7783 { 995 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7784 { 995 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7785 { 995 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7786 { 995 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7787 { 995 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7788 { 995 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7789 { 995 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7790 { 995 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7791 { 995 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7792 { 995 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7793 { 995 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7794 { 995 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7795 { 995 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7796 { 995 /* ld3 */, AArch64::LD3i8, Convert__TypedVectorList3_0b1_0__Tie0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7797 { 995 /* ld3 */, AArch64::LD3i64, Convert__TypedVectorList3_0d1_0__Tie0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7798 { 995 /* ld3 */, AArch64::LD3i16, Convert__TypedVectorList3_0h1_0__Tie0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7799 { 995 /* ld3 */, AArch64::LD3i32, Convert__TypedVectorList3_0s1_0__Tie0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7800 { 995 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7801 { 995 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7802 { 995 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7803 { 995 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7804 { 995 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7805 { 995 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7806 { 995 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7807 { 995 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7808 { 995 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7809 { 995 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7810 { 995 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7811 { 995 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7812 { 995 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
7813 { 995 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7814 { 995 /* ld3 */, AArch64::LD3i8, Convert__VecListThree1281_1__Tie0__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7815 { 995 /* ld3 */, AArch64::LD3i64, Convert__VecListThree1281_1__Tie0__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7816 { 995 /* ld3 */, AArch64::LD3i16, Convert__VecListThree1281_1__Tie0__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7817 { 995 /* ld3 */, AArch64::LD3i32, Convert__VecListThree1281_1__Tie0__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7818 { 995 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_3__TypedVectorList3_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
7819 { 995 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_3__TypedVectorList3_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7820 { 995 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_3__TypedVectorList3_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7821 { 995 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_3__TypedVectorList3_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7822 { 995 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_3__TypedVectorList3_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
7823 { 995 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_3__TypedVectorList3_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7824 { 995 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_3__TypedVectorList3_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
7825 { 995 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_3__TypedVectorList3_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7826 { 995 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
7827 { 995 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7828 { 995 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7829 { 995 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7830 { 995 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
7831 { 995 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7832 { 995 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
7833 { 995 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7834 { 999 /* ld3r */, AArch64::LD3Rv16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7835 { 999 /* ld3r */, AArch64::LD3Rv1d, Convert__TypedVectorList3_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7836 { 999 /* ld3r */, AArch64::LD3Rv2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7837 { 999 /* ld3r */, AArch64::LD3Rv2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7838 { 999 /* ld3r */, AArch64::LD3Rv4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7839 { 999 /* ld3r */, AArch64::LD3Rv4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7840 { 999 /* ld3r */, AArch64::LD3Rv8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7841 { 999 /* ld3r */, AArch64::LD3Rv8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7842 { 999 /* ld3r */, AArch64::LD3Rv16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7843 { 999 /* ld3r */, AArch64::LD3Rv1d, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7844 { 999 /* ld3r */, AArch64::LD3Rv2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7845 { 999 /* ld3r */, AArch64::LD3Rv2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7846 { 999 /* ld3r */, AArch64::LD3Rv4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7847 { 999 /* ld3r */, AArch64::LD3Rv4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7848 { 999 /* ld3r */, AArch64::LD3Rv8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7849 { 999 /* ld3r */, AArch64::LD3Rv8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7850 { 999 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
7851 { 999 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7852 { 999 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7853 { 999 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7854 { 999 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7855 { 999 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7856 { 999 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
7857 { 999 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7858 { 999 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
7859 { 999 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7860 { 999 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
7861 { 999 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7862 { 999 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
7863 { 999 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7864 { 999 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
7865 { 999 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7866 { 999 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
7867 { 999 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7868 { 999 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7869 { 999 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7870 { 999 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
7871 { 999 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7872 { 999 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
7873 { 999 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7874 { 999 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
7875 { 999 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7876 { 999 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
7877 { 999 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7878 { 999 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
7879 { 999 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7880 { 999 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
7881 { 999 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7882 { 1004 /* ld4 */, AArch64::LD4Fourv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7883 { 1004 /* ld4 */, AArch64::LD4Fourv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7884 { 1004 /* ld4 */, AArch64::LD4Fourv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7885 { 1004 /* ld4 */, AArch64::LD4Fourv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7886 { 1004 /* ld4 */, AArch64::LD4Fourv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7887 { 1004 /* ld4 */, AArch64::LD4Fourv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7888 { 1004 /* ld4 */, AArch64::LD4Fourv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7889 { 1004 /* ld4 */, AArch64::LD4Fourv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7890 { 1004 /* ld4 */, AArch64::LD4Fourv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7891 { 1004 /* ld4 */, AArch64::LD4Fourv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7892 { 1004 /* ld4 */, AArch64::LD4Fourv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7893 { 1004 /* ld4 */, AArch64::LD4Fourv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7894 { 1004 /* ld4 */, AArch64::LD4Fourv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7895 { 1004 /* ld4 */, AArch64::LD4Fourv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7896 { 1004 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7897 { 1004 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7898 { 1004 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7899 { 1004 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7900 { 1004 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7901 { 1004 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7902 { 1004 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7903 { 1004 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7904 { 1004 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7905 { 1004 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7906 { 1004 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7907 { 1004 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7908 { 1004 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7909 { 1004 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7910 { 1004 /* ld4 */, AArch64::LD4i8, Convert__TypedVectorList4_0b1_0__Tie0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7911 { 1004 /* ld4 */, AArch64::LD4i64, Convert__TypedVectorList4_0d1_0__Tie0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7912 { 1004 /* ld4 */, AArch64::LD4i16, Convert__TypedVectorList4_0h1_0__Tie0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7913 { 1004 /* ld4 */, AArch64::LD4i32, Convert__TypedVectorList4_0s1_0__Tie0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7914 { 1004 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7915 { 1004 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7916 { 1004 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7917 { 1004 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7918 { 1004 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7919 { 1004 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7920 { 1004 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7921 { 1004 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7922 { 1004 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7923 { 1004 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7924 { 1004 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7925 { 1004 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7926 { 1004 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
7927 { 1004 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7928 { 1004 /* ld4 */, AArch64::LD4i8, Convert__VecListFour1281_1__Tie0__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7929 { 1004 /* ld4 */, AArch64::LD4i64, Convert__VecListFour1281_1__Tie0__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7930 { 1004 /* ld4 */, AArch64::LD4i16, Convert__VecListFour1281_1__Tie0__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7931 { 1004 /* ld4 */, AArch64::LD4i32, Convert__VecListFour1281_1__Tie0__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7932 { 1004 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_3__TypedVectorList4_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7933 { 1004 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_3__TypedVectorList4_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7934 { 1004 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_3__TypedVectorList4_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7935 { 1004 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_3__TypedVectorList4_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7936 { 1004 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_3__TypedVectorList4_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7937 { 1004 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_3__TypedVectorList4_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7938 { 1004 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_3__TypedVectorList4_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7939 { 1004 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_3__TypedVectorList4_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7940 { 1004 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7941 { 1004 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7942 { 1004 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7943 { 1004 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7944 { 1004 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7945 { 1004 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7946 { 1004 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7947 { 1004 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7948 { 1008 /* ld4r */, AArch64::LD4Rv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7949 { 1008 /* ld4r */, AArch64::LD4Rv1d, Convert__TypedVectorList4_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7950 { 1008 /* ld4r */, AArch64::LD4Rv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7951 { 1008 /* ld4r */, AArch64::LD4Rv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7952 { 1008 /* ld4r */, AArch64::LD4Rv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7953 { 1008 /* ld4r */, AArch64::LD4Rv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7954 { 1008 /* ld4r */, AArch64::LD4Rv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7955 { 1008 /* ld4r */, AArch64::LD4Rv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7956 { 1008 /* ld4r */, AArch64::LD4Rv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7957 { 1008 /* ld4r */, AArch64::LD4Rv1d, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7958 { 1008 /* ld4r */, AArch64::LD4Rv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7959 { 1008 /* ld4r */, AArch64::LD4Rv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7960 { 1008 /* ld4r */, AArch64::LD4Rv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7961 { 1008 /* ld4r */, AArch64::LD4Rv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7962 { 1008 /* ld4r */, AArch64::LD4Rv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7963 { 1008 /* ld4r */, AArch64::LD4Rv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7964 { 1008 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7965 { 1008 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7966 { 1008 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7967 { 1008 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7968 { 1008 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7969 { 1008 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7970 { 1008 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7971 { 1008 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7972 { 1008 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7973 { 1008 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7974 { 1008 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7975 { 1008 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7976 { 1008 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7977 { 1008 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7978 { 1008 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7979 { 1008 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7980 { 1008 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7981 { 1008 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7982 { 1008 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7983 { 1008 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7984 { 1008 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
7985 { 1008 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7986 { 1008 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7987 { 1008 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7988 { 1008 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7989 { 1008 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7990 { 1008 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
7991 { 1008 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7992 { 1008 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
7993 { 1008 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7994 { 1008 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
7995 { 1008 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
7996 { 1013 /* ldadd */, AArch64::LDADDs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7997 { 1013 /* ldadd */, AArch64::LDADDd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7998 { 1019 /* ldadda */, AArch64::LDADDAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
7999 { 1019 /* ldadda */, AArch64::LDADDAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8000 { 1026 /* ldaddab */, AArch64::LDADDAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8001 { 1034 /* ldaddah */, AArch64::LDADDAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8002 { 1042 /* ldaddal */, AArch64::LDADDALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8003 { 1042 /* ldaddal */, AArch64::LDADDALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8004 { 1050 /* ldaddalb */, AArch64::LDADDALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8005 { 1059 /* ldaddalh */, AArch64::LDADDALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8006 { 1068 /* ldaddb */, AArch64::LDADDb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8007 { 1075 /* ldaddh */, AArch64::LDADDh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8008 { 1082 /* ldaddl */, AArch64::LDADDLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8009 { 1082 /* ldaddl */, AArch64::LDADDLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8010 { 1089 /* ldaddlb */, AArch64::LDADDLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8011 { 1097 /* ldaddlh */, AArch64::LDADDLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8012 { 1105 /* ldar */, AArch64::LDARW, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8013 { 1105 /* ldar */, AArch64::LDARX, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8014 { 1110 /* ldarb */, AArch64::LDARB, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8015 { 1116 /* ldarh */, AArch64::LDARH, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8016 { 1122 /* ldaxp */, AArch64::LDAXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8017 { 1122 /* ldaxp */, AArch64::LDAXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8018 { 1128 /* ldaxr */, AArch64::LDAXRW, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8019 { 1128 /* ldaxr */, AArch64::LDAXRX, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8020 { 1134 /* ldaxrb */, AArch64::LDAXRB, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8021 { 1141 /* ldaxrh */, AArch64::LDAXRH, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8022 { 1148 /* ldclr */, AArch64::LDCLRs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8023 { 1148 /* ldclr */, AArch64::LDCLRd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8024 { 1154 /* ldclra */, AArch64::LDCLRAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8025 { 1154 /* ldclra */, AArch64::LDCLRAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8026 { 1161 /* ldclrab */, AArch64::LDCLRAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8027 { 1169 /* ldclrah */, AArch64::LDCLRAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8028 { 1177 /* ldclral */, AArch64::LDCLRALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8029 { 1177 /* ldclral */, AArch64::LDCLRALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8030 { 1185 /* ldclralb */, AArch64::LDCLRALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8031 { 1194 /* ldclralh */, AArch64::LDCLRALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8032 { 1203 /* ldclrb */, AArch64::LDCLRb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8033 { 1210 /* ldclrh */, AArch64::LDCLRh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8034 { 1217 /* ldclrl */, AArch64::LDCLRLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8035 { 1217 /* ldclrl */, AArch64::LDCLRLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8036 { 1224 /* ldclrlb */, AArch64::LDCLRLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8037 { 1232 /* ldclrlh */, AArch64::LDCLRLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8038 { 1240 /* ldeor */, AArch64::LDEORs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8039 { 1240 /* ldeor */, AArch64::LDEORd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8040 { 1246 /* ldeora */, AArch64::LDEORAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8041 { 1246 /* ldeora */, AArch64::LDEORAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8042 { 1253 /* ldeorab */, AArch64::LDEORAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8043 { 1261 /* ldeorah */, AArch64::LDEORAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8044 { 1269 /* ldeoral */, AArch64::LDEORALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8045 { 1269 /* ldeoral */, AArch64::LDEORALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8046 { 1277 /* ldeoralb */, AArch64::LDEORALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8047 { 1286 /* ldeoralh */, AArch64::LDEORALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8048 { 1295 /* ldeorb */, AArch64::LDEORb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8049 { 1302 /* ldeorh */, AArch64::LDEORh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8050 { 1309 /* ldeorl */, AArch64::LDEORLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8051 { 1309 /* ldeorl */, AArch64::LDEORLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8052 { 1316 /* ldeorlb */, AArch64::LDEORLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8053 { 1324 /* ldeorlh */, AArch64::LDEORLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8054 { 1332 /* ldlar */, AArch64::LDLARW, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8055 { 1332 /* ldlar */, AArch64::LDLARX, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8056 { 1338 /* ldlarb */, AArch64::LDLARB, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8057 { 1345 /* ldlarh */, AArch64::LDLARH, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8058 { 1352 /* ldnp */, AArch64::LDNPQi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8059 { 1352 /* ldnp */, AArch64::LDNPSi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8060 { 1352 /* ldnp */, AArch64::LDNPDi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8061 { 1352 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8062 { 1352 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8063 { 1352 /* ldnp */, AArch64::LDNPQi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
8064 { 1352 /* ldnp */, AArch64::LDNPSi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
8065 { 1352 /* ldnp */, AArch64::LDNPDi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
8066 { 1352 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
8067 { 1352 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
8068 { 1357 /* ldp */, AArch64::LDPQi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8069 { 1357 /* ldp */, AArch64::LDPSi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8070 { 1357 /* ldp */, AArch64::LDPDi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8071 { 1357 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8072 { 1357 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8073 { 1357 /* ldp */, AArch64::LDPQpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_5, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
8074 { 1357 /* ldp */, AArch64::LDPQi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
8075 { 1357 /* ldp */, AArch64::LDPSpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
8076 { 1357 /* ldp */, AArch64::LDPSi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
8077 { 1357 /* ldp */, AArch64::LDPDpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
8078 { 1357 /* ldp */, AArch64::LDPDi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
8079 { 1357 /* ldp */, AArch64::LDPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
8080 { 1357 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
8081 { 1357 /* ldp */, AArch64::LDPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
8082 { 1357 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
8083 { 1357 /* ldp */, AArch64::LDPQpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
8084 { 1357 /* ldp */, AArch64::LDPSpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
8085 { 1357 /* ldp */, AArch64::LDPDpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
8086 { 1357 /* ldp */, AArch64::LDPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
8087 { 1357 /* ldp */, AArch64::LDPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
8088 { 1361 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8089 { 1361 /* ldpsw */, AArch64::LDPSWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
8090 { 1361 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
8091 { 1361 /* ldpsw */, AArch64::LDPSWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
8092 { 1367 /* ldr */, AArch64::LDRQl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_FPR128, MCK_PCRelLabel19 }, },
8093 { 1367 /* ldr */, AArch64::LDRSl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_FPR32, MCK_PCRelLabel19 }, },
8094 { 1367 /* ldr */, AArch64::LDRDl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_FPR64, MCK_PCRelLabel19 }, },
8095 { 1367 /* ldr */, AArch64::LDRWl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR32, MCK_PCRelLabel19 }, },
8096 { 1367 /* ldr */, AArch64::LDRXl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR64, MCK_PCRelLabel19 }, },
8097 { 1367 /* ldr */, AArch64::LDRQui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8098 { 1367 /* ldr */, AArch64::LDRHui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8099 { 1367 /* ldr */, AArch64::LDRSui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8100 { 1367 /* ldr */, AArch64::LDRDui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8101 { 1367 /* ldr */, AArch64::LDRBui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8102 { 1367 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8103 { 1367 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8104 { 1367 /* ldr */, AArch64::LDRQpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8105 { 1367 /* ldr */, AArch64::LDRQroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8106 { 1367 /* ldr */, AArch64::LDURQi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB1281_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
8107 { 1367 /* ldr */, AArch64::LDRQui, Convert__Reg1_0__Reg1_2__UImm12Offset161_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
8108 { 1367 /* ldr */, AArch64::LDRHpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8109 { 1367 /* ldr */, AArch64::LDRHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8110 { 1367 /* ldr */, AArch64::LDURHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
8111 { 1367 /* ldr */, AArch64::LDRHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
8112 { 1367 /* ldr */, AArch64::LDRSpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8113 { 1367 /* ldr */, AArch64::LDRSroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8114 { 1367 /* ldr */, AArch64::LDURSi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
8115 { 1367 /* ldr */, AArch64::LDRSui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
8116 { 1367 /* ldr */, AArch64::LDRDpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8117 { 1367 /* ldr */, AArch64::LDRDroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8118 { 1367 /* ldr */, AArch64::LDURDi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
8119 { 1367 /* ldr */, AArch64::LDRDui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
8120 { 1367 /* ldr */, AArch64::LDRBpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8121 { 1367 /* ldr */, AArch64::LDRBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8122 { 1367 /* ldr */, AArch64::LDURBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
8123 { 1367 /* ldr */, AArch64::LDRBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
8124 { 1367 /* ldr */, AArch64::LDRWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8125 { 1367 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8126 { 1367 /* ldr */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
8127 { 1367 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
8128 { 1367 /* ldr */, AArch64::LDRXpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8129 { 1367 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8130 { 1367 /* ldr */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
8131 { 1367 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
8132 { 1367 /* ldr */, AArch64::LDRQroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend1282_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
8133 { 1367 /* ldr */, AArch64::LDRQroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend1282_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
8134 { 1367 /* ldr */, AArch64::LDRQpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8135 { 1367 /* ldr */, AArch64::LDRHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
8136 { 1367 /* ldr */, AArch64::LDRHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
8137 { 1367 /* ldr */, AArch64::LDRHpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8138 { 1367 /* ldr */, AArch64::LDRSroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
8139 { 1367 /* ldr */, AArch64::LDRSroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
8140 { 1367 /* ldr */, AArch64::LDRSpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8141 { 1367 /* ldr */, AArch64::LDRDroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
8142 { 1367 /* ldr */, AArch64::LDRDroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
8143 { 1367 /* ldr */, AArch64::LDRDpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8144 { 1367 /* ldr */, AArch64::LDRBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
8145 { 1367 /* ldr */, AArch64::LDRBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
8146 { 1367 /* ldr */, AArch64::LDRBpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8147 { 1367 /* ldr */, AArch64::LDRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
8148 { 1367 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
8149 { 1367 /* ldr */, AArch64::LDRWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8150 { 1367 /* ldr */, AArch64::LDRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
8151 { 1367 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
8152 { 1367 /* ldr */, AArch64::LDRXpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8153 { 1371 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8154 { 1371 /* ldrb */, AArch64::LDRBBpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8155 { 1371 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8156 { 1371 /* ldrb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
8157 { 1371 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
8158 { 1371 /* ldrb */, AArch64::LDRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
8159 { 1371 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
8160 { 1371 /* ldrb */, AArch64::LDRBBpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8161 { 1376 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8162 { 1376 /* ldrh */, AArch64::LDRHHpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8163 { 1376 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8164 { 1376 /* ldrh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
8165 { 1376 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
8166 { 1376 /* ldrh */, AArch64::LDRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
8167 { 1376 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
8168 { 1376 /* ldrh */, AArch64::LDRHHpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8169 { 1381 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8170 { 1381 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8171 { 1381 /* ldrsb */, AArch64::LDRSBWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8172 { 1381 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8173 { 1381 /* ldrsb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
8174 { 1381 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
8175 { 1381 /* ldrsb */, AArch64::LDRSBXpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8176 { 1381 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8177 { 1381 /* ldrsb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
8178 { 1381 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
8179 { 1381 /* ldrsb */, AArch64::LDRSBWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
8180 { 1381 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
8181 { 1381 /* ldrsb */, AArch64::LDRSBWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8182 { 1381 /* ldrsb */, AArch64::LDRSBXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
8183 { 1381 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
8184 { 1381 /* ldrsb */, AArch64::LDRSBXpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8185 { 1387 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8186 { 1387 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8187 { 1387 /* ldrsh */, AArch64::LDRSHWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8188 { 1387 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8189 { 1387 /* ldrsh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
8190 { 1387 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
8191 { 1387 /* ldrsh */, AArch64::LDRSHXpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8192 { 1387 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8193 { 1387 /* ldrsh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
8194 { 1387 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
8195 { 1387 /* ldrsh */, AArch64::LDRSHWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
8196 { 1387 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
8197 { 1387 /* ldrsh */, AArch64::LDRSHWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8198 { 1387 /* ldrsh */, AArch64::LDRSHXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
8199 { 1387 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
8200 { 1387 /* ldrsh */, AArch64::LDRSHXpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8201 { 1393 /* ldrsw */, AArch64::LDRSWl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR64, MCK_PCRelLabel19 }, },
8202 { 1393 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8203 { 1393 /* ldrsw */, AArch64::LDRSWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
8204 { 1393 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8205 { 1393 /* ldrsw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
8206 { 1393 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
8207 { 1393 /* ldrsw */, AArch64::LDRSWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
8208 { 1393 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
8209 { 1393 /* ldrsw */, AArch64::LDRSWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
8210 { 1399 /* ldset */, AArch64::LDSETs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8211 { 1399 /* ldset */, AArch64::LDSETd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8212 { 1405 /* ldseta */, AArch64::LDSETAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8213 { 1405 /* ldseta */, AArch64::LDSETAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8214 { 1412 /* ldsetab */, AArch64::LDSETAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8215 { 1420 /* ldsetah */, AArch64::LDSETAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8216 { 1428 /* ldsetal */, AArch64::LDSETALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8217 { 1428 /* ldsetal */, AArch64::LDSETALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8218 { 1436 /* ldsetalb */, AArch64::LDSETALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8219 { 1445 /* ldsetalh */, AArch64::LDSETALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8220 { 1454 /* ldsetb */, AArch64::LDSETb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8221 { 1461 /* ldseth */, AArch64::LDSETh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8222 { 1468 /* ldsetl */, AArch64::LDSETLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8223 { 1468 /* ldsetl */, AArch64::LDSETLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8224 { 1475 /* ldsetlb */, AArch64::LDSETLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8225 { 1483 /* ldsetlh */, AArch64::LDSETLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8226 { 1491 /* ldsmax */, AArch64::LDSMAXs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8227 { 1491 /* ldsmax */, AArch64::LDSMAXd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8228 { 1498 /* ldsmaxa */, AArch64::LDSMAXAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8229 { 1498 /* ldsmaxa */, AArch64::LDSMAXAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8230 { 1506 /* ldsmaxab */, AArch64::LDSMAXAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8231 { 1515 /* ldsmaxah */, AArch64::LDSMAXAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8232 { 1524 /* ldsmaxal */, AArch64::LDSMAXALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8233 { 1524 /* ldsmaxal */, AArch64::LDSMAXALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8234 { 1533 /* ldsmaxalb */, AArch64::LDSMAXALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8235 { 1543 /* ldsmaxalh */, AArch64::LDSMAXALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8236 { 1553 /* ldsmaxb */, AArch64::LDSMAXb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8237 { 1561 /* ldsmaxh */, AArch64::LDSMAXh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8238 { 1569 /* ldsmaxl */, AArch64::LDSMAXLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8239 { 1569 /* ldsmaxl */, AArch64::LDSMAXLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8240 { 1577 /* ldsmaxlb */, AArch64::LDSMAXLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8241 { 1586 /* ldsmaxlh */, AArch64::LDSMAXLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8242 { 1595 /* ldsmin */, AArch64::LDSMINs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8243 { 1595 /* ldsmin */, AArch64::LDSMINd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8244 { 1602 /* ldsmina */, AArch64::LDSMINAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8245 { 1602 /* ldsmina */, AArch64::LDSMINAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8246 { 1610 /* ldsminab */, AArch64::LDSMINAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8247 { 1619 /* ldsminah */, AArch64::LDSMINAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8248 { 1628 /* ldsminal */, AArch64::LDSMINALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8249 { 1628 /* ldsminal */, AArch64::LDSMINALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8250 { 1637 /* ldsminalb */, AArch64::LDSMINALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8251 { 1647 /* ldsminalh */, AArch64::LDSMINALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8252 { 1657 /* ldsminb */, AArch64::LDSMINb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8253 { 1665 /* ldsminh */, AArch64::LDSMINh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8254 { 1673 /* ldsminl */, AArch64::LDSMINLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8255 { 1673 /* ldsminl */, AArch64::LDSMINLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8256 { 1681 /* ldsminlb */, AArch64::LDSMINLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8257 { 1690 /* ldsminlh */, AArch64::LDSMINLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8258 { 1699 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8259 { 1699 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8260 { 1699 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8261 { 1699 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8262 { 1704 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8263 { 1704 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8264 { 1710 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8265 { 1710 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8266 { 1716 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8267 { 1716 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8268 { 1716 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8269 { 1716 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8270 { 1723 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8271 { 1723 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8272 { 1723 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8273 { 1723 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8274 { 1730 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8275 { 1730 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8276 { 1737 /* ldumax */, AArch64::LDUMAXs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8277 { 1737 /* ldumax */, AArch64::LDUMAXd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8278 { 1744 /* ldumaxa */, AArch64::LDUMAXAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8279 { 1744 /* ldumaxa */, AArch64::LDUMAXAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8280 { 1752 /* ldumaxab */, AArch64::LDUMAXAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8281 { 1761 /* ldumaxah */, AArch64::LDUMAXAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8282 { 1770 /* ldumaxal */, AArch64::LDUMAXALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8283 { 1770 /* ldumaxal */, AArch64::LDUMAXALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8284 { 1779 /* ldumaxalb */, AArch64::LDUMAXALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8285 { 1789 /* ldumaxalh */, AArch64::LDUMAXALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8286 { 1799 /* ldumaxb */, AArch64::LDUMAXb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8287 { 1807 /* ldumaxh */, AArch64::LDUMAXh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8288 { 1815 /* ldumaxl */, AArch64::LDUMAXLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8289 { 1815 /* ldumaxl */, AArch64::LDUMAXLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8290 { 1823 /* ldumaxlb */, AArch64::LDUMAXLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8291 { 1832 /* ldumaxlh */, AArch64::LDUMAXLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8292 { 1841 /* ldumin */, AArch64::LDUMINs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8293 { 1841 /* ldumin */, AArch64::LDUMINd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8294 { 1848 /* ldumina */, AArch64::LDUMINAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8295 { 1848 /* ldumina */, AArch64::LDUMINAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8296 { 1856 /* lduminab */, AArch64::LDUMINAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8297 { 1865 /* lduminah */, AArch64::LDUMINAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8298 { 1874 /* lduminal */, AArch64::LDUMINALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8299 { 1874 /* lduminal */, AArch64::LDUMINALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8300 { 1883 /* lduminalb */, AArch64::LDUMINALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8301 { 1893 /* lduminalh */, AArch64::LDUMINALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8302 { 1903 /* lduminb */, AArch64::LDUMINb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8303 { 1911 /* lduminh */, AArch64::LDUMINh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8304 { 1919 /* lduminl */, AArch64::LDUMINLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8305 { 1919 /* lduminl */, AArch64::LDUMINLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8306 { 1927 /* lduminlb */, AArch64::LDUMINLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8307 { 1936 /* lduminlh */, AArch64::LDUMINLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8308 { 1945 /* ldur */, AArch64::LDURQi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8309 { 1945 /* ldur */, AArch64::LDURHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8310 { 1945 /* ldur */, AArch64::LDURSi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8311 { 1945 /* ldur */, AArch64::LDURDi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8312 { 1945 /* ldur */, AArch64::LDURBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8313 { 1945 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8314 { 1945 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8315 { 1945 /* ldur */, AArch64::LDURQi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8316 { 1945 /* ldur */, AArch64::LDURHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8317 { 1945 /* ldur */, AArch64::LDURSi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8318 { 1945 /* ldur */, AArch64::LDURDi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8319 { 1945 /* ldur */, AArch64::LDURBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8320 { 1945 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8321 { 1945 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8322 { 1950 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8323 { 1950 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8324 { 1956 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8325 { 1956 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8326 { 1962 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8327 { 1962 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8328 { 1962 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8329 { 1962 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8330 { 1969 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8331 { 1969 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8332 { 1969 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8333 { 1969 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8334 { 1976 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8335 { 1976 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8336 { 1983 /* ldxp */, AArch64::LDXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8337 { 1983 /* ldxp */, AArch64::LDXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8338 { 1988 /* ldxr */, AArch64::LDXRW, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8339 { 1988 /* ldxr */, AArch64::LDXRX, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8340 { 1993 /* ldxrb */, AArch64::LDXRB, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8341 { 1999 /* ldxrh */, AArch64::LDXRH, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
8342 { 2005 /* lsl */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8343 { 2005 /* lsl */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8344 { 2009 /* lslv */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8345 { 2009 /* lslv */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8346 { 2014 /* lsr */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8347 { 2014 /* lsr */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
8348 { 2014 /* lsr */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8349 { 2014 /* lsr */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
8350 { 2018 /* lsrv */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8351 { 2018 /* lsrv */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8352 { 2023 /* madd */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8353 { 2023 /* madd */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8354 { 2028 /* mla */, AArch64::MLAv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8355 { 2028 /* mla */, AArch64::MLAv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8356 { 2028 /* mla */, AArch64::MLAv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8357 { 2028 /* mla */, AArch64::MLAv2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8358 { 2028 /* mla */, AArch64::MLAv4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8359 { 2028 /* mla */, AArch64::MLAv8i8, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8360 { 2028 /* mla */, AArch64::MLAv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8361 { 2028 /* mla */, AArch64::MLAv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8362 { 2028 /* mla */, AArch64::MLAv2i32_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8363 { 2028 /* mla */, AArch64::MLAv4i16_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8364 { 2032 /* mls */, AArch64::MLSv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8365 { 2032 /* mls */, AArch64::MLSv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8366 { 2032 /* mls */, AArch64::MLSv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8367 { 2032 /* mls */, AArch64::MLSv2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8368 { 2032 /* mls */, AArch64::MLSv4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8369 { 2032 /* mls */, AArch64::MLSv8i8, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8370 { 2032 /* mls */, AArch64::MLSv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8371 { 2032 /* mls */, AArch64::MLSv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8372 { 2032 /* mls */, AArch64::MLSv2i32_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8373 { 2032 /* mls */, AArch64::MLSv4i16_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8374 { 2036 /* mneg */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8375 { 2036 /* mneg */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8376 { 2041 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, 0, { MCK_GPR32sponly, MCK_GPR32sp }, },
8377 { 2041 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, 0, { MCK_GPR64sponly, MCK_GPR64sp }, },
8378 { 2041 /* mov */, AArch64::ORRWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
8379 { 2041 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0, 0, { MCK_GPR32, MCK_MOVZ32_lsl0MovAlias }, },
8380 { 2041 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16, 0, { MCK_GPR32, MCK_MOVZ32_lsl16MovAlias }, },
8381 { 2041 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0, 0, { MCK_GPR32, MCK_MOVN32_lsl0MovAlias }, },
8382 { 2041 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16, 0, { MCK_GPR32, MCK_MOVN32_lsl16MovAlias }, },
8383 { 2041 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, 0, { MCK_GPR32sp, MCK_GPR32sponly }, },
8384 { 2041 /* mov */, AArch64::ORRWri, Convert__Reg1_0__regWZR__LogicalImm321_1, 0, { MCK_GPR32sp, MCK_LogicalImm32 }, },
8385 { 2041 /* mov */, AArch64::ORRXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
8386 { 2041 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0, 0, { MCK_GPR64, MCK_MOVZ64_lsl0MovAlias }, },
8387 { 2041 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16, 0, { MCK_GPR64, MCK_MOVZ64_lsl16MovAlias }, },
8388 { 2041 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32, 0, { MCK_GPR64, MCK_MOVZ64_lsl32MovAlias }, },
8389 { 2041 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48, 0, { MCK_GPR64, MCK_MOVZ64_lsl48MovAlias }, },
8390 { 2041 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0, 0, { MCK_GPR64, MCK_MOVN64_lsl0MovAlias }, },
8391 { 2041 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16, 0, { MCK_GPR64, MCK_MOVN64_lsl16MovAlias }, },
8392 { 2041 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32, 0, { MCK_GPR64, MCK_MOVN64_lsl32MovAlias }, },
8393 { 2041 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48, 0, { MCK_GPR64, MCK_MOVN64_lsl48MovAlias }, },
8394 { 2041 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, 0, { MCK_GPR64sp, MCK_GPR64sponly }, },
8395 { 2041 /* mov */, AArch64::ORRXri, Convert__Reg1_0__regXZR__LogicalImm641_1, 0, { MCK_GPR64sp, MCK_LogicalImm64 }, },
8396 { 2041 /* mov */, AArch64::CPYi16, Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_3, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
8397 { 2041 /* mov */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_3, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8398 { 2041 /* mov */, AArch64::CPYi64, Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_3, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
8399 { 2041 /* mov */, AArch64::CPYi8, Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_3, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
8400 { 2041 /* mov */, AArch64::UMOVvi32, Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_3, Feature_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8401 { 2041 /* mov */, AArch64::UMOVvi64, Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_3, Feature_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
8402 { 2041 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8403 { 2041 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8404 { 2041 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8405 { 2041 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8406 { 2041 /* mov */, AArch64::INSvi8gpr, Convert__VectorReg1281_0__Tie0__VectorIndexB1_2__Reg1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB, MCK_GPR32 }, },
8407 { 2041 /* mov */, AArch64::INSvi64gpr, Convert__VectorReg1281_0__Tie0__VectorIndexD1_2__Reg1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD, MCK_GPR64 }, },
8408 { 2041 /* mov */, AArch64::INSvi16gpr, Convert__VectorReg1281_0__Tie0__VectorIndexH1_2__Reg1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH, MCK_GPR32 }, },
8409 { 2041 /* mov */, AArch64::INSvi32gpr, Convert__VectorReg1281_0__Tie0__VectorIndexS1_2__Reg1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS, MCK_GPR32 }, },
8410 { 2041 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_1d }, },
8411 { 2041 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8412 { 2041 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8413 { 2041 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8414 { 2041 /* mov */, AArch64::INSvi8lane, Convert__VectorReg1281_0__Tie0__VectorIndexB1_2__VectorReg1281_3__VectorIndexB1_5, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
8415 { 2041 /* mov */, AArch64::INSvi64lane, Convert__VectorReg1281_0__Tie0__VectorIndexD1_2__VectorReg1281_3__VectorIndexD1_5, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
8416 { 2041 /* mov */, AArch64::INSvi16lane, Convert__VectorReg1281_0__Tie0__VectorIndexH1_2__VectorReg1281_3__VectorIndexH1_5, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
8417 { 2041 /* mov */, AArch64::INSvi32lane, Convert__VectorReg1281_0__Tie0__VectorIndexS1_2__VectorReg1281_3__VectorIndexS1_5, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8418 { 2045 /* movi */, AArch64::MOVID, Convert__Reg1_0__SIMDImmType101_1, Feature_HasNEON, { MCK_FPR64, MCK_SIMDImmType10 }, },
8419 { 2045 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
8420 { 2045 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
8421 { 2045 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
8422 { 2045 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
8423 { 2045 /* movi */, AArch64::MOVIv16b_ns, Convert__VectorReg1281_0__Imm0_2551_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_255 }, },
8424 { 2045 /* movi */, AArch64::MOVIv2d_ns, Convert__VectorReg1281_0__SIMDImmType101_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_SIMDImmType10 }, },
8425 { 2045 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
8426 { 2045 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
8427 { 2045 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
8428 { 2045 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
8429 { 2045 /* movi */, AArch64::MOVIv8b_ns, Convert__VectorReg641_0__Imm0_2551_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_255 }, },
8430 { 2045 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
8431 { 2045 /* movi */, AArch64::MOVIv4s_msl, Convert__VectorReg1281_0__Imm0_2551_2__MoveVecShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_MoveVecShifter }, },
8432 { 2045 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
8433 { 2045 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
8434 { 2045 /* movi */, AArch64::MOVIv2s_msl, Convert__VectorReg641_0__Imm0_2551_2__MoveVecShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_MoveVecShifter }, },
8435 { 2045 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
8436 { 2050 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR32, MCK_Imm0_65535 }, },
8437 { 2050 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0__MovKSymbolG01_1__imm_95_0, 0, { MCK_GPR32, MCK_MovKSymbolG0 }, },
8438 { 2050 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0__MovKSymbolG11_1__imm_95_16, 0, { MCK_GPR32, MCK_MovKSymbolG1 }, },
8439 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR64, MCK_Imm0_65535 }, },
8440 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__MovKSymbolG01_1__imm_95_0, 0, { MCK_GPR64, MCK_MovKSymbolG0 }, },
8441 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__MovKSymbolG11_1__imm_95_16, 0, { MCK_GPR64, MCK_MovKSymbolG1 }, },
8442 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__MovKSymbolG21_1__imm_95_32, 0, { MCK_GPR64, MCK_MovKSymbolG2 }, },
8443 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__MovKSymbolG31_1__imm_95_48, 0, { MCK_GPR64, MCK_MovKSymbolG3 }, },
8444 { 2050 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0__Imm0_655351_1__MovImm32Shifter1_2, 0, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
8445 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__Imm0_655351_1__MovImm64Shifter1_2, 0, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
8446 { 2055 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR32, MCK_Imm0_65535 }, },
8447 { 2055 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovZSymbolG01_1__imm_95_0, 0, { MCK_GPR32, MCK_MovZSymbolG0 }, },
8448 { 2055 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovZSymbolG11_1__imm_95_16, 0, { MCK_GPR32, MCK_MovZSymbolG1 }, },
8449 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR64, MCK_Imm0_65535 }, },
8450 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovZSymbolG01_1__imm_95_0, 0, { MCK_GPR64, MCK_MovZSymbolG0 }, },
8451 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovZSymbolG11_1__imm_95_16, 0, { MCK_GPR64, MCK_MovZSymbolG1 }, },
8452 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovZSymbolG21_1__imm_95_32, 0, { MCK_GPR64, MCK_MovZSymbolG2 }, },
8453 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovZSymbolG31_1__imm_95_48, 0, { MCK_GPR64, MCK_MovZSymbolG3 }, },
8454 { 2055 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, 0, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
8455 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, 0, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
8456 { 2060 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR32, MCK_Imm0_65535 }, },
8457 { 2060 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovZSymbolG01_1__imm_95_0, 0, { MCK_GPR32, MCK_MovZSymbolG0 }, },
8458 { 2060 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovZSymbolG11_1__imm_95_16, 0, { MCK_GPR32, MCK_MovZSymbolG1 }, },
8459 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR64, MCK_Imm0_65535 }, },
8460 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovZSymbolG01_1__imm_95_0, 0, { MCK_GPR64, MCK_MovZSymbolG0 }, },
8461 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovZSymbolG11_1__imm_95_16, 0, { MCK_GPR64, MCK_MovZSymbolG1 }, },
8462 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovZSymbolG21_1__imm_95_32, 0, { MCK_GPR64, MCK_MovZSymbolG2 }, },
8463 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovZSymbolG31_1__imm_95_48, 0, { MCK_GPR64, MCK_MovZSymbolG3 }, },
8464 { 2060 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, 0, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
8465 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, 0, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
8466 { 2065 /* mrs */, AArch64::MRS, Convert__Reg1_0__MRSSystemRegister1_1, 0, { MCK_GPR64, MCK_MRSSystemRegister }, },
8467 { 2069 /* msr */, AArch64::MSR, Convert__MSRSystemRegister1_0__Reg1_1, 0, { MCK_MSRSystemRegister, MCK_GPR64 }, },
8468 { 2069 /* msr */, AArch64::MSRpstateImm4, Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1, 0, { MCK_SystemPStateFieldWithImm0_15, MCK_Imm0_15 }, },
8469 { 2069 /* msr */, AArch64::MSRpstateImm1, Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1, 0, { MCK_SystemPStateFieldWithImm0_1, MCK_Imm0_1 }, },
8470 { 2073 /* msub */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8471 { 2073 /* msub */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8472 { 2078 /* mul */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8473 { 2078 /* mul */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8474 { 2078 /* mul */, AArch64::MULv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8475 { 2078 /* mul */, AArch64::MULv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8476 { 2078 /* mul */, AArch64::MULv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8477 { 2078 /* mul */, AArch64::MULv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8478 { 2078 /* mul */, AArch64::MULv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8479 { 2078 /* mul */, AArch64::MULv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8480 { 2078 /* mul */, AArch64::MULv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8481 { 2078 /* mul */, AArch64::MULv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8482 { 2078 /* mul */, AArch64::MULv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8483 { 2078 /* mul */, AArch64::MULv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8484 { 2082 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
8485 { 2082 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
8486 { 2082 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
8487 { 2082 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
8488 { 2082 /* mvn */, AArch64::NOTv16i8, Convert__VectorReg1281_0__VectorReg1281_2, 0, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8489 { 2082 /* mvn */, AArch64::NOTv8i8, Convert__VectorReg641_0__VectorReg641_2, 0, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8490 { 2086 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
8491 { 2086 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
8492 { 2086 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
8493 { 2086 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
8494 { 2086 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
8495 { 2086 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
8496 { 2086 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
8497 { 2086 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
8498 { 2086 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
8499 { 2086 /* mvni */, AArch64::MVNIv4s_msl, Convert__VectorReg1281_0__Imm0_2551_2__MoveVecShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_MoveVecShifter }, },
8500 { 2086 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
8501 { 2086 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
8502 { 2086 /* mvni */, AArch64::MVNIv2s_msl, Convert__VectorReg641_0__Imm0_2551_2__MoveVecShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_MoveVecShifter }, },
8503 { 2086 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
8504 { 2091 /* neg */, AArch64::NEGv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
8505 { 2091 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
8506 { 2091 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
8507 { 2091 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
8508 { 2091 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
8509 { 2091 /* neg */, AArch64::NEGv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8510 { 2091 /* neg */, AArch64::NEGv2i64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8511 { 2091 /* neg */, AArch64::NEGv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8512 { 2091 /* neg */, AArch64::NEGv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8513 { 2091 /* neg */, AArch64::NEGv2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8514 { 2091 /* neg */, AArch64::NEGv4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8515 { 2091 /* neg */, AArch64::NEGv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8516 { 2095 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
8517 { 2095 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
8518 { 2095 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
8519 { 2095 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
8520 { 2100 /* ngc */, AArch64::SBCWr, Convert__Reg1_0__regWZR__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
8521 { 2100 /* ngc */, AArch64::SBCXr, Convert__Reg1_0__regXZR__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
8522 { 2104 /* ngcs */, AArch64::SBCSWr, Convert__Reg1_0__regWZR__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
8523 { 2104 /* ngcs */, AArch64::SBCSXr, Convert__Reg1_0__regXZR__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
8524 { 2109 /* nop */, AArch64::HINT, Convert__imm_95_0, 0, { }, },
8525 { 2113 /* not */, AArch64::NOTv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8526 { 2113 /* not */, AArch64::NOTv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8527 { 2117 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8528 { 2117 /* orn */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
8529 { 2117 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8530 { 2117 /* orn */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
8531 { 2117 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
8532 { 2117 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
8533 { 2117 /* orn */, AArch64::ORNv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8534 { 2117 /* orn */, AArch64::ORNv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8535 { 2121 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
8536 { 2121 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
8537 { 2121 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
8538 { 2121 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
8539 { 2121 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8540 { 2121 /* orr */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
8541 { 2121 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8542 { 2121 /* orr */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
8543 { 2121 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
8544 { 2121 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
8545 { 2121 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
8546 { 2121 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
8547 { 2121 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
8548 { 2121 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
8549 { 2121 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
8550 { 2121 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
8551 { 2121 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_0__Tie0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255, MCK_LogicalVecShifter }, },
8552 { 2121 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_0__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
8553 { 2121 /* orr */, AArch64::ORRv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8554 { 2121 /* orr */, AArch64::ORRv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8555 { 2125 /* pmul */, AArch64::PMULv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8556 { 2125 /* pmul */, AArch64::PMULv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8557 { 2130 /* pmull */, AArch64::PMULLv1i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_1q, MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_1d }, },
8558 { 2130 /* pmull */, AArch64::PMULLv8i8, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8559 { 2136 /* pmull2 */, AArch64::PMULLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_1q, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8560 { 2136 /* pmull2 */, AArch64::PMULLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8561 { 2143 /* prfm */, AArch64::PRFMl, Convert__Prefetch1_0__PCRelLabel191_1, 0, { MCK_Prefetch, MCK_PCRelLabel19 }, },
8562 { 2143 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__imm_95_0, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8563 { 2143 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
8564 { 2143 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
8565 { 2143 /* prfm */, AArch64::PRFMroW, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
8566 { 2143 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
8567 { 2148 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__imm_95_0, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
8568 { 2148 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__SImm91_3, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
8569 { 2154 /* psb */, AArch64::HINT, Convert__PSBHint1_0, Feature_HasSPE, { MCK_PSBHint }, },
8570 { 2158 /* raddhn */, AArch64::RADDHNv2i64_v2i32, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8571 { 2158 /* raddhn */, AArch64::RADDHNv4i32_v4i16, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8572 { 2158 /* raddhn */, AArch64::RADDHNv8i16_v8i8, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8573 { 2165 /* raddhn2 */, AArch64::RADDHNv8i16_v16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8574 { 2165 /* raddhn2 */, AArch64::RADDHNv2i64_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8575 { 2165 /* raddhn2 */, AArch64::RADDHNv4i32_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8576 { 2173 /* rbit */, AArch64::RBITWr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
8577 { 2173 /* rbit */, AArch64::RBITXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
8578 { 2173 /* rbit */, AArch64::RBITv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8579 { 2173 /* rbit */, AArch64::RBITv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8580 { 2178 /* ret */, AArch64::RET, Convert__regLR, 0, { }, },
8581 { 2178 /* ret */, AArch64::RET, Convert__Reg1_0, 0, { MCK_GPR64 }, },
8582 { 2182 /* rev */, AArch64::REVWr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
8583 { 2182 /* rev */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
8584 { 2186 /* rev16 */, AArch64::REV16Wr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
8585 { 2186 /* rev16 */, AArch64::REV16Xr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
8586 { 2186 /* rev16 */, AArch64::REV16v16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8587 { 2186 /* rev16 */, AArch64::REV16v8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8588 { 2192 /* rev32 */, AArch64::REV32Xr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
8589 { 2192 /* rev32 */, AArch64::REV32v16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8590 { 2192 /* rev32 */, AArch64::REV32v8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8591 { 2192 /* rev32 */, AArch64::REV32v4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8592 { 2192 /* rev32 */, AArch64::REV32v8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8593 { 2198 /* rev64 */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
8594 { 2198 /* rev64 */, AArch64::REV64v16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8595 { 2198 /* rev64 */, AArch64::REV64v4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8596 { 2198 /* rev64 */, AArch64::REV64v8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8597 { 2198 /* rev64 */, AArch64::REV64v2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8598 { 2198 /* rev64 */, AArch64::REV64v4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8599 { 2198 /* rev64 */, AArch64::REV64v8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8600 { 2204 /* ror */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8601 { 2204 /* ror */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
8602 { 2204 /* ror */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8603 { 2204 /* ror */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
8604 { 2208 /* rorv */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8605 { 2208 /* rorv */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8606 { 2213 /* rshrn */, AArch64::RSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
8607 { 2213 /* rshrn */, AArch64::RSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
8608 { 2213 /* rshrn */, AArch64::RSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
8609 { 2219 /* rshrn2 */, AArch64::RSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
8610 { 2219 /* rshrn2 */, AArch64::RSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
8611 { 2219 /* rshrn2 */, AArch64::RSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
8612 { 2226 /* rsubhn */, AArch64::RSUBHNv2i64_v2i32, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8613 { 2226 /* rsubhn */, AArch64::RSUBHNv4i32_v4i16, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8614 { 2226 /* rsubhn */, AArch64::RSUBHNv8i16_v8i8, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8615 { 2233 /* rsubhn2 */, AArch64::RSUBHNv8i16_v16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8616 { 2233 /* rsubhn2 */, AArch64::RSUBHNv2i64_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8617 { 2233 /* rsubhn2 */, AArch64::RSUBHNv4i32_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8618 { 2241 /* saba */, AArch64::SABAv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8619 { 2241 /* saba */, AArch64::SABAv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8620 { 2241 /* saba */, AArch64::SABAv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8621 { 2241 /* saba */, AArch64::SABAv2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8622 { 2241 /* saba */, AArch64::SABAv4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8623 { 2241 /* saba */, AArch64::SABAv8i8, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8624 { 2246 /* sabal */, AArch64::SABALv2i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8625 { 2246 /* sabal */, AArch64::SABALv4i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8626 { 2246 /* sabal */, AArch64::SABALv8i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8627 { 2252 /* sabal2 */, AArch64::SABALv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8628 { 2252 /* sabal2 */, AArch64::SABALv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8629 { 2252 /* sabal2 */, AArch64::SABALv16i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8630 { 2259 /* sabd */, AArch64::SABDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8631 { 2259 /* sabd */, AArch64::SABDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8632 { 2259 /* sabd */, AArch64::SABDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8633 { 2259 /* sabd */, AArch64::SABDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8634 { 2259 /* sabd */, AArch64::SABDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8635 { 2259 /* sabd */, AArch64::SABDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8636 { 2264 /* sabdl */, AArch64::SABDLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8637 { 2264 /* sabdl */, AArch64::SABDLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8638 { 2264 /* sabdl */, AArch64::SABDLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8639 { 2270 /* sabdl2 */, AArch64::SABDLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8640 { 2270 /* sabdl2 */, AArch64::SABDLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8641 { 2270 /* sabdl2 */, AArch64::SABDLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8642 { 2277 /* sadalp */, AArch64::SADALPv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
8643 { 2277 /* sadalp */, AArch64::SADALPv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
8644 { 2277 /* sadalp */, AArch64::SADALPv16i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
8645 { 2277 /* sadalp */, AArch64::SADALPv2i32_v1i64, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_2s }, },
8646 { 2277 /* sadalp */, AArch64::SADALPv4i16_v2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_4h }, },
8647 { 2277 /* sadalp */, AArch64::SADALPv8i8_v4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_8b }, },
8648 { 2284 /* saddl */, AArch64::SADDLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8649 { 2284 /* saddl */, AArch64::SADDLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8650 { 2284 /* saddl */, AArch64::SADDLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8651 { 2290 /* saddl2 */, AArch64::SADDLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8652 { 2290 /* saddl2 */, AArch64::SADDLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8653 { 2290 /* saddl2 */, AArch64::SADDLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8654 { 2297 /* saddlp */, AArch64::SADDLPv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
8655 { 2297 /* saddlp */, AArch64::SADDLPv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
8656 { 2297 /* saddlp */, AArch64::SADDLPv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
8657 { 2297 /* saddlp */, AArch64::SADDLPv2i32_v1i64, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_2s }, },
8658 { 2297 /* saddlp */, AArch64::SADDLPv4i16_v2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_4h }, },
8659 { 2297 /* saddlp */, AArch64::SADDLPv8i8_v4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_8b }, },
8660 { 2304 /* saddlv */, AArch64::SADDLVv16i8v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_16b }, },
8661 { 2304 /* saddlv */, AArch64::SADDLVv8i8v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_8b }, },
8662 { 2304 /* saddlv */, AArch64::SADDLVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_8h }, },
8663 { 2304 /* saddlv */, AArch64::SADDLVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_4h }, },
8664 { 2304 /* saddlv */, AArch64::SADDLVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_4s }, },
8665 { 2311 /* saddw */, AArch64::SADDWv2i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
8666 { 2311 /* saddw */, AArch64::SADDWv4i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
8667 { 2311 /* saddw */, AArch64::SADDWv8i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
8668 { 2317 /* saddw2 */, AArch64::SADDWv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
8669 { 2317 /* saddw2 */, AArch64::SADDWv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
8670 { 2317 /* saddw2 */, AArch64::SADDWv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
8671 { 2324 /* sbc */, AArch64::SBCWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8672 { 2324 /* sbc */, AArch64::SBCXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8673 { 2328 /* sbcs */, AArch64::SBCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8674 { 2328 /* sbcs */, AArch64::SBCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8675 { 2333 /* sbfm */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
8676 { 2333 /* sbfm */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
8677 { 2338 /* scvtf */, AArch64::SCVTFv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
8678 { 2338 /* scvtf */, AArch64::SCVTFUWHri, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
8679 { 2338 /* scvtf */, AArch64::SCVTFUXHri, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
8680 { 2338 /* scvtf */, AArch64::SCVTFv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
8681 { 2338 /* scvtf */, AArch64::SCVTFUWSri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
8682 { 2338 /* scvtf */, AArch64::SCVTFUXSri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR64 }, },
8683 { 2338 /* scvtf */, AArch64::SCVTFv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
8684 { 2338 /* scvtf */, AArch64::SCVTFUWDri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR32 }, },
8685 { 2338 /* scvtf */, AArch64::SCVTFUXDri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
8686 { 2338 /* scvtf */, AArch64::SCVTFh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
8687 { 2338 /* scvtf */, AArch64::SCVTFSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32, MCK_Imm1_32 }, },
8688 { 2338 /* scvtf */, AArch64::SCVTFSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64, MCK_Imm1_64 }, },
8689 { 2338 /* scvtf */, AArch64::SCVTFs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
8690 { 2338 /* scvtf */, AArch64::SCVTFSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32, MCK_Imm1_32 }, },
8691 { 2338 /* scvtf */, AArch64::SCVTFSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR64, MCK_Imm1_64 }, },
8692 { 2338 /* scvtf */, AArch64::SCVTFd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
8693 { 2338 /* scvtf */, AArch64::SCVTFSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR32, MCK_Imm1_32 }, },
8694 { 2338 /* scvtf */, AArch64::SCVTFSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64, MCK_Imm1_64 }, },
8695 { 2338 /* scvtf */, AArch64::SCVTFv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8696 { 2338 /* scvtf */, AArch64::SCVTFv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8697 { 2338 /* scvtf */, AArch64::SCVTFv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8698 { 2338 /* scvtf */, AArch64::SCVTFv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8699 { 2338 /* scvtf */, AArch64::SCVTFv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8700 { 2338 /* scvtf */, AArch64::SCVTFv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
8701 { 2338 /* scvtf */, AArch64::SCVTFv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
8702 { 2338 /* scvtf */, AArch64::SCVTFv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
8703 { 2338 /* scvtf */, AArch64::SCVTFv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
8704 { 2338 /* scvtf */, AArch64::SCVTFv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
8705 { 2344 /* sdiv */, AArch64::SDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
8706 { 2344 /* sdiv */, AArch64::SDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8707 { 2349 /* sev */, AArch64::HINT, Convert__imm_95_4, 0, { }, },
8708 { 2353 /* sevl */, AArch64::HINT, Convert__imm_95_5, 0, { }, },
8709 { 2358 /* sha1c */, AArch64::SHA1Crrr, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2, Feature_HasCrypto, { MCK_FPR128, MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
8710 { 2364 /* sha1h */, AArch64::SHA1Hrr, Convert__Reg1_0__Reg1_1, Feature_HasCrypto, { MCK_FPR32, MCK_FPR32 }, },
8711 { 2370 /* sha1m */, AArch64::SHA1Mrrr, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2, Feature_HasCrypto, { MCK_FPR128, MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
8712 { 2376 /* sha1p */, AArch64::SHA1Prrr, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2, Feature_HasCrypto, { MCK_FPR128, MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
8713 { 2382 /* sha1su0 */, AArch64::SHA1SU0rrr, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8714 { 2390 /* sha1su1 */, AArch64::SHA1SU1rr, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8715 { 2398 /* sha256h */, AArch64::SHA256Hrrr, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2, Feature_HasCrypto, { MCK_FPR128, MCK_FPR128, MCK_VectorReg128, MCK__DOT_4s }, },
8716 { 2406 /* sha256h2 */, AArch64::SHA256H2rrr, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2, Feature_HasCrypto, { MCK_FPR128, MCK_FPR128, MCK_VectorReg128, MCK__DOT_4s }, },
8717 { 2415 /* sha256su0 */, AArch64::SHA256SU0rr, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8718 { 2425 /* sha256su1 */, AArch64::SHA256SU1rrr, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasCrypto, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8719 { 2435 /* shadd */, AArch64::SHADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8720 { 2435 /* shadd */, AArch64::SHADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8721 { 2435 /* shadd */, AArch64::SHADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8722 { 2435 /* shadd */, AArch64::SHADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8723 { 2435 /* shadd */, AArch64::SHADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8724 { 2435 /* shadd */, AArch64::SHADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8725 { 2441 /* shl */, AArch64::SHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
8726 { 2441 /* shl */, AArch64::SHLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
8727 { 2441 /* shl */, AArch64::SHLv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
8728 { 2441 /* shl */, AArch64::SHLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
8729 { 2441 /* shl */, AArch64::SHLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
8730 { 2441 /* shl */, AArch64::SHLv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
8731 { 2441 /* shl */, AArch64::SHLv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
8732 { 2441 /* shl */, AArch64::SHLv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
8733 { 2445 /* shll */, AArch64::SHLLv2i32, Convert__VectorReg1281_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK__35_32 }, },
8734 { 2445 /* shll */, AArch64::SHLLv4i16, Convert__VectorReg1281_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK__35_16 }, },
8735 { 2445 /* shll */, AArch64::SHLLv8i8, Convert__VectorReg1281_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK__35_8 }, },
8736 { 2450 /* shll2 */, AArch64::SHLLv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK__35_32 }, },
8737 { 2450 /* shll2 */, AArch64::SHLLv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK__35_16 }, },
8738 { 2450 /* shll2 */, AArch64::SHLLv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK__35_8 }, },
8739 { 2456 /* shrn */, AArch64::SHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
8740 { 2456 /* shrn */, AArch64::SHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
8741 { 2456 /* shrn */, AArch64::SHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
8742 { 2461 /* shrn2 */, AArch64::SHRNv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
8743 { 2461 /* shrn2 */, AArch64::SHRNv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
8744 { 2461 /* shrn2 */, AArch64::SHRNv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
8745 { 2467 /* shsub */, AArch64::SHSUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8746 { 2467 /* shsub */, AArch64::SHSUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8747 { 2467 /* shsub */, AArch64::SHSUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8748 { 2467 /* shsub */, AArch64::SHSUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8749 { 2467 /* shsub */, AArch64::SHSUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8750 { 2467 /* shsub */, AArch64::SHSUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8751 { 2473 /* sli */, AArch64::SLId, Convert__Reg1_0__Tie0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
8752 { 2473 /* sli */, AArch64::SLIv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
8753 { 2473 /* sli */, AArch64::SLIv2i64_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_631_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
8754 { 2473 /* sli */, AArch64::SLIv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
8755 { 2473 /* sli */, AArch64::SLIv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
8756 { 2473 /* sli */, AArch64::SLIv2i32_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
8757 { 2473 /* sli */, AArch64::SLIv4i16_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
8758 { 2473 /* sli */, AArch64::SLIv8i8_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
8759 { 2477 /* smaddl */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
8760 { 2484 /* smax */, AArch64::SMAXv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8761 { 2484 /* smax */, AArch64::SMAXv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8762 { 2484 /* smax */, AArch64::SMAXv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8763 { 2484 /* smax */, AArch64::SMAXv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8764 { 2484 /* smax */, AArch64::SMAXv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8765 { 2484 /* smax */, AArch64::SMAXv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8766 { 2489 /* smaxp */, AArch64::SMAXPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8767 { 2489 /* smaxp */, AArch64::SMAXPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8768 { 2489 /* smaxp */, AArch64::SMAXPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8769 { 2489 /* smaxp */, AArch64::SMAXPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8770 { 2489 /* smaxp */, AArch64::SMAXPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8771 { 2489 /* smaxp */, AArch64::SMAXPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8772 { 2495 /* smaxv */, AArch64::SMAXVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
8773 { 2495 /* smaxv */, AArch64::SMAXVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
8774 { 2495 /* smaxv */, AArch64::SMAXVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
8775 { 2495 /* smaxv */, AArch64::SMAXVv16i8v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
8776 { 2495 /* smaxv */, AArch64::SMAXVv8i8v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
8777 { 2501 /* smc */, AArch64::SMC, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
8778 { 2505 /* smin */, AArch64::SMINv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8779 { 2505 /* smin */, AArch64::SMINv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8780 { 2505 /* smin */, AArch64::SMINv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8781 { 2505 /* smin */, AArch64::SMINv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8782 { 2505 /* smin */, AArch64::SMINv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8783 { 2505 /* smin */, AArch64::SMINv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8784 { 2510 /* sminp */, AArch64::SMINPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8785 { 2510 /* sminp */, AArch64::SMINPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8786 { 2510 /* sminp */, AArch64::SMINPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8787 { 2510 /* sminp */, AArch64::SMINPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8788 { 2510 /* sminp */, AArch64::SMINPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8789 { 2510 /* sminp */, AArch64::SMINPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8790 { 2516 /* sminv */, AArch64::SMINVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
8791 { 2516 /* sminv */, AArch64::SMINVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
8792 { 2516 /* sminv */, AArch64::SMINVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
8793 { 2516 /* sminv */, AArch64::SMINVv16i8v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
8794 { 2516 /* sminv */, AArch64::SMINVv8i8v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
8795 { 2522 /* smlal */, AArch64::SMLALv2i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8796 { 2522 /* smlal */, AArch64::SMLALv4i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8797 { 2522 /* smlal */, AArch64::SMLALv8i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8798 { 2522 /* smlal */, AArch64::SMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8799 { 2522 /* smlal */, AArch64::SMLALv4i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8800 { 2528 /* smlal2 */, AArch64::SMLALv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8801 { 2528 /* smlal2 */, AArch64::SMLALv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8802 { 2528 /* smlal2 */, AArch64::SMLALv16i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8803 { 2528 /* smlal2 */, AArch64::SMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8804 { 2528 /* smlal2 */, AArch64::SMLALv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8805 { 2535 /* smlsl */, AArch64::SMLSLv2i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8806 { 2535 /* smlsl */, AArch64::SMLSLv4i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8807 { 2535 /* smlsl */, AArch64::SMLSLv8i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8808 { 2535 /* smlsl */, AArch64::SMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8809 { 2535 /* smlsl */, AArch64::SMLSLv4i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8810 { 2541 /* smlsl2 */, AArch64::SMLSLv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8811 { 2541 /* smlsl2 */, AArch64::SMLSLv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8812 { 2541 /* smlsl2 */, AArch64::SMLSLv16i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8813 { 2541 /* smlsl2 */, AArch64::SMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8814 { 2541 /* smlsl2 */, AArch64::SMLSLv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8815 { 2548 /* smnegl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
8816 { 2555 /* smov */, AArch64::SMOVvi8to32, Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_3, Feature_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
8817 { 2555 /* smov */, AArch64::SMOVvi16to32, Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_3, Feature_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
8818 { 2555 /* smov */, AArch64::SMOVvi8to64, Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_3, Feature_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
8819 { 2555 /* smov */, AArch64::SMOVvi16to64, Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_3, Feature_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
8820 { 2555 /* smov */, AArch64::SMOVvi32to64, Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_3, Feature_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8821 { 2560 /* smsubl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
8822 { 2567 /* smulh */, AArch64::SMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
8823 { 2573 /* smull */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
8824 { 2573 /* smull */, AArch64::SMULLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8825 { 2573 /* smull */, AArch64::SMULLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8826 { 2573 /* smull */, AArch64::SMULLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8827 { 2573 /* smull */, AArch64::SMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8828 { 2573 /* smull */, AArch64::SMULLv4i16_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8829 { 2579 /* smull2 */, AArch64::SMULLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8830 { 2579 /* smull2 */, AArch64::SMULLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8831 { 2579 /* smull2 */, AArch64::SMULLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8832 { 2579 /* smull2 */, AArch64::SMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8833 { 2579 /* smull2 */, AArch64::SMULLv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8834 { 2586 /* sqabs */, AArch64::SQABSv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
8835 { 2586 /* sqabs */, AArch64::SQABSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
8836 { 2586 /* sqabs */, AArch64::SQABSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
8837 { 2586 /* sqabs */, AArch64::SQABSv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
8838 { 2586 /* sqabs */, AArch64::SQABSv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8839 { 2586 /* sqabs */, AArch64::SQABSv2i64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8840 { 2586 /* sqabs */, AArch64::SQABSv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8841 { 2586 /* sqabs */, AArch64::SQABSv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8842 { 2586 /* sqabs */, AArch64::SQABSv2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8843 { 2586 /* sqabs */, AArch64::SQABSv4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8844 { 2586 /* sqabs */, AArch64::SQABSv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8845 { 2592 /* sqadd */, AArch64::SQADDv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
8846 { 2592 /* sqadd */, AArch64::SQADDv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
8847 { 2592 /* sqadd */, AArch64::SQADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
8848 { 2592 /* sqadd */, AArch64::SQADDv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
8849 { 2592 /* sqadd */, AArch64::SQADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8850 { 2592 /* sqadd */, AArch64::SQADDv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8851 { 2592 /* sqadd */, AArch64::SQADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8852 { 2592 /* sqadd */, AArch64::SQADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8853 { 2592 /* sqadd */, AArch64::SQADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8854 { 2592 /* sqadd */, AArch64::SQADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8855 { 2592 /* sqadd */, AArch64::SQADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8856 { 2598 /* sqdmlal */, AArch64::SQDMLALi16, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
8857 { 2598 /* sqdmlal */, AArch64::SQDMLALi32, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
8858 { 2598 /* sqdmlal */, AArch64::SQDMLALv1i32_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8859 { 2598 /* sqdmlal */, AArch64::SQDMLALv1i64_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8860 { 2598 /* sqdmlal */, AArch64::SQDMLALv2i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8861 { 2598 /* sqdmlal */, AArch64::SQDMLALv4i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8862 { 2598 /* sqdmlal */, AArch64::SQDMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8863 { 2598 /* sqdmlal */, AArch64::SQDMLALv4i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8864 { 2606 /* sqdmlal2 */, AArch64::SQDMLALv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8865 { 2606 /* sqdmlal2 */, AArch64::SQDMLALv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8866 { 2606 /* sqdmlal2 */, AArch64::SQDMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8867 { 2606 /* sqdmlal2 */, AArch64::SQDMLALv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8868 { 2615 /* sqdmlsl */, AArch64::SQDMLSLi16, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
8869 { 2615 /* sqdmlsl */, AArch64::SQDMLSLi32, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
8870 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv1i32_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8871 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv1i64_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8872 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv2i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8873 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv4i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8874 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8875 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv4i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8876 { 2623 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8877 { 2623 /* sqdmlsl2 */, AArch64::SQDMLSLv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8878 { 2623 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8879 { 2623 /* sqdmlsl2 */, AArch64::SQDMLSLv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8880 { 2632 /* sqdmulh */, AArch64::SQDMULHv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
8881 { 2632 /* sqdmulh */, AArch64::SQDMULHv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
8882 { 2632 /* sqdmulh */, AArch64::SQDMULHv1i16_indexed, Convert__Reg1_0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8883 { 2632 /* sqdmulh */, AArch64::SQDMULHv1i32_indexed, Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8884 { 2632 /* sqdmulh */, AArch64::SQDMULHv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8885 { 2632 /* sqdmulh */, AArch64::SQDMULHv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8886 { 2632 /* sqdmulh */, AArch64::SQDMULHv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8887 { 2632 /* sqdmulh */, AArch64::SQDMULHv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8888 { 2632 /* sqdmulh */, AArch64::SQDMULHv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8889 { 2632 /* sqdmulh */, AArch64::SQDMULHv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8890 { 2632 /* sqdmulh */, AArch64::SQDMULHv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8891 { 2632 /* sqdmulh */, AArch64::SQDMULHv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8892 { 2640 /* sqdmull */, AArch64::SQDMULLi16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
8893 { 2640 /* sqdmull */, AArch64::SQDMULLi32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
8894 { 2640 /* sqdmull */, AArch64::SQDMULLv1i32_indexed, Convert__Reg1_0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8895 { 2640 /* sqdmull */, AArch64::SQDMULLv1i64_indexed, Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8896 { 2640 /* sqdmull */, AArch64::SQDMULLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8897 { 2640 /* sqdmull */, AArch64::SQDMULLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8898 { 2640 /* sqdmull */, AArch64::SQDMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8899 { 2640 /* sqdmull */, AArch64::SQDMULLv4i16_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8900 { 2648 /* sqdmull2 */, AArch64::SQDMULLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8901 { 2648 /* sqdmull2 */, AArch64::SQDMULLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8902 { 2648 /* sqdmull2 */, AArch64::SQDMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8903 { 2648 /* sqdmull2 */, AArch64::SQDMULLv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8904 { 2657 /* sqneg */, AArch64::SQNEGv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
8905 { 2657 /* sqneg */, AArch64::SQNEGv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
8906 { 2657 /* sqneg */, AArch64::SQNEGv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
8907 { 2657 /* sqneg */, AArch64::SQNEGv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
8908 { 2657 /* sqneg */, AArch64::SQNEGv16i8, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8909 { 2657 /* sqneg */, AArch64::SQNEGv2i64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8910 { 2657 /* sqneg */, AArch64::SQNEGv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8911 { 2657 /* sqneg */, AArch64::SQNEGv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8912 { 2657 /* sqneg */, AArch64::SQNEGv2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8913 { 2657 /* sqneg */, AArch64::SQNEGv4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8914 { 2657 /* sqneg */, AArch64::SQNEGv8i8, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8915 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv1i16, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasV8_1a, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
8916 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv1i32, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasV8_1a, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
8917 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHi16_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8918 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHi32_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8919 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8920 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8921 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8922 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8923 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8924 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8925 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv2i32_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8926 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv4i16_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8927 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv1i16, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasV8_1a, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
8928 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv1i32, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasV8_1a, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
8929 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHi16_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8930 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHi32_indexed, Convert__Reg1_0__Tie0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8931 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8932 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8933 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8934 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8935 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8936 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8937 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8938 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv4i16_indexed, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON|Feature_HasV8_1a, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8939 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
8940 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
8941 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv1i16_indexed, Convert__Reg1_0__Reg1_1__VectorRegLo1_2__VectorIndexH1_4, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8942 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv1i32_indexed, Convert__Reg1_0__Reg1_1__VectorReg1281_2__VectorIndexS1_4, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8943 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8944 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8945 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8946 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8947 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8948 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8949 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv2i32_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
8950 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv4i16_indexed, Convert__VectorReg641_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
8951 { 2690 /* sqrshl */, AArch64::SQRSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
8952 { 2690 /* sqrshl */, AArch64::SQRSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
8953 { 2690 /* sqrshl */, AArch64::SQRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
8954 { 2690 /* sqrshl */, AArch64::SQRSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
8955 { 2690 /* sqrshl */, AArch64::SQRSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8956 { 2690 /* sqrshl */, AArch64::SQRSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8957 { 2690 /* sqrshl */, AArch64::SQRSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8958 { 2690 /* sqrshl */, AArch64::SQRSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8959 { 2690 /* sqrshl */, AArch64::SQRSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
8960 { 2690 /* sqrshl */, AArch64::SQRSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
8961 { 2690 /* sqrshl */, AArch64::SQRSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
8962 { 2697 /* sqrshrn */, AArch64::SQRSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
8963 { 2697 /* sqrshrn */, AArch64::SQRSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
8964 { 2697 /* sqrshrn */, AArch64::SQRSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
8965 { 2697 /* sqrshrn */, AArch64::SQRSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
8966 { 2697 /* sqrshrn */, AArch64::SQRSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
8967 { 2697 /* sqrshrn */, AArch64::SQRSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
8968 { 2705 /* sqrshrn2 */, AArch64::SQRSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
8969 { 2705 /* sqrshrn2 */, AArch64::SQRSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
8970 { 2705 /* sqrshrn2 */, AArch64::SQRSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
8971 { 2714 /* sqrshrun */, AArch64::SQRSHRUNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
8972 { 2714 /* sqrshrun */, AArch64::SQRSHRUNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
8973 { 2714 /* sqrshrun */, AArch64::SQRSHRUNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
8974 { 2714 /* sqrshrun */, AArch64::SQRSHRUNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
8975 { 2714 /* sqrshrun */, AArch64::SQRSHRUNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
8976 { 2714 /* sqrshrun */, AArch64::SQRSHRUNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
8977 { 2723 /* sqrshrun2 */, AArch64::SQRSHRUNv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
8978 { 2723 /* sqrshrun2 */, AArch64::SQRSHRUNv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
8979 { 2723 /* sqrshrun2 */, AArch64::SQRSHRUNv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
8980 { 2733 /* sqshl */, AArch64::SQSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
8981 { 2733 /* sqshl */, AArch64::SQSHLh, Convert__Reg1_0__Reg1_1__Imm0_151_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
8982 { 2733 /* sqshl */, AArch64::SQSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
8983 { 2733 /* sqshl */, AArch64::SQSHLs, Convert__Reg1_0__Reg1_1__Imm0_311_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
8984 { 2733 /* sqshl */, AArch64::SQSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
8985 { 2733 /* sqshl */, AArch64::SQSHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
8986 { 2733 /* sqshl */, AArch64::SQSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
8987 { 2733 /* sqshl */, AArch64::SQSHLb, Convert__Reg1_0__Reg1_1__Imm0_71_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
8988 { 2733 /* sqshl */, AArch64::SQSHLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
8989 { 2733 /* sqshl */, AArch64::SQSHLv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
8990 { 2733 /* sqshl */, AArch64::SQSHLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
8991 { 2733 /* sqshl */, AArch64::SQSHLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
8992 { 2733 /* sqshl */, AArch64::SQSHLv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
8993 { 2733 /* sqshl */, AArch64::SQSHLv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
8994 { 2733 /* sqshl */, AArch64::SQSHLv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
8995 { 2733 /* sqshl */, AArch64::SQSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
8996 { 2733 /* sqshl */, AArch64::SQSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
8997 { 2733 /* sqshl */, AArch64::SQSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
8998 { 2733 /* sqshl */, AArch64::SQSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
8999 { 2733 /* sqshl */, AArch64::SQSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9000 { 2733 /* sqshl */, AArch64::SQSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9001 { 2733 /* sqshl */, AArch64::SQSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9002 { 2739 /* sqshlu */, AArch64::SQSHLUh, Convert__Reg1_0__Reg1_1__Imm0_151_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
9003 { 2739 /* sqshlu */, AArch64::SQSHLUs, Convert__Reg1_0__Reg1_1__Imm0_311_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
9004 { 2739 /* sqshlu */, AArch64::SQSHLUd, Convert__Reg1_0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
9005 { 2739 /* sqshlu */, AArch64::SQSHLUb, Convert__Reg1_0__Reg1_1__Imm0_71_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
9006 { 2739 /* sqshlu */, AArch64::SQSHLUv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
9007 { 2739 /* sqshlu */, AArch64::SQSHLUv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
9008 { 2739 /* sqshlu */, AArch64::SQSHLUv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
9009 { 2739 /* sqshlu */, AArch64::SQSHLUv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
9010 { 2739 /* sqshlu */, AArch64::SQSHLUv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
9011 { 2739 /* sqshlu */, AArch64::SQSHLUv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
9012 { 2739 /* sqshlu */, AArch64::SQSHLUv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
9013 { 2746 /* sqshrn */, AArch64::SQSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
9014 { 2746 /* sqshrn */, AArch64::SQSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
9015 { 2746 /* sqshrn */, AArch64::SQSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
9016 { 2746 /* sqshrn */, AArch64::SQSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
9017 { 2746 /* sqshrn */, AArch64::SQSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
9018 { 2746 /* sqshrn */, AArch64::SQSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
9019 { 2753 /* sqshrn2 */, AArch64::SQSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
9020 { 2753 /* sqshrn2 */, AArch64::SQSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
9021 { 2753 /* sqshrn2 */, AArch64::SQSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
9022 { 2761 /* sqshrun */, AArch64::SQSHRUNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
9023 { 2761 /* sqshrun */, AArch64::SQSHRUNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
9024 { 2761 /* sqshrun */, AArch64::SQSHRUNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
9025 { 2761 /* sqshrun */, AArch64::SQSHRUNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
9026 { 2761 /* sqshrun */, AArch64::SQSHRUNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
9027 { 2761 /* sqshrun */, AArch64::SQSHRUNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
9028 { 2769 /* sqshrun2 */, AArch64::SQSHRUNv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
9029 { 2769 /* sqshrun2 */, AArch64::SQSHRUNv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
9030 { 2769 /* sqshrun2 */, AArch64::SQSHRUNv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
9031 { 2778 /* sqsub */, AArch64::SQSUBv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
9032 { 2778 /* sqsub */, AArch64::SQSUBv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
9033 { 2778 /* sqsub */, AArch64::SQSUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
9034 { 2778 /* sqsub */, AArch64::SQSUBv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
9035 { 2778 /* sqsub */, AArch64::SQSUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9036 { 2778 /* sqsub */, AArch64::SQSUBv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9037 { 2778 /* sqsub */, AArch64::SQSUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9038 { 2778 /* sqsub */, AArch64::SQSUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9039 { 2778 /* sqsub */, AArch64::SQSUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9040 { 2778 /* sqsub */, AArch64::SQSUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9041 { 2778 /* sqsub */, AArch64::SQSUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9042 { 2784 /* sqxtn */, AArch64::SQXTNv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
9043 { 2784 /* sqxtn */, AArch64::SQXTNv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
9044 { 2784 /* sqxtn */, AArch64::SQXTNv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
9045 { 2784 /* sqxtn */, AArch64::SQXTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
9046 { 2784 /* sqxtn */, AArch64::SQXTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
9047 { 2784 /* sqxtn */, AArch64::SQXTNv8i8, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h }, },
9048 { 2790 /* sqxtn2 */, AArch64::SQXTNv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h }, },
9049 { 2790 /* sqxtn2 */, AArch64::SQXTNv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
9050 { 2790 /* sqxtn2 */, AArch64::SQXTNv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
9051 { 2797 /* sqxtun */, AArch64::SQXTUNv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
9052 { 2797 /* sqxtun */, AArch64::SQXTUNv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
9053 { 2797 /* sqxtun */, AArch64::SQXTUNv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
9054 { 2797 /* sqxtun */, AArch64::SQXTUNv2i32, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
9055 { 2797 /* sqxtun */, AArch64::SQXTUNv4i16, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
9056 { 2797 /* sqxtun */, AArch64::SQXTUNv8i8, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h }, },
9057 { 2804 /* sqxtun2 */, AArch64::SQXTUNv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h }, },
9058 { 2804 /* sqxtun2 */, AArch64::SQXTUNv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
9059 { 2804 /* sqxtun2 */, AArch64::SQXTUNv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
9060 { 2812 /* srhadd */, AArch64::SRHADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9061 { 2812 /* srhadd */, AArch64::SRHADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9062 { 2812 /* srhadd */, AArch64::SRHADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9063 { 2812 /* srhadd */, AArch64::SRHADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9064 { 2812 /* srhadd */, AArch64::SRHADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9065 { 2812 /* srhadd */, AArch64::SRHADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9066 { 2819 /* sri */, AArch64::SRId, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
9067 { 2819 /* sri */, AArch64::SRIv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
9068 { 2819 /* sri */, AArch64::SRIv2i64_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
9069 { 2819 /* sri */, AArch64::SRIv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
9070 { 2819 /* sri */, AArch64::SRIv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
9071 { 2819 /* sri */, AArch64::SRIv2i32_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
9072 { 2819 /* sri */, AArch64::SRIv4i16_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
9073 { 2819 /* sri */, AArch64::SRIv8i8_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
9074 { 2823 /* srshl */, AArch64::SRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
9075 { 2823 /* srshl */, AArch64::SRSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9076 { 2823 /* srshl */, AArch64::SRSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9077 { 2823 /* srshl */, AArch64::SRSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9078 { 2823 /* srshl */, AArch64::SRSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9079 { 2823 /* srshl */, AArch64::SRSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9080 { 2823 /* srshl */, AArch64::SRSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9081 { 2823 /* srshl */, AArch64::SRSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9082 { 2829 /* srshr */, AArch64::SRSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
9083 { 2829 /* srshr */, AArch64::SRSHRv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
9084 { 2829 /* srshr */, AArch64::SRSHRv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
9085 { 2829 /* srshr */, AArch64::SRSHRv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
9086 { 2829 /* srshr */, AArch64::SRSHRv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
9087 { 2829 /* srshr */, AArch64::SRSHRv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
9088 { 2829 /* srshr */, AArch64::SRSHRv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
9089 { 2829 /* srshr */, AArch64::SRSHRv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
9090 { 2835 /* srsra */, AArch64::SRSRAd, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
9091 { 2835 /* srsra */, AArch64::SRSRAv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
9092 { 2835 /* srsra */, AArch64::SRSRAv2i64_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
9093 { 2835 /* srsra */, AArch64::SRSRAv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
9094 { 2835 /* srsra */, AArch64::SRSRAv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
9095 { 2835 /* srsra */, AArch64::SRSRAv2i32_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
9096 { 2835 /* srsra */, AArch64::SRSRAv4i16_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
9097 { 2835 /* srsra */, AArch64::SRSRAv8i8_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
9098 { 2841 /* sshl */, AArch64::SSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
9099 { 2841 /* sshl */, AArch64::SSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9100 { 2841 /* sshl */, AArch64::SSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9101 { 2841 /* sshl */, AArch64::SSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9102 { 2841 /* sshl */, AArch64::SSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9103 { 2841 /* sshl */, AArch64::SSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9104 { 2841 /* sshl */, AArch64::SSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9105 { 2841 /* sshl */, AArch64::SSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9106 { 2846 /* sshll */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
9107 { 2846 /* sshll */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
9108 { 2846 /* sshll */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
9109 { 2852 /* sshll2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
9110 { 2852 /* sshll2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
9111 { 2852 /* sshll2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
9112 { 2859 /* sshr */, AArch64::SSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
9113 { 2859 /* sshr */, AArch64::SSHRv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
9114 { 2859 /* sshr */, AArch64::SSHRv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
9115 { 2859 /* sshr */, AArch64::SSHRv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
9116 { 2859 /* sshr */, AArch64::SSHRv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
9117 { 2859 /* sshr */, AArch64::SSHRv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
9118 { 2859 /* sshr */, AArch64::SSHRv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
9119 { 2859 /* sshr */, AArch64::SSHRv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
9120 { 2864 /* ssra */, AArch64::SSRAd, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
9121 { 2864 /* ssra */, AArch64::SSRAv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
9122 { 2864 /* ssra */, AArch64::SSRAv2i64_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
9123 { 2864 /* ssra */, AArch64::SSRAv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
9124 { 2864 /* ssra */, AArch64::SSRAv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
9125 { 2864 /* ssra */, AArch64::SSRAv2i32_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
9126 { 2864 /* ssra */, AArch64::SSRAv4i16_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
9127 { 2864 /* ssra */, AArch64::SSRAv8i8_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
9128 { 2869 /* ssubl */, AArch64::SSUBLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9129 { 2869 /* ssubl */, AArch64::SSUBLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9130 { 2869 /* ssubl */, AArch64::SSUBLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9131 { 2875 /* ssubl2 */, AArch64::SSUBLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9132 { 2875 /* ssubl2 */, AArch64::SSUBLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9133 { 2875 /* ssubl2 */, AArch64::SSUBLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9134 { 2882 /* ssubw */, AArch64::SSUBWv2i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
9135 { 2882 /* ssubw */, AArch64::SSUBWv4i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
9136 { 2882 /* ssubw */, AArch64::SSUBWv8i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
9137 { 2888 /* ssubw2 */, AArch64::SSUBWv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
9138 { 2888 /* ssubw2 */, AArch64::SSUBWv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
9139 { 2888 /* ssubw2 */, AArch64::SSUBWv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
9140 { 2895 /* st1 */, AArch64::ST1Fourv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9141 { 2895 /* st1 */, AArch64::ST1Fourv1d, Convert__TypedVectorList4_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9142 { 2895 /* st1 */, AArch64::ST1Fourv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9143 { 2895 /* st1 */, AArch64::ST1Fourv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9144 { 2895 /* st1 */, AArch64::ST1Fourv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9145 { 2895 /* st1 */, AArch64::ST1Fourv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9146 { 2895 /* st1 */, AArch64::ST1Fourv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9147 { 2895 /* st1 */, AArch64::ST1Fourv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9148 { 2895 /* st1 */, AArch64::ST1Onev16b, Convert__TypedVectorList1_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9149 { 2895 /* st1 */, AArch64::ST1Onev1d, Convert__TypedVectorList1_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9150 { 2895 /* st1 */, AArch64::ST1Onev2d, Convert__TypedVectorList1_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9151 { 2895 /* st1 */, AArch64::ST1Onev2s, Convert__TypedVectorList1_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9152 { 2895 /* st1 */, AArch64::ST1Onev4h, Convert__TypedVectorList1_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9153 { 2895 /* st1 */, AArch64::ST1Onev4s, Convert__TypedVectorList1_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9154 { 2895 /* st1 */, AArch64::ST1Onev8b, Convert__TypedVectorList1_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9155 { 2895 /* st1 */, AArch64::ST1Onev8h, Convert__TypedVectorList1_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9156 { 2895 /* st1 */, AArch64::ST1Threev16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9157 { 2895 /* st1 */, AArch64::ST1Threev1d, Convert__TypedVectorList3_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9158 { 2895 /* st1 */, AArch64::ST1Threev2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9159 { 2895 /* st1 */, AArch64::ST1Threev2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9160 { 2895 /* st1 */, AArch64::ST1Threev4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9161 { 2895 /* st1 */, AArch64::ST1Threev4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9162 { 2895 /* st1 */, AArch64::ST1Threev8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9163 { 2895 /* st1 */, AArch64::ST1Threev8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9164 { 2895 /* st1 */, AArch64::ST1Twov16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9165 { 2895 /* st1 */, AArch64::ST1Twov1d, Convert__TypedVectorList2_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9166 { 2895 /* st1 */, AArch64::ST1Twov2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9167 { 2895 /* st1 */, AArch64::ST1Twov2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9168 { 2895 /* st1 */, AArch64::ST1Twov4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9169 { 2895 /* st1 */, AArch64::ST1Twov4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9170 { 2895 /* st1 */, AArch64::ST1Twov8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9171 { 2895 /* st1 */, AArch64::ST1Twov8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9172 { 2895 /* st1 */, AArch64::ST1Fourv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9173 { 2895 /* st1 */, AArch64::ST1Onev16b, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9174 { 2895 /* st1 */, AArch64::ST1Threev16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9175 { 2895 /* st1 */, AArch64::ST1Twov16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9176 { 2895 /* st1 */, AArch64::ST1Fourv1d, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9177 { 2895 /* st1 */, AArch64::ST1Onev1d, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9178 { 2895 /* st1 */, AArch64::ST1Threev1d, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9179 { 2895 /* st1 */, AArch64::ST1Twov1d, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9180 { 2895 /* st1 */, AArch64::ST1Fourv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9181 { 2895 /* st1 */, AArch64::ST1Onev2d, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9182 { 2895 /* st1 */, AArch64::ST1Threev2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9183 { 2895 /* st1 */, AArch64::ST1Twov2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9184 { 2895 /* st1 */, AArch64::ST1Fourv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9185 { 2895 /* st1 */, AArch64::ST1Onev2s, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9186 { 2895 /* st1 */, AArch64::ST1Threev2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9187 { 2895 /* st1 */, AArch64::ST1Twov2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9188 { 2895 /* st1 */, AArch64::ST1Fourv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9189 { 2895 /* st1 */, AArch64::ST1Onev4h, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9190 { 2895 /* st1 */, AArch64::ST1Threev4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9191 { 2895 /* st1 */, AArch64::ST1Twov4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9192 { 2895 /* st1 */, AArch64::ST1Fourv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9193 { 2895 /* st1 */, AArch64::ST1Onev4s, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9194 { 2895 /* st1 */, AArch64::ST1Threev4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9195 { 2895 /* st1 */, AArch64::ST1Twov4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9196 { 2895 /* st1 */, AArch64::ST1Fourv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9197 { 2895 /* st1 */, AArch64::ST1Onev8b, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9198 { 2895 /* st1 */, AArch64::ST1Threev8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9199 { 2895 /* st1 */, AArch64::ST1Twov8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9200 { 2895 /* st1 */, AArch64::ST1Fourv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9201 { 2895 /* st1 */, AArch64::ST1Onev8h, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9202 { 2895 /* st1 */, AArch64::ST1Threev8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9203 { 2895 /* st1 */, AArch64::ST1Twov8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9204 { 2895 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9205 { 2895 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9206 { 2895 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9207 { 2895 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9208 { 2895 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9209 { 2895 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9210 { 2895 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9211 { 2895 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9212 { 2895 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9213 { 2895 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9214 { 2895 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9215 { 2895 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9216 { 2895 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9217 { 2895 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9218 { 2895 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9219 { 2895 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9220 { 2895 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9221 { 2895 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9222 { 2895 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9223 { 2895 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9224 { 2895 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9225 { 2895 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9226 { 2895 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9227 { 2895 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9228 { 2895 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9229 { 2895 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9230 { 2895 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9231 { 2895 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9232 { 2895 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9233 { 2895 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9234 { 2895 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9235 { 2895 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9236 { 2895 /* st1 */, AArch64::ST1i8, Convert__TypedVectorList1_0b1_0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9237 { 2895 /* st1 */, AArch64::ST1i64, Convert__TypedVectorList1_0d1_0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9238 { 2895 /* st1 */, AArch64::ST1i16, Convert__TypedVectorList1_0h1_0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9239 { 2895 /* st1 */, AArch64::ST1i32, Convert__TypedVectorList1_0s1_0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9240 { 2895 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9241 { 2895 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9242 { 2895 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9243 { 2895 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9244 { 2895 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9245 { 2895 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9246 { 2895 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9247 { 2895 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9248 { 2895 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9249 { 2895 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9250 { 2895 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9251 { 2895 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9252 { 2895 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9253 { 2895 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9254 { 2895 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9255 { 2895 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9256 { 2895 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9257 { 2895 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9258 { 2895 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9259 { 2895 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9260 { 2895 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9261 { 2895 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9262 { 2895 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9263 { 2895 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9264 { 2895 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9265 { 2895 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9266 { 2895 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9267 { 2895 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9268 { 2895 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9269 { 2895 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9270 { 2895 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9271 { 2895 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9272 { 2895 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9273 { 2895 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9274 { 2895 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9275 { 2895 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9276 { 2895 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9277 { 2895 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9278 { 2895 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9279 { 2895 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9280 { 2895 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9281 { 2895 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9282 { 2895 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9283 { 2895 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9284 { 2895 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9285 { 2895 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9286 { 2895 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9287 { 2895 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9288 { 2895 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9289 { 2895 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9290 { 2895 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9291 { 2895 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9292 { 2895 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9293 { 2895 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9294 { 2895 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9295 { 2895 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9296 { 2895 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9297 { 2895 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9298 { 2895 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9299 { 2895 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9300 { 2895 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9301 { 2895 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9302 { 2895 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9303 { 2895 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9304 { 2895 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9305 { 2895 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9306 { 2895 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9307 { 2895 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9308 { 2895 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9309 { 2895 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9310 { 2895 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9311 { 2895 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9312 { 2895 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9313 { 2895 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9314 { 2895 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9315 { 2895 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9316 { 2895 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9317 { 2895 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9318 { 2895 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9319 { 2895 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9320 { 2895 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9321 { 2895 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9322 { 2895 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9323 { 2895 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9324 { 2895 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9325 { 2895 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9326 { 2895 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9327 { 2895 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9328 { 2895 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9329 { 2895 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9330 { 2895 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9331 { 2895 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9332 { 2895 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9333 { 2895 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9334 { 2895 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9335 { 2895 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9336 { 2895 /* st1 */, AArch64::ST1i8, Convert__VecListOne1281_1__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9337 { 2895 /* st1 */, AArch64::ST1i64, Convert__VecListOne1281_1__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9338 { 2895 /* st1 */, AArch64::ST1i16, Convert__VecListOne1281_1__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9339 { 2895 /* st1 */, AArch64::ST1i32, Convert__VecListOne1281_1__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9340 { 2895 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_3__TypedVectorList1_0b1_0__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
9341 { 2895 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_3__TypedVectorList1_0b1_0__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9342 { 2895 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_3__TypedVectorList1_0d1_0__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9343 { 2895 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_3__TypedVectorList1_0d1_0__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9344 { 2895 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_3__TypedVectorList1_0h1_0__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
9345 { 2895 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_3__TypedVectorList1_0h1_0__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9346 { 2895 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_3__TypedVectorList1_0s1_0__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
9347 { 2895 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_3__TypedVectorList1_0s1_0__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9348 { 2895 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
9349 { 2895 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9350 { 2895 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9351 { 2895 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9352 { 2895 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
9353 { 2895 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9354 { 2895 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
9355 { 2895 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9356 { 2899 /* st2 */, AArch64::ST2Twov16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9357 { 2899 /* st2 */, AArch64::ST2Twov2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9358 { 2899 /* st2 */, AArch64::ST2Twov2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9359 { 2899 /* st2 */, AArch64::ST2Twov4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9360 { 2899 /* st2 */, AArch64::ST2Twov4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9361 { 2899 /* st2 */, AArch64::ST2Twov8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9362 { 2899 /* st2 */, AArch64::ST2Twov8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9363 { 2899 /* st2 */, AArch64::ST2Twov16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9364 { 2899 /* st2 */, AArch64::ST2Twov2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9365 { 2899 /* st2 */, AArch64::ST2Twov2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9366 { 2899 /* st2 */, AArch64::ST2Twov4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9367 { 2899 /* st2 */, AArch64::ST2Twov4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9368 { 2899 /* st2 */, AArch64::ST2Twov8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9369 { 2899 /* st2 */, AArch64::ST2Twov8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9370 { 2899 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9371 { 2899 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9372 { 2899 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9373 { 2899 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9374 { 2899 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9375 { 2899 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9376 { 2899 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9377 { 2899 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9378 { 2899 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9379 { 2899 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9380 { 2899 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9381 { 2899 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9382 { 2899 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9383 { 2899 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9384 { 2899 /* st2 */, AArch64::ST2i8, Convert__TypedVectorList2_0b1_0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9385 { 2899 /* st2 */, AArch64::ST2i64, Convert__TypedVectorList2_0d1_0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9386 { 2899 /* st2 */, AArch64::ST2i16, Convert__TypedVectorList2_0h1_0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9387 { 2899 /* st2 */, AArch64::ST2i32, Convert__TypedVectorList2_0s1_0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9388 { 2899 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9389 { 2899 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9390 { 2899 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9391 { 2899 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9392 { 2899 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9393 { 2899 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9394 { 2899 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9395 { 2899 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9396 { 2899 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9397 { 2899 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9398 { 2899 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9399 { 2899 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9400 { 2899 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9401 { 2899 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9402 { 2899 /* st2 */, AArch64::ST2i8, Convert__VecListTwo1281_1__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9403 { 2899 /* st2 */, AArch64::ST2i64, Convert__VecListTwo1281_1__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9404 { 2899 /* st2 */, AArch64::ST2i16, Convert__VecListTwo1281_1__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9405 { 2899 /* st2 */, AArch64::ST2i32, Convert__VecListTwo1281_1__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9406 { 2899 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_3__TypedVectorList2_0b1_0__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
9407 { 2899 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_3__TypedVectorList2_0b1_0__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9408 { 2899 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_3__TypedVectorList2_0d1_0__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9409 { 2899 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_3__TypedVectorList2_0d1_0__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9410 { 2899 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_3__TypedVectorList2_0h1_0__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
9411 { 2899 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_3__TypedVectorList2_0h1_0__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9412 { 2899 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_3__TypedVectorList2_0s1_0__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9413 { 2899 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_3__TypedVectorList2_0s1_0__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9414 { 2899 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
9415 { 2899 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9416 { 2899 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9417 { 2899 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9418 { 2899 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
9419 { 2899 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9420 { 2899 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9421 { 2899 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9422 { 2903 /* st3 */, AArch64::ST3Threev16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9423 { 2903 /* st3 */, AArch64::ST3Threev2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9424 { 2903 /* st3 */, AArch64::ST3Threev2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9425 { 2903 /* st3 */, AArch64::ST3Threev4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9426 { 2903 /* st3 */, AArch64::ST3Threev4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9427 { 2903 /* st3 */, AArch64::ST3Threev8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9428 { 2903 /* st3 */, AArch64::ST3Threev8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9429 { 2903 /* st3 */, AArch64::ST3Threev16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9430 { 2903 /* st3 */, AArch64::ST3Threev2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9431 { 2903 /* st3 */, AArch64::ST3Threev2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9432 { 2903 /* st3 */, AArch64::ST3Threev4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9433 { 2903 /* st3 */, AArch64::ST3Threev4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9434 { 2903 /* st3 */, AArch64::ST3Threev8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9435 { 2903 /* st3 */, AArch64::ST3Threev8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9436 { 2903 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9437 { 2903 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9438 { 2903 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9439 { 2903 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9440 { 2903 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9441 { 2903 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9442 { 2903 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9443 { 2903 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9444 { 2903 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9445 { 2903 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9446 { 2903 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9447 { 2903 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9448 { 2903 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9449 { 2903 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9450 { 2903 /* st3 */, AArch64::ST3i8, Convert__TypedVectorList3_0b1_0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9451 { 2903 /* st3 */, AArch64::ST3i64, Convert__TypedVectorList3_0d1_0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9452 { 2903 /* st3 */, AArch64::ST3i16, Convert__TypedVectorList3_0h1_0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9453 { 2903 /* st3 */, AArch64::ST3i32, Convert__TypedVectorList3_0s1_0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9454 { 2903 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9455 { 2903 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9456 { 2903 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9457 { 2903 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9458 { 2903 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9459 { 2903 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9460 { 2903 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9461 { 2903 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9462 { 2903 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9463 { 2903 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9464 { 2903 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9465 { 2903 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9466 { 2903 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
9467 { 2903 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9468 { 2903 /* st3 */, AArch64::ST3i8, Convert__VecListThree1281_1__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9469 { 2903 /* st3 */, AArch64::ST3i64, Convert__VecListThree1281_1__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9470 { 2903 /* st3 */, AArch64::ST3i16, Convert__VecListThree1281_1__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9471 { 2903 /* st3 */, AArch64::ST3i32, Convert__VecListThree1281_1__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9472 { 2903 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_3__TypedVectorList3_0b1_0__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
9473 { 2903 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_3__TypedVectorList3_0b1_0__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9474 { 2903 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_3__TypedVectorList3_0d1_0__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9475 { 2903 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_3__TypedVectorList3_0d1_0__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9476 { 2903 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_3__TypedVectorList3_0h1_0__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
9477 { 2903 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_3__TypedVectorList3_0h1_0__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9478 { 2903 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_3__TypedVectorList3_0s1_0__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
9479 { 2903 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_3__TypedVectorList3_0s1_0__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9480 { 2903 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
9481 { 2903 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9482 { 2903 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
9483 { 2903 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9484 { 2903 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
9485 { 2903 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9486 { 2903 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
9487 { 2903 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9488 { 2907 /* st4 */, AArch64::ST4Fourv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9489 { 2907 /* st4 */, AArch64::ST4Fourv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9490 { 2907 /* st4 */, AArch64::ST4Fourv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9491 { 2907 /* st4 */, AArch64::ST4Fourv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9492 { 2907 /* st4 */, AArch64::ST4Fourv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9493 { 2907 /* st4 */, AArch64::ST4Fourv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9494 { 2907 /* st4 */, AArch64::ST4Fourv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9495 { 2907 /* st4 */, AArch64::ST4Fourv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9496 { 2907 /* st4 */, AArch64::ST4Fourv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9497 { 2907 /* st4 */, AArch64::ST4Fourv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9498 { 2907 /* st4 */, AArch64::ST4Fourv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9499 { 2907 /* st4 */, AArch64::ST4Fourv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9500 { 2907 /* st4 */, AArch64::ST4Fourv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9501 { 2907 /* st4 */, AArch64::ST4Fourv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9502 { 2907 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9503 { 2907 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9504 { 2907 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9505 { 2907 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9506 { 2907 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9507 { 2907 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9508 { 2907 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9509 { 2907 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9510 { 2907 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9511 { 2907 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9512 { 2907 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9513 { 2907 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9514 { 2907 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9515 { 2907 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9516 { 2907 /* st4 */, AArch64::ST4i8, Convert__TypedVectorList4_0b1_0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9517 { 2907 /* st4 */, AArch64::ST4i64, Convert__TypedVectorList4_0d1_0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9518 { 2907 /* st4 */, AArch64::ST4i16, Convert__TypedVectorList4_0h1_0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9519 { 2907 /* st4 */, AArch64::ST4i32, Convert__TypedVectorList4_0s1_0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9520 { 2907 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9521 { 2907 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9522 { 2907 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9523 { 2907 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9524 { 2907 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9525 { 2907 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9526 { 2907 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9527 { 2907 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9528 { 2907 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9529 { 2907 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9530 { 2907 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9531 { 2907 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9532 { 2907 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
9533 { 2907 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9534 { 2907 /* st4 */, AArch64::ST4i8, Convert__VecListFour1281_1__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9535 { 2907 /* st4 */, AArch64::ST4i64, Convert__VecListFour1281_1__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9536 { 2907 /* st4 */, AArch64::ST4i16, Convert__VecListFour1281_1__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9537 { 2907 /* st4 */, AArch64::ST4i32, Convert__VecListFour1281_1__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9538 { 2907 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_3__TypedVectorList4_0b1_0__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
9539 { 2907 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_3__TypedVectorList4_0b1_0__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9540 { 2907 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_3__TypedVectorList4_0d1_0__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9541 { 2907 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_3__TypedVectorList4_0d1_0__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9542 { 2907 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_3__TypedVectorList4_0h1_0__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9543 { 2907 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_3__TypedVectorList4_0h1_0__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9544 { 2907 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_3__TypedVectorList4_0s1_0__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9545 { 2907 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_3__TypedVectorList4_0s1_0__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9546 { 2907 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
9547 { 2907 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9548 { 2907 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
9549 { 2907 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9550 { 2907 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
9551 { 2907 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9552 { 2907 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
9553 { 2907 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
9554 { 2911 /* stadd */, AArch64::LDADDs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9555 { 2911 /* stadd */, AArch64::LDADDd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9556 { 2917 /* staddb */, AArch64::LDADDb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9557 { 2924 /* staddh */, AArch64::LDADDh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9558 { 2931 /* staddl */, AArch64::LDADDLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9559 { 2931 /* staddl */, AArch64::LDADDLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9560 { 2938 /* staddlb */, AArch64::LDADDLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9561 { 2946 /* staddlh */, AArch64::LDADDLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9562 { 2954 /* stclr */, AArch64::LDCLRs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9563 { 2954 /* stclr */, AArch64::LDCLRd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9564 { 2960 /* stclrb */, AArch64::LDCLRb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9565 { 2967 /* stclrh */, AArch64::LDCLRh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9566 { 2974 /* stclrl */, AArch64::LDCLRLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9567 { 2974 /* stclrl */, AArch64::LDCLRLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9568 { 2981 /* stclrlb */, AArch64::LDCLRLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9569 { 2989 /* stclrlh */, AArch64::LDCLRLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9570 { 2997 /* steor */, AArch64::LDEORs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9571 { 2997 /* steor */, AArch64::LDEORd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9572 { 3003 /* steorb */, AArch64::LDEORb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9573 { 3010 /* steorh */, AArch64::LDEORh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9574 { 3017 /* steorl */, AArch64::LDEORLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9575 { 3017 /* steorl */, AArch64::LDEORLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9576 { 3024 /* steorlb */, AArch64::LDEORLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9577 { 3032 /* steorlh */, AArch64::LDEORLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9578 { 3040 /* stllr */, AArch64::STLLRW, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9579 { 3040 /* stllr */, AArch64::STLLRX, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9580 { 3046 /* stllrb */, AArch64::STLLRB, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9581 { 3053 /* stllrh */, AArch64::STLLRH, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9582 { 3060 /* stlr */, AArch64::STLRW, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9583 { 3060 /* stlr */, AArch64::STLRX, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9584 { 3065 /* stlrb */, AArch64::STLRB, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9585 { 3071 /* stlrh */, AArch64::STLRH, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9586 { 3077 /* stlxp */, AArch64::STLXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9587 { 3077 /* stlxp */, AArch64::STLXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, 0, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9588 { 3083 /* stlxr */, AArch64::STLXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9589 { 3083 /* stlxr */, AArch64::STLXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9590 { 3089 /* stlxrb */, AArch64::STLXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9591 { 3096 /* stlxrh */, AArch64::STLXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9592 { 3103 /* stnp */, AArch64::STNPQi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9593 { 3103 /* stnp */, AArch64::STNPSi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9594 { 3103 /* stnp */, AArch64::STNPDi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9595 { 3103 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9596 { 3103 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9597 { 3103 /* stnp */, AArch64::STNPQi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
9598 { 3103 /* stnp */, AArch64::STNPSi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
9599 { 3103 /* stnp */, AArch64::STNPDi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
9600 { 3103 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
9601 { 3103 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
9602 { 3108 /* stp */, AArch64::STPQi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9603 { 3108 /* stp */, AArch64::STPSi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9604 { 3108 /* stp */, AArch64::STPDi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9605 { 3108 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9606 { 3108 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9607 { 3108 /* stp */, AArch64::STPQpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_5, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
9608 { 3108 /* stp */, AArch64::STPQi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
9609 { 3108 /* stp */, AArch64::STPSpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
9610 { 3108 /* stp */, AArch64::STPSi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
9611 { 3108 /* stp */, AArch64::STPDpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
9612 { 3108 /* stp */, AArch64::STPDi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
9613 { 3108 /* stp */, AArch64::STPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
9614 { 3108 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
9615 { 3108 /* stp */, AArch64::STPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
9616 { 3108 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
9617 { 3108 /* stp */, AArch64::STPQpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
9618 { 3108 /* stp */, AArch64::STPSpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
9619 { 3108 /* stp */, AArch64::STPDpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
9620 { 3108 /* stp */, AArch64::STPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
9621 { 3108 /* stp */, AArch64::STPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
9622 { 3112 /* str */, AArch64::STRQui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9623 { 3112 /* str */, AArch64::STRHui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9624 { 3112 /* str */, AArch64::STRSui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9625 { 3112 /* str */, AArch64::STRDui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9626 { 3112 /* str */, AArch64::STRBui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9627 { 3112 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9628 { 3112 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9629 { 3112 /* str */, AArch64::STRQpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9630 { 3112 /* str */, AArch64::STRQroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9631 { 3112 /* str */, AArch64::STURQi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB1281_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
9632 { 3112 /* str */, AArch64::STRQui, Convert__Reg1_0__Reg1_2__UImm12Offset161_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
9633 { 3112 /* str */, AArch64::STRHpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9634 { 3112 /* str */, AArch64::STRHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9635 { 3112 /* str */, AArch64::STURHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
9636 { 3112 /* str */, AArch64::STRHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
9637 { 3112 /* str */, AArch64::STRSpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9638 { 3112 /* str */, AArch64::STRSroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9639 { 3112 /* str */, AArch64::STURSi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
9640 { 3112 /* str */, AArch64::STRSui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
9641 { 3112 /* str */, AArch64::STRDpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9642 { 3112 /* str */, AArch64::STRDroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9643 { 3112 /* str */, AArch64::STURDi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
9644 { 3112 /* str */, AArch64::STRDui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
9645 { 3112 /* str */, AArch64::STRBpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9646 { 3112 /* str */, AArch64::STRBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9647 { 3112 /* str */, AArch64::STURBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
9648 { 3112 /* str */, AArch64::STRBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
9649 { 3112 /* str */, AArch64::STRWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9650 { 3112 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9651 { 3112 /* str */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
9652 { 3112 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
9653 { 3112 /* str */, AArch64::STRXpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9654 { 3112 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9655 { 3112 /* str */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
9656 { 3112 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
9657 { 3112 /* str */, AArch64::STRQroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend1282_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
9658 { 3112 /* str */, AArch64::STRQroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend1282_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
9659 { 3112 /* str */, AArch64::STRQpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9660 { 3112 /* str */, AArch64::STRHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
9661 { 3112 /* str */, AArch64::STRHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
9662 { 3112 /* str */, AArch64::STRHpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9663 { 3112 /* str */, AArch64::STRSroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
9664 { 3112 /* str */, AArch64::STRSroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
9665 { 3112 /* str */, AArch64::STRSpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9666 { 3112 /* str */, AArch64::STRDroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
9667 { 3112 /* str */, AArch64::STRDroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
9668 { 3112 /* str */, AArch64::STRDpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9669 { 3112 /* str */, AArch64::STRBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
9670 { 3112 /* str */, AArch64::STRBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
9671 { 3112 /* str */, AArch64::STRBpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9672 { 3112 /* str */, AArch64::STRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
9673 { 3112 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
9674 { 3112 /* str */, AArch64::STRWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9675 { 3112 /* str */, AArch64::STRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
9676 { 3112 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
9677 { 3112 /* str */, AArch64::STRXpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9678 { 3116 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9679 { 3116 /* strb */, AArch64::STRBBpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9680 { 3116 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9681 { 3116 /* strb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
9682 { 3116 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
9683 { 3116 /* strb */, AArch64::STRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
9684 { 3116 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
9685 { 3116 /* strb */, AArch64::STRBBpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9686 { 3121 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9687 { 3121 /* strh */, AArch64::STRHHpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
9688 { 3121 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
9689 { 3121 /* strh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
9690 { 3121 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
9691 { 3121 /* strh */, AArch64::STRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
9692 { 3121 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
9693 { 3121 /* strh */, AArch64::STRHHpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
9694 { 3126 /* stset */, AArch64::LDSETs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9695 { 3126 /* stset */, AArch64::LDSETd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9696 { 3132 /* stsetb */, AArch64::LDSETb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9697 { 3139 /* stseth */, AArch64::LDSETh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9698 { 3146 /* stsetl */, AArch64::LDSETLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9699 { 3146 /* stsetl */, AArch64::LDSETLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9700 { 3153 /* stsetlb */, AArch64::LDSETLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9701 { 3161 /* stsetlh */, AArch64::LDSETLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9702 { 3169 /* stsmax */, AArch64::LDSMAXs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9703 { 3169 /* stsmax */, AArch64::LDSMAXd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9704 { 3176 /* stsmaxb */, AArch64::LDSMAXb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9705 { 3184 /* stsmaxh */, AArch64::LDSMAXh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9706 { 3192 /* stsmaxl */, AArch64::LDSMAXLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9707 { 3192 /* stsmaxl */, AArch64::LDSMAXLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9708 { 3200 /* stsmaxlb */, AArch64::LDSMAXLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9709 { 3209 /* stsmaxlh */, AArch64::LDSMAXLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9710 { 3218 /* stsmin */, AArch64::LDSMINs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9711 { 3218 /* stsmin */, AArch64::LDSMINd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9712 { 3225 /* stsminb */, AArch64::LDSMINb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9713 { 3233 /* stsminh */, AArch64::LDSMINh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9714 { 3241 /* stsminl */, AArch64::LDSMINLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9715 { 3241 /* stsminl */, AArch64::LDSMINLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9716 { 3249 /* stsminlb */, AArch64::LDSMINLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9717 { 3258 /* stsminlh */, AArch64::LDSMINLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9718 { 3267 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9719 { 3267 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9720 { 3267 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9721 { 3267 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9722 { 3272 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9723 { 3272 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9724 { 3278 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9725 { 3278 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9726 { 3284 /* stumax */, AArch64::LDUMAXs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9727 { 3284 /* stumax */, AArch64::LDUMAXd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9728 { 3291 /* stumaxb */, AArch64::LDUMAXb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9729 { 3299 /* stumaxh */, AArch64::LDUMAXh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9730 { 3307 /* stumaxl */, AArch64::LDUMAXLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9731 { 3307 /* stumaxl */, AArch64::LDUMAXLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9732 { 3315 /* stumaxlb */, AArch64::LDUMAXLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9733 { 3324 /* stumaxlh */, AArch64::LDUMAXLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9734 { 3333 /* stumin */, AArch64::LDUMINs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9735 { 3333 /* stumin */, AArch64::LDUMINd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9736 { 3340 /* stuminb */, AArch64::LDUMINb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9737 { 3348 /* stuminh */, AArch64::LDUMINh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9738 { 3356 /* stuminl */, AArch64::LDUMINLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9739 { 3356 /* stuminl */, AArch64::LDUMINLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9740 { 3364 /* stuminlb */, AArch64::LDUMINLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9741 { 3373 /* stuminlh */, AArch64::LDUMINLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9742 { 3382 /* stur */, AArch64::STURQi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9743 { 3382 /* stur */, AArch64::STURHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9744 { 3382 /* stur */, AArch64::STURSi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9745 { 3382 /* stur */, AArch64::STURDi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9746 { 3382 /* stur */, AArch64::STURBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9747 { 3382 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9748 { 3382 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9749 { 3382 /* stur */, AArch64::STURQi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9750 { 3382 /* stur */, AArch64::STURHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9751 { 3382 /* stur */, AArch64::STURSi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9752 { 3382 /* stur */, AArch64::STURDi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9753 { 3382 /* stur */, AArch64::STURBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9754 { 3382 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9755 { 3382 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9756 { 3387 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9757 { 3387 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9758 { 3393 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9759 { 3393 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
9760 { 3399 /* stxp */, AArch64::STXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9761 { 3399 /* stxp */, AArch64::STXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, 0, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9762 { 3404 /* stxr */, AArch64::STXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9763 { 3404 /* stxr */, AArch64::STXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9764 { 3409 /* stxrb */, AArch64::STXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9765 { 3415 /* stxrh */, AArch64::STXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
9766 { 3421 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
9767 { 3421 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
9768 { 3421 /* sub */, AArch64::SUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
9769 { 3421 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
9770 { 3421 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
9771 { 3421 /* sub */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImmNeg }, },
9772 { 3421 /* sub */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
9773 { 3421 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
9774 { 3421 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
9775 { 3421 /* sub */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImmNeg }, },
9776 { 3421 /* sub */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
9777 { 3421 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
9778 { 3421 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
9779 { 3421 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
9780 { 3421 /* sub */, AArch64::SUBXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
9781 { 3421 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
9782 { 3421 /* sub */, AArch64::SUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9783 { 3421 /* sub */, AArch64::SUBv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9784 { 3421 /* sub */, AArch64::SUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9785 { 3421 /* sub */, AArch64::SUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9786 { 3421 /* sub */, AArch64::SUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9787 { 3421 /* sub */, AArch64::SUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9788 { 3421 /* sub */, AArch64::SUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9789 { 3425 /* subhn */, AArch64::SUBHNv2i64_v2i32, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9790 { 3425 /* subhn */, AArch64::SUBHNv4i32_v4i16, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9791 { 3425 /* subhn */, AArch64::SUBHNv8i16_v8i8, Convert__VectorReg641_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9792 { 3431 /* subhn2 */, AArch64::SUBHNv8i16_v16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9793 { 3431 /* subhn2 */, AArch64::SUBHNv2i64_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9794 { 3431 /* subhn2 */, AArch64::SUBHNv4i32_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9795 { 3438 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
9796 { 3438 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
9797 { 3438 /* subs */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImmNeg }, },
9798 { 3438 /* subs */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
9799 { 3438 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
9800 { 3438 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
9801 { 3438 /* subs */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImmNeg }, },
9802 { 3438 /* subs */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
9803 { 3438 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
9804 { 3438 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
9805 { 3438 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
9806 { 3438 /* subs */, AArch64::SUBSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
9807 { 3438 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, 0, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
9808 { 3443 /* suqadd */, AArch64::SUQADDv1i16, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
9809 { 3443 /* suqadd */, AArch64::SUQADDv1i32, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
9810 { 3443 /* suqadd */, AArch64::SUQADDv1i64, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
9811 { 3443 /* suqadd */, AArch64::SUQADDv1i8, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
9812 { 3443 /* suqadd */, AArch64::SUQADDv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9813 { 3443 /* suqadd */, AArch64::SUQADDv2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9814 { 3443 /* suqadd */, AArch64::SUQADDv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9815 { 3443 /* suqadd */, AArch64::SUQADDv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9816 { 3443 /* suqadd */, AArch64::SUQADDv2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9817 { 3443 /* suqadd */, AArch64::SUQADDv4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9818 { 3443 /* suqadd */, AArch64::SUQADDv8i8, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9819 { 3450 /* svc */, AArch64::SVC, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
9820 { 3454 /* swp */, AArch64::SWPs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9821 { 3454 /* swp */, AArch64::SWPd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9822 { 3458 /* swpa */, AArch64::SWPAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9823 { 3458 /* swpa */, AArch64::SWPAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9824 { 3463 /* swpab */, AArch64::SWPAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9825 { 3469 /* swpah */, AArch64::SWPAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9826 { 3475 /* swpal */, AArch64::SWPALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9827 { 3475 /* swpal */, AArch64::SWPALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9828 { 3481 /* swpalb */, AArch64::SWPALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9829 { 3488 /* swpalh */, AArch64::SWPALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9830 { 3495 /* swpb */, AArch64::SWPb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9831 { 3500 /* swph */, AArch64::SWPh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9832 { 3505 /* swpl */, AArch64::SWPLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9833 { 3505 /* swpl */, AArch64::SWPLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9834 { 3510 /* swplb */, AArch64::SWPLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9835 { 3516 /* swplh */, AArch64::SWPLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
9836 { 3522 /* sxtb */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, 0, { MCK_GPR32, MCK_GPR32 }, },
9837 { 3522 /* sxtb */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, 0, { MCK_GPR64, MCK_GPR64 }, },
9838 { 3527 /* sxth */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, 0, { MCK_GPR32, MCK_GPR32 }, },
9839 { 3527 /* sxth */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, 0, { MCK_GPR64, MCK_GPR64 }, },
9840 { 3532 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
9841 { 3532 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
9842 { 3532 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
9843 { 3532 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
9844 { 3532 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
9845 { 3532 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
9846 { 3537 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
9847 { 3537 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
9848 { 3537 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
9849 { 3537 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
9850 { 3537 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
9851 { 3537 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
9852 { 3543 /* sxtw */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, 0, { MCK_GPR64, MCK_GPR64 }, },
9853 { 3548 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR, 0, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
9854 { 3548 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4, 0, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7, MCK_GPR64 }, },
9855 { 3552 /* sysl */, AArch64::SYSLxt, Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4, 0, { MCK_GPR64, MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
9856 { 3557 /* tbl */, AArch64::TBLv16i8Four, Convert__VectorReg1281_1__VecListFour1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListFour128, MCK_VectorReg128 }, },
9857 { 3557 /* tbl */, AArch64::TBLv16i8One, Convert__VectorReg1281_1__VecListOne1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListOne128, MCK_VectorReg128 }, },
9858 { 3557 /* tbl */, AArch64::TBLv16i8Three, Convert__VectorReg1281_1__VecListThree1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListThree128, MCK_VectorReg128 }, },
9859 { 3557 /* tbl */, AArch64::TBLv16i8Two, Convert__VectorReg1281_1__VecListTwo1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListTwo128, MCK_VectorReg128 }, },
9860 { 3557 /* tbl */, AArch64::TBLv8i8Four, Convert__VectorReg641_1__VecListFour1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListFour128, MCK_VectorReg64 }, },
9861 { 3557 /* tbl */, AArch64::TBLv8i8One, Convert__VectorReg641_1__VecListOne1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListOne128, MCK_VectorReg64 }, },
9862 { 3557 /* tbl */, AArch64::TBLv8i8Three, Convert__VectorReg641_1__VecListThree1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListThree128, MCK_VectorReg64 }, },
9863 { 3557 /* tbl */, AArch64::TBLv8i8Two, Convert__VectorReg641_1__VecListTwo1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListTwo128, MCK_VectorReg64 }, },
9864 { 3557 /* tbl */, AArch64::TBLv16i8Four, Convert__VectorReg1281_0__TypedVectorList4_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList4_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9865 { 3557 /* tbl */, AArch64::TBLv16i8One, Convert__VectorReg1281_0__TypedVectorList1_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList1_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9866 { 3557 /* tbl */, AArch64::TBLv16i8Three, Convert__VectorReg1281_0__TypedVectorList3_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList3_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9867 { 3557 /* tbl */, AArch64::TBLv16i8Two, Convert__VectorReg1281_0__TypedVectorList2_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList2_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9868 { 3557 /* tbl */, AArch64::TBLv8i8Four, Convert__VectorReg641_0__TypedVectorList4_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList4_16b, MCK_VectorReg64, MCK__DOT_8b }, },
9869 { 3557 /* tbl */, AArch64::TBLv8i8One, Convert__VectorReg641_0__TypedVectorList1_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList1_16b, MCK_VectorReg64, MCK__DOT_8b }, },
9870 { 3557 /* tbl */, AArch64::TBLv8i8Three, Convert__VectorReg641_0__TypedVectorList3_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList3_16b, MCK_VectorReg64, MCK__DOT_8b }, },
9871 { 3557 /* tbl */, AArch64::TBLv8i8Two, Convert__VectorReg641_0__TypedVectorList2_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList2_16b, MCK_VectorReg64, MCK__DOT_8b }, },
9872 { 3561 /* tbnz */, AArch64::TBNZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, 0, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
9873 { 3561 /* tbnz */, AArch64::TBNZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, 0, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
9874 { 3561 /* tbnz */, AArch64::TBNZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, 0, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
9875 { 3566 /* tbx */, AArch64::TBXv16i8Four, Convert__VectorReg1281_1__Tie0__VecListFour1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListFour128, MCK_VectorReg128 }, },
9876 { 3566 /* tbx */, AArch64::TBXv16i8One, Convert__VectorReg1281_1__Tie0__VecListOne1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListOne128, MCK_VectorReg128 }, },
9877 { 3566 /* tbx */, AArch64::TBXv16i8Three, Convert__VectorReg1281_1__Tie0__VecListThree1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListThree128, MCK_VectorReg128 }, },
9878 { 3566 /* tbx */, AArch64::TBXv16i8Two, Convert__VectorReg1281_1__Tie0__VecListTwo1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListTwo128, MCK_VectorReg128 }, },
9879 { 3566 /* tbx */, AArch64::TBXv8i8Four, Convert__VectorReg641_1__Tie0__VecListFour1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListFour128, MCK_VectorReg64 }, },
9880 { 3566 /* tbx */, AArch64::TBXv8i8One, Convert__VectorReg641_1__Tie0__VecListOne1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListOne128, MCK_VectorReg64 }, },
9881 { 3566 /* tbx */, AArch64::TBXv8i8Three, Convert__VectorReg641_1__Tie0__VecListThree1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListThree128, MCK_VectorReg64 }, },
9882 { 3566 /* tbx */, AArch64::TBXv8i8Two, Convert__VectorReg641_1__Tie0__VecListTwo1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListTwo128, MCK_VectorReg64 }, },
9883 { 3566 /* tbx */, AArch64::TBXv16i8Four, Convert__VectorReg1281_0__Tie0__TypedVectorList4_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList4_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9884 { 3566 /* tbx */, AArch64::TBXv16i8One, Convert__VectorReg1281_0__Tie0__TypedVectorList1_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList1_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9885 { 3566 /* tbx */, AArch64::TBXv16i8Three, Convert__VectorReg1281_0__Tie0__TypedVectorList3_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList3_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9886 { 3566 /* tbx */, AArch64::TBXv16i8Two, Convert__VectorReg1281_0__Tie0__TypedVectorList2_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList2_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9887 { 3566 /* tbx */, AArch64::TBXv8i8Four, Convert__VectorReg641_0__Tie0__TypedVectorList4_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList4_16b, MCK_VectorReg64, MCK__DOT_8b }, },
9888 { 3566 /* tbx */, AArch64::TBXv8i8One, Convert__VectorReg641_0__Tie0__TypedVectorList1_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList1_16b, MCK_VectorReg64, MCK__DOT_8b }, },
9889 { 3566 /* tbx */, AArch64::TBXv8i8Three, Convert__VectorReg641_0__Tie0__TypedVectorList3_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList3_16b, MCK_VectorReg64, MCK__DOT_8b }, },
9890 { 3566 /* tbx */, AArch64::TBXv8i8Two, Convert__VectorReg641_0__Tie0__TypedVectorList2_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList2_16b, MCK_VectorReg64, MCK__DOT_8b }, },
9891 { 3570 /* tbz */, AArch64::TBZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, 0, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
9892 { 3570 /* tbz */, AArch64::TBZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, 0, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
9893 { 3570 /* tbz */, AArch64::TBZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, 0, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
9894 { 3574 /* trn1 */, AArch64::TRN1v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9895 { 3574 /* trn1 */, AArch64::TRN1v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9896 { 3574 /* trn1 */, AArch64::TRN1v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9897 { 3574 /* trn1 */, AArch64::TRN1v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9898 { 3574 /* trn1 */, AArch64::TRN1v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9899 { 3574 /* trn1 */, AArch64::TRN1v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9900 { 3574 /* trn1 */, AArch64::TRN1v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9901 { 3579 /* trn2 */, AArch64::TRN2v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9902 { 3579 /* trn2 */, AArch64::TRN2v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9903 { 3579 /* trn2 */, AArch64::TRN2v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9904 { 3579 /* trn2 */, AArch64::TRN2v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9905 { 3579 /* trn2 */, AArch64::TRN2v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9906 { 3579 /* trn2 */, AArch64::TRN2v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9907 { 3579 /* trn2 */, AArch64::TRN2v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9908 { 3584 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
9909 { 3584 /* tst */, AArch64::ANDSWri, Convert__regWZR__Reg1_0__LogicalImm321_1, 0, { MCK_GPR32, MCK_LogicalImm32 }, },
9910 { 3584 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
9911 { 3584 /* tst */, AArch64::ANDSXri, Convert__regXZR__Reg1_0__LogicalImm641_1, 0, { MCK_GPR64, MCK_LogicalImm64 }, },
9912 { 3584 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
9913 { 3584 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
9914 { 3588 /* uaba */, AArch64::UABAv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9915 { 3588 /* uaba */, AArch64::UABAv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9916 { 3588 /* uaba */, AArch64::UABAv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9917 { 3588 /* uaba */, AArch64::UABAv2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9918 { 3588 /* uaba */, AArch64::UABAv4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9919 { 3588 /* uaba */, AArch64::UABAv8i8, Convert__VectorReg641_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9920 { 3593 /* uabal */, AArch64::UABALv2i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9921 { 3593 /* uabal */, AArch64::UABALv4i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9922 { 3593 /* uabal */, AArch64::UABALv8i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9923 { 3599 /* uabal2 */, AArch64::UABALv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9924 { 3599 /* uabal2 */, AArch64::UABALv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9925 { 3599 /* uabal2 */, AArch64::UABALv16i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9926 { 3606 /* uabd */, AArch64::UABDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9927 { 3606 /* uabd */, AArch64::UABDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9928 { 3606 /* uabd */, AArch64::UABDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9929 { 3606 /* uabd */, AArch64::UABDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9930 { 3606 /* uabd */, AArch64::UABDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9931 { 3606 /* uabd */, AArch64::UABDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9932 { 3611 /* uabdl */, AArch64::UABDLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9933 { 3611 /* uabdl */, AArch64::UABDLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9934 { 3611 /* uabdl */, AArch64::UABDLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9935 { 3617 /* uabdl2 */, AArch64::UABDLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9936 { 3617 /* uabdl2 */, AArch64::UABDLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9937 { 3617 /* uabdl2 */, AArch64::UABDLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9938 { 3624 /* uadalp */, AArch64::UADALPv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
9939 { 3624 /* uadalp */, AArch64::UADALPv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
9940 { 3624 /* uadalp */, AArch64::UADALPv16i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
9941 { 3624 /* uadalp */, AArch64::UADALPv2i32_v1i64, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_2s }, },
9942 { 3624 /* uadalp */, AArch64::UADALPv4i16_v2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_4h }, },
9943 { 3624 /* uadalp */, AArch64::UADALPv8i8_v4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_8b }, },
9944 { 3631 /* uaddl */, AArch64::UADDLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9945 { 3631 /* uaddl */, AArch64::UADDLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9946 { 3631 /* uaddl */, AArch64::UADDLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
9947 { 3637 /* uaddl2 */, AArch64::UADDLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9948 { 3637 /* uaddl2 */, AArch64::UADDLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9949 { 3637 /* uaddl2 */, AArch64::UADDLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
9950 { 3644 /* uaddlp */, AArch64::UADDLPv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
9951 { 3644 /* uaddlp */, AArch64::UADDLPv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
9952 { 3644 /* uaddlp */, AArch64::UADDLPv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
9953 { 3644 /* uaddlp */, AArch64::UADDLPv2i32_v1i64, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_1d, MCK_VectorReg64, MCK__DOT_2s }, },
9954 { 3644 /* uaddlp */, AArch64::UADDLPv4i16_v2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_4h }, },
9955 { 3644 /* uaddlp */, AArch64::UADDLPv8i8_v4i16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_8b }, },
9956 { 3651 /* uaddlv */, AArch64::UADDLVv16i8v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_16b }, },
9957 { 3651 /* uaddlv */, AArch64::UADDLVv8i8v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_8b }, },
9958 { 3651 /* uaddlv */, AArch64::UADDLVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_8h }, },
9959 { 3651 /* uaddlv */, AArch64::UADDLVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg64, MCK__DOT_4h }, },
9960 { 3651 /* uaddlv */, AArch64::UADDLVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK__DOT_4s }, },
9961 { 3658 /* uaddw */, AArch64::UADDWv2i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
9962 { 3658 /* uaddw */, AArch64::UADDWv4i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
9963 { 3658 /* uaddw */, AArch64::UADDWv8i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
9964 { 3664 /* uaddw2 */, AArch64::UADDWv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
9965 { 3664 /* uaddw2 */, AArch64::UADDWv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
9966 { 3664 /* uaddw2 */, AArch64::UADDWv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
9967 { 3671 /* ubfm */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
9968 { 3671 /* ubfm */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
9969 { 3676 /* ucvtf */, AArch64::UCVTFv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
9970 { 3676 /* ucvtf */, AArch64::UCVTFUWHri, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
9971 { 3676 /* ucvtf */, AArch64::UCVTFUXHri, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
9972 { 3676 /* ucvtf */, AArch64::UCVTFv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
9973 { 3676 /* ucvtf */, AArch64::UCVTFUWSri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
9974 { 3676 /* ucvtf */, AArch64::UCVTFUXSri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR64 }, },
9975 { 3676 /* ucvtf */, AArch64::UCVTFv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
9976 { 3676 /* ucvtf */, AArch64::UCVTFUWDri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR32 }, },
9977 { 3676 /* ucvtf */, AArch64::UCVTFUXDri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
9978 { 3676 /* ucvtf */, AArch64::UCVTFh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
9979 { 3676 /* ucvtf */, AArch64::UCVTFSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32, MCK_Imm1_32 }, },
9980 { 3676 /* ucvtf */, AArch64::UCVTFSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64, MCK_Imm1_64 }, },
9981 { 3676 /* ucvtf */, AArch64::UCVTFs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
9982 { 3676 /* ucvtf */, AArch64::UCVTFSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32, MCK_Imm1_32 }, },
9983 { 3676 /* ucvtf */, AArch64::UCVTFSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR64, MCK_Imm1_64 }, },
9984 { 3676 /* ucvtf */, AArch64::UCVTFd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
9985 { 3676 /* ucvtf */, AArch64::UCVTFSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR32, MCK_Imm1_32 }, },
9986 { 3676 /* ucvtf */, AArch64::UCVTFSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64, MCK_Imm1_64 }, },
9987 { 3676 /* ucvtf */, AArch64::UCVTFv2f64, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
9988 { 3676 /* ucvtf */, AArch64::UCVTFv4f32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
9989 { 3676 /* ucvtf */, AArch64::UCVTFv8f16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
9990 { 3676 /* ucvtf */, AArch64::UCVTFv2f32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
9991 { 3676 /* ucvtf */, AArch64::UCVTFv4f16, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
9992 { 3676 /* ucvtf */, AArch64::UCVTFv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
9993 { 3676 /* ucvtf */, AArch64::UCVTFv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
9994 { 3676 /* ucvtf */, AArch64::UCVTFv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
9995 { 3676 /* ucvtf */, AArch64::UCVTFv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
9996 { 3676 /* ucvtf */, AArch64::UCVTFv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
9997 { 3682 /* udiv */, AArch64::UDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
9998 { 3682 /* udiv */, AArch64::UDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
9999 { 3687 /* uhadd */, AArch64::UHADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10000 { 3687 /* uhadd */, AArch64::UHADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10001 { 3687 /* uhadd */, AArch64::UHADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10002 { 3687 /* uhadd */, AArch64::UHADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10003 { 3687 /* uhadd */, AArch64::UHADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10004 { 3687 /* uhadd */, AArch64::UHADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10005 { 3693 /* uhsub */, AArch64::UHSUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10006 { 3693 /* uhsub */, AArch64::UHSUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10007 { 3693 /* uhsub */, AArch64::UHSUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10008 { 3693 /* uhsub */, AArch64::UHSUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10009 { 3693 /* uhsub */, AArch64::UHSUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10010 { 3693 /* uhsub */, AArch64::UHSUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10011 { 3699 /* umaddl */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
10012 { 3706 /* umax */, AArch64::UMAXv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10013 { 3706 /* umax */, AArch64::UMAXv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10014 { 3706 /* umax */, AArch64::UMAXv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10015 { 3706 /* umax */, AArch64::UMAXv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10016 { 3706 /* umax */, AArch64::UMAXv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10017 { 3706 /* umax */, AArch64::UMAXv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10018 { 3711 /* umaxp */, AArch64::UMAXPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10019 { 3711 /* umaxp */, AArch64::UMAXPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10020 { 3711 /* umaxp */, AArch64::UMAXPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10021 { 3711 /* umaxp */, AArch64::UMAXPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10022 { 3711 /* umaxp */, AArch64::UMAXPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10023 { 3711 /* umaxp */, AArch64::UMAXPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10024 { 3717 /* umaxv */, AArch64::UMAXVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
10025 { 3717 /* umaxv */, AArch64::UMAXVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
10026 { 3717 /* umaxv */, AArch64::UMAXVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
10027 { 3717 /* umaxv */, AArch64::UMAXVv16i8v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
10028 { 3717 /* umaxv */, AArch64::UMAXVv8i8v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
10029 { 3723 /* umin */, AArch64::UMINv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10030 { 3723 /* umin */, AArch64::UMINv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10031 { 3723 /* umin */, AArch64::UMINv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10032 { 3723 /* umin */, AArch64::UMINv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10033 { 3723 /* umin */, AArch64::UMINv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10034 { 3723 /* umin */, AArch64::UMINv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10035 { 3728 /* uminp */, AArch64::UMINPv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10036 { 3728 /* uminp */, AArch64::UMINPv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10037 { 3728 /* uminp */, AArch64::UMINPv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10038 { 3728 /* uminp */, AArch64::UMINPv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10039 { 3728 /* uminp */, AArch64::UMINPv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10040 { 3728 /* uminp */, AArch64::UMINPv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10041 { 3734 /* uminv */, AArch64::UMINVv8i16v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK__DOT_8h }, },
10042 { 3734 /* uminv */, AArch64::UMINVv4i16v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg64, MCK__DOT_4h }, },
10043 { 3734 /* uminv */, AArch64::UMINVv4i32v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK__DOT_4s }, },
10044 { 3734 /* uminv */, AArch64::UMINVv16i8v, Convert__Reg1_0__VectorReg1281_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK__DOT_16b }, },
10045 { 3734 /* uminv */, AArch64::UMINVv8i8v, Convert__Reg1_0__VectorReg641_1, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg64, MCK__DOT_8b }, },
10046 { 3740 /* umlal */, AArch64::UMLALv2i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10047 { 3740 /* umlal */, AArch64::UMLALv4i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10048 { 3740 /* umlal */, AArch64::UMLALv8i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10049 { 3740 /* umlal */, AArch64::UMLALv2i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
10050 { 3740 /* umlal */, AArch64::UMLALv4i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
10051 { 3746 /* umlal2 */, AArch64::UMLALv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10052 { 3746 /* umlal2 */, AArch64::UMLALv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10053 { 3746 /* umlal2 */, AArch64::UMLALv16i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10054 { 3746 /* umlal2 */, AArch64::UMLALv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
10055 { 3746 /* umlal2 */, AArch64::UMLALv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
10056 { 3753 /* umlsl */, AArch64::UMLSLv2i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10057 { 3753 /* umlsl */, AArch64::UMLSLv4i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10058 { 3753 /* umlsl */, AArch64::UMLSLv8i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10059 { 3753 /* umlsl */, AArch64::UMLSLv2i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
10060 { 3753 /* umlsl */, AArch64::UMLSLv4i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
10061 { 3759 /* umlsl2 */, AArch64::UMLSLv4i32_v2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10062 { 3759 /* umlsl2 */, AArch64::UMLSLv8i16_v4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10063 { 3759 /* umlsl2 */, AArch64::UMLSLv16i8_v8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10064 { 3759 /* umlsl2 */, AArch64::UMLSLv4i32_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
10065 { 3759 /* umlsl2 */, AArch64::UMLSLv8i16_indexed, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
10066 { 3766 /* umnegl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
10067 { 3773 /* umov */, AArch64::UMOVvi8, Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_3, Feature_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_b, MCK_VectorIndexB }, },
10068 { 3773 /* umov */, AArch64::UMOVvi16, Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_3, Feature_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_h, MCK_VectorIndexH }, },
10069 { 3773 /* umov */, AArch64::UMOVvi32, Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_3, Feature_HasNEON, { MCK_GPR32, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
10070 { 3773 /* umov */, AArch64::UMOVvi64, Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_3, Feature_HasNEON, { MCK_GPR64, MCK_VectorReg128, MCK__DOT_d, MCK_VectorIndexD }, },
10071 { 3778 /* umsubl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
10072 { 3785 /* umulh */, AArch64::UMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10073 { 3791 /* umull */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
10074 { 3791 /* umull */, AArch64::UMULLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10075 { 3791 /* umull */, AArch64::UMULLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10076 { 3791 /* umull */, AArch64::UMULLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10077 { 3791 /* umull */, AArch64::UMULLv2i32_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
10078 { 3791 /* umull */, AArch64::UMULLv4i16_indexed, Convert__VectorReg1281_0__VectorReg641_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
10079 { 3797 /* umull2 */, AArch64::UMULLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10080 { 3797 /* umull2 */, AArch64::UMULLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10081 { 3797 /* umull2 */, AArch64::UMULLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10082 { 3797 /* umull2 */, AArch64::UMULLv4i32_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4__VectorIndexS1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_s, MCK_VectorIndexS }, },
10083 { 3797 /* umull2 */, AArch64::UMULLv8i16_indexed, Convert__VectorReg1281_0__VectorReg1281_2__VectorRegLo1_4__VectorIndexH1_6, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorRegLo, MCK__DOT_h, MCK_VectorIndexH }, },
10084 { 3804 /* uqadd */, AArch64::UQADDv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10085 { 3804 /* uqadd */, AArch64::UQADDv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10086 { 3804 /* uqadd */, AArch64::UQADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10087 { 3804 /* uqadd */, AArch64::UQADDv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
10088 { 3804 /* uqadd */, AArch64::UQADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10089 { 3804 /* uqadd */, AArch64::UQADDv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10090 { 3804 /* uqadd */, AArch64::UQADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10091 { 3804 /* uqadd */, AArch64::UQADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10092 { 3804 /* uqadd */, AArch64::UQADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10093 { 3804 /* uqadd */, AArch64::UQADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10094 { 3804 /* uqadd */, AArch64::UQADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10095 { 3810 /* uqrshl */, AArch64::UQRSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10096 { 3810 /* uqrshl */, AArch64::UQRSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10097 { 3810 /* uqrshl */, AArch64::UQRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10098 { 3810 /* uqrshl */, AArch64::UQRSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
10099 { 3810 /* uqrshl */, AArch64::UQRSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10100 { 3810 /* uqrshl */, AArch64::UQRSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10101 { 3810 /* uqrshl */, AArch64::UQRSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10102 { 3810 /* uqrshl */, AArch64::UQRSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10103 { 3810 /* uqrshl */, AArch64::UQRSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10104 { 3810 /* uqrshl */, AArch64::UQRSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10105 { 3810 /* uqrshl */, AArch64::UQRSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10106 { 3817 /* uqrshrn */, AArch64::UQRSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
10107 { 3817 /* uqrshrn */, AArch64::UQRSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
10108 { 3817 /* uqrshrn */, AArch64::UQRSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
10109 { 3817 /* uqrshrn */, AArch64::UQRSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
10110 { 3817 /* uqrshrn */, AArch64::UQRSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
10111 { 3817 /* uqrshrn */, AArch64::UQRSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
10112 { 3825 /* uqrshrn2 */, AArch64::UQRSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
10113 { 3825 /* uqrshrn2 */, AArch64::UQRSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
10114 { 3825 /* uqrshrn2 */, AArch64::UQRSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
10115 { 3834 /* uqshl */, AArch64::UQSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10116 { 3834 /* uqshl */, AArch64::UQSHLh, Convert__Reg1_0__Reg1_1__Imm0_151_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
10117 { 3834 /* uqshl */, AArch64::UQSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10118 { 3834 /* uqshl */, AArch64::UQSHLs, Convert__Reg1_0__Reg1_1__Imm0_311_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
10119 { 3834 /* uqshl */, AArch64::UQSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10120 { 3834 /* uqshl */, AArch64::UQSHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
10121 { 3834 /* uqshl */, AArch64::UQSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
10122 { 3834 /* uqshl */, AArch64::UQSHLb, Convert__Reg1_0__Reg1_1__Imm0_71_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
10123 { 3834 /* uqshl */, AArch64::UQSHLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
10124 { 3834 /* uqshl */, AArch64::UQSHLv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_631_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm0_63 }, },
10125 { 3834 /* uqshl */, AArch64::UQSHLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
10126 { 3834 /* uqshl */, AArch64::UQSHLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
10127 { 3834 /* uqshl */, AArch64::UQSHLv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
10128 { 3834 /* uqshl */, AArch64::UQSHLv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
10129 { 3834 /* uqshl */, AArch64::UQSHLv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
10130 { 3834 /* uqshl */, AArch64::UQSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10131 { 3834 /* uqshl */, AArch64::UQSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10132 { 3834 /* uqshl */, AArch64::UQSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10133 { 3834 /* uqshl */, AArch64::UQSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10134 { 3834 /* uqshl */, AArch64::UQSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10135 { 3834 /* uqshl */, AArch64::UQSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10136 { 3834 /* uqshl */, AArch64::UQSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10137 { 3840 /* uqshrn */, AArch64::UQSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
10138 { 3840 /* uqshrn */, AArch64::UQSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
10139 { 3840 /* uqshrn */, AArch64::UQSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
10140 { 3840 /* uqshrn */, AArch64::UQSHRNv2i32_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
10141 { 3840 /* uqshrn */, AArch64::UQSHRNv4i16_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
10142 { 3840 /* uqshrn */, AArch64::UQSHRNv8i8_shift, Convert__VectorReg641_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
10143 { 3847 /* uqshrn2 */, AArch64::UQSHRNv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_8 }, },
10144 { 3847 /* uqshrn2 */, AArch64::UQSHRNv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_32 }, },
10145 { 3847 /* uqshrn2 */, AArch64::UQSHRNv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_16 }, },
10146 { 3855 /* uqsub */, AArch64::UQSUBv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10147 { 3855 /* uqsub */, AArch64::UQSUBv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10148 { 3855 /* uqsub */, AArch64::UQSUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10149 { 3855 /* uqsub */, AArch64::UQSUBv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
10150 { 3855 /* uqsub */, AArch64::UQSUBv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10151 { 3855 /* uqsub */, AArch64::UQSUBv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10152 { 3855 /* uqsub */, AArch64::UQSUBv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10153 { 3855 /* uqsub */, AArch64::UQSUBv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10154 { 3855 /* uqsub */, AArch64::UQSUBv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10155 { 3855 /* uqsub */, AArch64::UQSUBv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10156 { 3855 /* uqsub */, AArch64::UQSUBv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10157 { 3861 /* uqxtn */, AArch64::UQXTNv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
10158 { 3861 /* uqxtn */, AArch64::UQXTNv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
10159 { 3861 /* uqxtn */, AArch64::UQXTNv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
10160 { 3861 /* uqxtn */, AArch64::UQXTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
10161 { 3861 /* uqxtn */, AArch64::UQXTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
10162 { 3861 /* uqxtn */, AArch64::UQXTNv8i8, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h }, },
10163 { 3867 /* uqxtn2 */, AArch64::UQXTNv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h }, },
10164 { 3867 /* uqxtn2 */, AArch64::UQXTNv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
10165 { 3867 /* uqxtn2 */, AArch64::UQXTNv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
10166 { 3874 /* urecpe */, AArch64::URECPEv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10167 { 3874 /* urecpe */, AArch64::URECPEv2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10168 { 3881 /* urhadd */, AArch64::URHADDv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10169 { 3881 /* urhadd */, AArch64::URHADDv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10170 { 3881 /* urhadd */, AArch64::URHADDv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10171 { 3881 /* urhadd */, AArch64::URHADDv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10172 { 3881 /* urhadd */, AArch64::URHADDv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10173 { 3881 /* urhadd */, AArch64::URHADDv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10174 { 3888 /* urshl */, AArch64::URSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10175 { 3888 /* urshl */, AArch64::URSHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10176 { 3888 /* urshl */, AArch64::URSHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10177 { 3888 /* urshl */, AArch64::URSHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10178 { 3888 /* urshl */, AArch64::URSHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10179 { 3888 /* urshl */, AArch64::URSHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10180 { 3888 /* urshl */, AArch64::URSHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10181 { 3888 /* urshl */, AArch64::URSHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10182 { 3894 /* urshr */, AArch64::URSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
10183 { 3894 /* urshr */, AArch64::URSHRv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
10184 { 3894 /* urshr */, AArch64::URSHRv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
10185 { 3894 /* urshr */, AArch64::URSHRv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
10186 { 3894 /* urshr */, AArch64::URSHRv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
10187 { 3894 /* urshr */, AArch64::URSHRv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
10188 { 3894 /* urshr */, AArch64::URSHRv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
10189 { 3894 /* urshr */, AArch64::URSHRv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
10190 { 3900 /* ursqrte */, AArch64::URSQRTEv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10191 { 3900 /* ursqrte */, AArch64::URSQRTEv2i32, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10192 { 3908 /* ursra */, AArch64::URSRAd, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
10193 { 3908 /* ursra */, AArch64::URSRAv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
10194 { 3908 /* ursra */, AArch64::URSRAv2i64_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
10195 { 3908 /* ursra */, AArch64::URSRAv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
10196 { 3908 /* ursra */, AArch64::URSRAv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
10197 { 3908 /* ursra */, AArch64::URSRAv2i32_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
10198 { 3908 /* ursra */, AArch64::URSRAv4i16_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
10199 { 3908 /* ursra */, AArch64::URSRAv8i8_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
10200 { 3914 /* ushl */, AArch64::USHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10201 { 3914 /* ushl */, AArch64::USHLv16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10202 { 3914 /* ushl */, AArch64::USHLv2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10203 { 3914 /* ushl */, AArch64::USHLv4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10204 { 3914 /* ushl */, AArch64::USHLv8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10205 { 3914 /* ushl */, AArch64::USHLv2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10206 { 3914 /* ushl */, AArch64::USHLv4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10207 { 3914 /* ushl */, AArch64::USHLv8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10208 { 3919 /* ushll */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_31 }, },
10209 { 3919 /* ushll */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_15 }, },
10210 { 3919 /* ushll */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm0_7 }, },
10211 { 3925 /* ushll2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_311_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_31 }, },
10212 { 3925 /* ushll2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_151_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_15 }, },
10213 { 3925 /* ushll2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm0_71_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm0_7 }, },
10214 { 3932 /* ushr */, AArch64::USHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
10215 { 3932 /* ushr */, AArch64::USHRv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
10216 { 3932 /* ushr */, AArch64::USHRv2i64_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
10217 { 3932 /* ushr */, AArch64::USHRv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
10218 { 3932 /* ushr */, AArch64::USHRv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
10219 { 3932 /* ushr */, AArch64::USHRv2i32_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
10220 { 3932 /* ushr */, AArch64::USHRv4i16_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
10221 { 3932 /* ushr */, AArch64::USHRv8i8_shift, Convert__VectorReg641_0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
10222 { 3937 /* usqadd */, AArch64::USQADDv1i16, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
10223 { 3937 /* usqadd */, AArch64::USQADDv1i32, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
10224 { 3937 /* usqadd */, AArch64::USQADDv1i64, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
10225 { 3937 /* usqadd */, AArch64::USQADDv1i8, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
10226 { 3937 /* usqadd */, AArch64::USQADDv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10227 { 3937 /* usqadd */, AArch64::USQADDv2i64, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10228 { 3937 /* usqadd */, AArch64::USQADDv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10229 { 3937 /* usqadd */, AArch64::USQADDv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10230 { 3937 /* usqadd */, AArch64::USQADDv2i32, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10231 { 3937 /* usqadd */, AArch64::USQADDv4i16, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10232 { 3937 /* usqadd */, AArch64::USQADDv8i8, Convert__VectorReg641_0__Tie0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10233 { 3944 /* usra */, AArch64::USRAd, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
10234 { 3944 /* usra */, AArch64::USRAv16i8_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_Imm1_8 }, },
10235 { 3944 /* usra */, AArch64::USRAv2i64_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_Imm1_64 }, },
10236 { 3944 /* usra */, AArch64::USRAv4i32_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_Imm1_32 }, },
10237 { 3944 /* usra */, AArch64::USRAv8i16_shift, Convert__VectorReg1281_0__Tie0__VectorReg1281_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_Imm1_16 }, },
10238 { 3944 /* usra */, AArch64::USRAv2i32_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_321_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_Imm1_32 }, },
10239 { 3944 /* usra */, AArch64::USRAv4i16_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_161_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_Imm1_16 }, },
10240 { 3944 /* usra */, AArch64::USRAv8i8_shift, Convert__VectorReg641_0__Tie0__VectorReg641_2__Imm1_81_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_Imm1_8 }, },
10241 { 3949 /* usubl */, AArch64::USUBLv2i32_v2i64, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10242 { 3949 /* usubl */, AArch64::USUBLv4i16_v4i32, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10243 { 3949 /* usubl */, AArch64::USUBLv8i8_v8i16, Convert__VectorReg1281_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10244 { 3955 /* usubl2 */, AArch64::USUBLv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10245 { 3955 /* usubl2 */, AArch64::USUBLv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10246 { 3955 /* usubl2 */, AArch64::USUBLv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10247 { 3962 /* usubw */, AArch64::USUBWv2i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
10248 { 3962 /* usubw */, AArch64::USUBWv4i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
10249 { 3962 /* usubw */, AArch64::USUBWv8i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
10250 { 3968 /* usubw2 */, AArch64::USUBWv4i32_v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
10251 { 3968 /* usubw2 */, AArch64::USUBWv8i16_v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
10252 { 3968 /* usubw2 */, AArch64::USUBWv16i8_v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
10253 { 3975 /* uxtb */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, 0, { MCK_GPR32, MCK_GPR32 }, },
10254 { 3975 /* uxtb */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, 0, { MCK_GPR64, MCK_GPR64 }, },
10255 { 3980 /* uxth */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, 0, { MCK_GPR32, MCK_GPR32 }, },
10256 { 3980 /* uxth */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, 0, { MCK_GPR64, MCK_GPR64 }, },
10257 { 3985 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
10258 { 3985 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
10259 { 3985 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
10260 { 3985 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
10261 { 3985 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
10262 { 3985 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
10263 { 3990 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
10264 { 3990 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
10265 { 3990 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
10266 { 3990 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
10267 { 3990 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
10268 { 3990 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
10269 { 3996 /* uxtw */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, 0, { MCK_GPR64, MCK_GPR64 }, },
10270 { 4001 /* uzp1 */, AArch64::UZP1v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10271 { 4001 /* uzp1 */, AArch64::UZP1v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10272 { 4001 /* uzp1 */, AArch64::UZP1v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10273 { 4001 /* uzp1 */, AArch64::UZP1v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10274 { 4001 /* uzp1 */, AArch64::UZP1v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10275 { 4001 /* uzp1 */, AArch64::UZP1v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10276 { 4001 /* uzp1 */, AArch64::UZP1v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10277 { 4006 /* uzp2 */, AArch64::UZP2v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10278 { 4006 /* uzp2 */, AArch64::UZP2v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10279 { 4006 /* uzp2 */, AArch64::UZP2v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10280 { 4006 /* uzp2 */, AArch64::UZP2v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10281 { 4006 /* uzp2 */, AArch64::UZP2v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10282 { 4006 /* uzp2 */, AArch64::UZP2v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10283 { 4006 /* uzp2 */, AArch64::UZP2v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10284 { 4011 /* wfe */, AArch64::HINT, Convert__imm_95_2, 0, { }, },
10285 { 4015 /* wfi */, AArch64::HINT, Convert__imm_95_3, 0, { }, },
10286 { 4019 /* xtn */, AArch64::XTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
10287 { 4019 /* xtn */, AArch64::XTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
10288 { 4019 /* xtn */, AArch64::XTNv8i8, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg128, MCK__DOT_8h }, },
10289 { 4023 /* xtn2 */, AArch64::XTNv16i8, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_8h }, },
10290 { 4023 /* xtn2 */, AArch64::XTNv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
10291 { 4023 /* xtn2 */, AArch64::XTNv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
10292 { 4028 /* yield */, AArch64::HINT, Convert__imm_95_1, 0, { }, },
10293 { 4034 /* zip1 */, AArch64::ZIP1v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10294 { 4034 /* zip1 */, AArch64::ZIP1v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10295 { 4034 /* zip1 */, AArch64::ZIP1v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10296 { 4034 /* zip1 */, AArch64::ZIP1v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10297 { 4034 /* zip1 */, AArch64::ZIP1v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10298 { 4034 /* zip1 */, AArch64::ZIP1v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10299 { 4034 /* zip1 */, AArch64::ZIP1v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10300 { 4039 /* zip2 */, AArch64::ZIP2v16i8, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b, MCK_VectorReg128, MCK__DOT_16b }, },
10301 { 4039 /* zip2 */, AArch64::ZIP2v2i64, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d }, },
10302 { 4039 /* zip2 */, AArch64::ZIP2v4i32, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s }, },
10303 { 4039 /* zip2 */, AArch64::ZIP2v8i16, Convert__VectorReg1281_0__VectorReg1281_2__VectorReg1281_4, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h }, },
10304 { 4039 /* zip2 */, AArch64::ZIP2v2i32, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s }, },
10305 { 4039 /* zip2 */, AArch64::ZIP2v4i16, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h }, },
10306 { 4039 /* zip2 */, AArch64::ZIP2v8i8, Convert__VectorReg641_0__VectorReg641_2__VectorReg641_4, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b, MCK_VectorReg64, MCK__DOT_8b }, },
10307};
10308
10309static const MatchEntry MatchTable1[] = {
10310 { 0 /* abs */, AArch64::ABSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
10311 { 0 /* abs */, AArch64::ABSv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
10312 { 0 /* abs */, AArch64::ABSv2i64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
10313 { 0 /* abs */, AArch64::ABSv2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
10314 { 0 /* abs */, AArch64::ABSv4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
10315 { 0 /* abs */, AArch64::ABSv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
10316 { 0 /* abs */, AArch64::ABSv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
10317 { 0 /* abs */, AArch64::ABSv8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
10318 { 4 /* adc */, AArch64::ADCWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10319 { 4 /* adc */, AArch64::ADCXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10320 { 8 /* adcs */, AArch64::ADCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10321 { 8 /* adcs */, AArch64::ADCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10322 { 13 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
10323 { 13 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
10324 { 13 /* add */, AArch64::ADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10325 { 13 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10326 { 13 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
10327 { 13 /* add */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImmNeg }, },
10328 { 13 /* add */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
10329 { 13 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10330 { 13 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
10331 { 13 /* add */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImmNeg }, },
10332 { 13 /* add */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
10333 { 13 /* add */, AArch64::ADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10334 { 13 /* add */, AArch64::ADDv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10335 { 13 /* add */, AArch64::ADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10336 { 13 /* add */, AArch64::ADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10337 { 13 /* add */, AArch64::ADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10338 { 13 /* add */, AArch64::ADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10339 { 13 /* add */, AArch64::ADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10340 { 13 /* add */, AArch64::ADDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
10341 { 13 /* add */, AArch64::ADDWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
10342 { 13 /* add */, AArch64::ADDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
10343 { 13 /* add */, AArch64::ADDXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
10344 { 13 /* add */, AArch64::ADDXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
10345 { 17 /* addhn */, AArch64::ADDHNv2i64_v2i32, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
10346 { 17 /* addhn */, AArch64::ADDHNv4i32_v4i16, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
10347 { 17 /* addhn */, AArch64::ADDHNv8i16_v8i8, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
10348 { 23 /* addhn2 */, AArch64::ADDHNv8i16_v16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10349 { 23 /* addhn2 */, AArch64::ADDHNv2i64_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10350 { 23 /* addhn2 */, AArch64::ADDHNv4i32_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10351 { 30 /* addp */, AArch64::ADDPv2i64p, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_FPR64, MCK_VectorReg128 }, },
10352 { 30 /* addp */, AArch64::ADDPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10353 { 30 /* addp */, AArch64::ADDPv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10354 { 30 /* addp */, AArch64::ADDPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10355 { 30 /* addp */, AArch64::ADDPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10356 { 30 /* addp */, AArch64::ADDPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10357 { 30 /* addp */, AArch64::ADDPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10358 { 30 /* addp */, AArch64::ADDPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10359 { 35 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
10360 { 35 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10361 { 35 /* adds */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImmNeg }, },
10362 { 35 /* adds */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
10363 { 35 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
10364 { 35 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10365 { 35 /* adds */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImmNeg }, },
10366 { 35 /* adds */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
10367 { 35 /* adds */, AArch64::ADDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
10368 { 35 /* adds */, AArch64::ADDSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
10369 { 35 /* adds */, AArch64::ADDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
10370 { 35 /* adds */, AArch64::ADDSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
10371 { 35 /* adds */, AArch64::ADDSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, 0, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
10372 { 40 /* addv */, AArch64::ADDVv16i8v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
10373 { 40 /* addv */, AArch64::ADDVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
10374 { 40 /* addv */, AArch64::ADDVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
10375 { 40 /* addv */, AArch64::ADDVv8i8v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
10376 { 40 /* addv */, AArch64::ADDVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
10377 { 45 /* adr */, AArch64::ADR, Convert__Reg1_0__AdrLabel1_1, 0, { MCK_GPR64, MCK_AdrLabel }, },
10378 { 49 /* adrp */, AArch64::ADRP, Convert__Reg1_0__AdrpLabel1_1, 0, { MCK_GPR64, MCK_AdrpLabel }, },
10379 { 54 /* aesd */, AArch64::AESDrr, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasCrypto, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
10380 { 59 /* aese */, AArch64::AESErr, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasCrypto, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
10381 { 64 /* aesimc */, AArch64::AESIMCrr, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasCrypto, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
10382 { 71 /* aesmc */, AArch64::AESMCrr, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasCrypto, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
10383 { 77 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10384 { 77 /* and */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
10385 { 77 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10386 { 77 /* and */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
10387 { 77 /* and */, AArch64::ANDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10388 { 77 /* and */, AArch64::ANDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10389 { 77 /* and */, AArch64::ANDWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
10390 { 77 /* and */, AArch64::ANDXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
10391 { 81 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10392 { 81 /* ands */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32 }, },
10393 { 81 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10394 { 81 /* ands */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64 }, },
10395 { 81 /* ands */, AArch64::ANDSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
10396 { 81 /* ands */, AArch64::ANDSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
10397 { 86 /* asr */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10398 { 86 /* asr */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
10399 { 86 /* asr */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10400 { 86 /* asr */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
10401 { 90 /* asrv */, AArch64::ASRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10402 { 90 /* asrv */, AArch64::ASRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10403 { 95 /* b */, AArch64::B, Convert__BranchTarget261_0, 0, { MCK_BranchTarget26 }, },
10404 { 95 /* b */, AArch64::Bcc, Convert__CondCode1_1__PCRelLabel191_2, 0, { MCK__DOT_, MCK_CondCode, MCK_PCRelLabel19 }, },
10405 { 97 /* bfm */, AArch64::BFMWri, Convert__Reg1_0__Tie0__Reg1_1__Imm0_311_2__Imm0_311_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
10406 { 97 /* bfm */, AArch64::BFMXri, Convert__Reg1_0__Tie0__Reg1_1__Imm0_631_2__Imm0_631_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
10407 { 101 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
10408 { 101 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
10409 { 101 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
10410 { 101 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
10411 { 101 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10412 { 101 /* bic */, AArch64::ANDWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
10413 { 101 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10414 { 101 /* bic */, AArch64::ANDXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
10415 { 101 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
10416 { 101 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
10417 { 101 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
10418 { 101 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
10419 { 101 /* bic */, AArch64::BICv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10420 { 101 /* bic */, AArch64::BICv2i32, Convert__VectorReg641_1__Tie0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecShifter }, },
10421 { 101 /* bic */, AArch64::BICv4i16, Convert__VectorReg641_1__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
10422 { 101 /* bic */, AArch64::BICv4i32, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecShifter }, },
10423 { 101 /* bic */, AArch64::BICv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10424 { 101 /* bic */, AArch64::BICv8i16, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
10425 { 101 /* bic */, AArch64::BICWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
10426 { 101 /* bic */, AArch64::BICXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
10427 { 105 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10428 { 105 /* bics */, AArch64::ANDSWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_LogicalImm32Not }, },
10429 { 105 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10430 { 105 /* bics */, AArch64::ANDSXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_LogicalImm64Not }, },
10431 { 105 /* bics */, AArch64::BICSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
10432 { 105 /* bics */, AArch64::BICSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
10433 { 110 /* bif */, AArch64::BIFv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10434 { 110 /* bif */, AArch64::BIFv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10435 { 114 /* bit */, AArch64::BITv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10436 { 114 /* bit */, AArch64::BITv8i8, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10437 { 118 /* bl */, AArch64::BL, Convert__BranchTarget261_0, 0, { MCK_BranchTarget26 }, },
10438 { 121 /* blr */, AArch64::BLR, Convert__Reg1_0, 0, { MCK_GPR64 }, },
10439 { 125 /* br */, AArch64::BR, Convert__Reg1_0, 0, { MCK_GPR64 }, },
10440 { 128 /* brk */, AArch64::BRK, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
10441 { 132 /* bsl */, AArch64::BSLv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10442 { 132 /* bsl */, AArch64::BSLv8i8, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10443 { 136 /* cas */, AArch64::CASs, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10444 { 136 /* cas */, AArch64::CASd, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10445 { 140 /* casa */, AArch64::CASAs, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10446 { 140 /* casa */, AArch64::CASAd, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10447 { 145 /* casab */, AArch64::CASAb, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10448 { 151 /* casah */, AArch64::CASAh, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10449 { 157 /* casal */, AArch64::CASALs, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10450 { 157 /* casal */, AArch64::CASALd, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10451 { 163 /* casalb */, AArch64::CASALb, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10452 { 170 /* casalh */, AArch64::CASALh, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10453 { 177 /* casb */, AArch64::CASb, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10454 { 182 /* cash */, AArch64::CASh, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10455 { 187 /* casl */, AArch64::CASLs, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10456 { 187 /* casl */, AArch64::CASLd, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10457 { 192 /* caslb */, AArch64::CASLb, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10458 { 198 /* caslh */, AArch64::CASLh, Convert__Reg1_0__Tie0__Reg1_1__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10459 { 204 /* casp */, AArch64::CASPs, Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10460 { 204 /* casp */, AArch64::CASPd, Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10461 { 209 /* caspa */, AArch64::CASPAs, Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10462 { 209 /* caspa */, AArch64::CASPAd, Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10463 { 215 /* caspal */, AArch64::CASPALs, Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10464 { 215 /* caspal */, AArch64::CASPALd, Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10465 { 222 /* caspl */, AArch64::CASPLs, Convert__WSeqPair1_0__Tie0__WSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_WSeqPair, MCK_WSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10466 { 222 /* caspl */, AArch64::CASPLd, Convert__XSeqPair1_0__Tie0__XSeqPair1_1__Reg1_3, Feature_HasV8_1a, { MCK_XSeqPair, MCK_XSeqPair, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
10467 { 228 /* cbnz */, AArch64::CBNZW, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR32, MCK_PCRelLabel19 }, },
10468 { 228 /* cbnz */, AArch64::CBNZX, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR64, MCK_PCRelLabel19 }, },
10469 { 233 /* cbz */, AArch64::CBZW, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR32, MCK_PCRelLabel19 }, },
10470 { 233 /* cbz */, AArch64::CBZX, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR64, MCK_PCRelLabel19 }, },
10471 { 237 /* ccmn */, AArch64::CCMNWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
10472 { 237 /* ccmn */, AArch64::CCMNWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
10473 { 237 /* ccmn */, AArch64::CCMNXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
10474 { 237 /* ccmn */, AArch64::CCMNXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
10475 { 242 /* ccmp */, AArch64::CCMPWr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_15, MCK_CondCode }, },
10476 { 242 /* ccmp */, AArch64::CCMPWi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR32, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
10477 { 242 /* ccmp */, AArch64::CCMPXr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_15, MCK_CondCode }, },
10478 { 242 /* ccmp */, AArch64::CCMPXi, Convert__Reg1_0__Imm0_311_1__Imm0_151_2__CondCode1_3, 0, { MCK_GPR64, MCK_Imm0_31, MCK_Imm0_15, MCK_CondCode }, },
10479 { 247 /* cinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
10480 { 247 /* cinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
10481 { 252 /* cinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
10482 { 252 /* cinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
10483 { 257 /* clrex */, AArch64::CLREX, Convert__imm_95_15, 0, { }, },
10484 { 257 /* clrex */, AArch64::CLREX, Convert__Imm0_151_0, 0, { MCK_Imm0_15 }, },
10485 { 263 /* cls */, AArch64::CLSWr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
10486 { 263 /* cls */, AArch64::CLSXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
10487 { 263 /* cls */, AArch64::CLSv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
10488 { 263 /* cls */, AArch64::CLSv2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
10489 { 263 /* cls */, AArch64::CLSv4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
10490 { 263 /* cls */, AArch64::CLSv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
10491 { 263 /* cls */, AArch64::CLSv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
10492 { 263 /* cls */, AArch64::CLSv8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
10493 { 267 /* clz */, AArch64::CLZWr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
10494 { 267 /* clz */, AArch64::CLZXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
10495 { 267 /* clz */, AArch64::CLZv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
10496 { 267 /* clz */, AArch64::CLZv2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
10497 { 267 /* clz */, AArch64::CLZv4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
10498 { 267 /* clz */, AArch64::CLZv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
10499 { 267 /* clz */, AArch64::CLZv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
10500 { 267 /* clz */, AArch64::CLZv8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
10501 { 271 /* cmeq */, AArch64::CMEQv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10502 { 271 /* cmeq */, AArch64::CMEQv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10503 { 271 /* cmeq */, AArch64::CMEQv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10504 { 271 /* cmeq */, AArch64::CMEQv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10505 { 271 /* cmeq */, AArch64::CMEQv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10506 { 271 /* cmeq */, AArch64::CMEQv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10507 { 271 /* cmeq */, AArch64::CMEQv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10508 { 271 /* cmeq */, AArch64::CMEQv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10509 { 271 /* cmeq */, AArch64::CMEQv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10510 { 271 /* cmeq */, AArch64::CMEQv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10511 { 271 /* cmeq */, AArch64::CMEQv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10512 { 271 /* cmeq */, AArch64::CMEQv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10513 { 271 /* cmeq */, AArch64::CMEQv8i8rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10514 { 271 /* cmeq */, AArch64::CMEQv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10515 { 271 /* cmeq */, AArch64::CMEQv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10516 { 271 /* cmeq */, AArch64::CMEQv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10517 { 276 /* cmge */, AArch64::CMGEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10518 { 276 /* cmge */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10519 { 276 /* cmge */, AArch64::CMGEv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10520 { 276 /* cmge */, AArch64::CMGEv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10521 { 276 /* cmge */, AArch64::CMGEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10522 { 276 /* cmge */, AArch64::CMGEv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10523 { 276 /* cmge */, AArch64::CMGEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10524 { 276 /* cmge */, AArch64::CMGEv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10525 { 276 /* cmge */, AArch64::CMGEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10526 { 276 /* cmge */, AArch64::CMGEv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10527 { 276 /* cmge */, AArch64::CMGEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10528 { 276 /* cmge */, AArch64::CMGEv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10529 { 276 /* cmge */, AArch64::CMGEv8i8rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10530 { 276 /* cmge */, AArch64::CMGEv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10531 { 276 /* cmge */, AArch64::CMGEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10532 { 276 /* cmge */, AArch64::CMGEv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10533 { 281 /* cmgt */, AArch64::CMGTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10534 { 281 /* cmgt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10535 { 281 /* cmgt */, AArch64::CMGTv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10536 { 281 /* cmgt */, AArch64::CMGTv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10537 { 281 /* cmgt */, AArch64::CMGTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10538 { 281 /* cmgt */, AArch64::CMGTv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10539 { 281 /* cmgt */, AArch64::CMGTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10540 { 281 /* cmgt */, AArch64::CMGTv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10541 { 281 /* cmgt */, AArch64::CMGTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10542 { 281 /* cmgt */, AArch64::CMGTv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10543 { 281 /* cmgt */, AArch64::CMGTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10544 { 281 /* cmgt */, AArch64::CMGTv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10545 { 281 /* cmgt */, AArch64::CMGTv8i8rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10546 { 281 /* cmgt */, AArch64::CMGTv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10547 { 281 /* cmgt */, AArch64::CMGTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10548 { 281 /* cmgt */, AArch64::CMGTv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10549 { 286 /* cmhi */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10550 { 286 /* cmhi */, AArch64::CMHIv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10551 { 286 /* cmhi */, AArch64::CMHIv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10552 { 286 /* cmhi */, AArch64::CMHIv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10553 { 286 /* cmhi */, AArch64::CMHIv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10554 { 286 /* cmhi */, AArch64::CMHIv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10555 { 286 /* cmhi */, AArch64::CMHIv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10556 { 286 /* cmhi */, AArch64::CMHIv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10557 { 291 /* cmhs */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10558 { 291 /* cmhs */, AArch64::CMHSv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10559 { 291 /* cmhs */, AArch64::CMHSv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10560 { 291 /* cmhs */, AArch64::CMHSv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10561 { 291 /* cmhs */, AArch64::CMHSv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10562 { 291 /* cmhs */, AArch64::CMHSv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10563 { 291 /* cmhs */, AArch64::CMHSv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10564 { 291 /* cmhs */, AArch64::CMHSv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10565 { 296 /* cmle */, AArch64::CMLEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10566 { 296 /* cmle */, AArch64::CMGEv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10567 { 296 /* cmle */, AArch64::CMLEv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10568 { 296 /* cmle */, AArch64::CMGEv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10569 { 296 /* cmle */, AArch64::CMLEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10570 { 296 /* cmle */, AArch64::CMGEv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10571 { 296 /* cmle */, AArch64::CMLEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10572 { 296 /* cmle */, AArch64::CMGEv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10573 { 296 /* cmle */, AArch64::CMLEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10574 { 296 /* cmle */, AArch64::CMGEv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10575 { 296 /* cmle */, AArch64::CMLEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10576 { 296 /* cmle */, AArch64::CMGEv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10577 { 296 /* cmle */, AArch64::CMLEv8i8rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10578 { 296 /* cmle */, AArch64::CMGEv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10579 { 296 /* cmle */, AArch64::CMLEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10580 { 296 /* cmle */, AArch64::CMGEv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10581 { 301 /* cmlo */, AArch64::CMHIv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10582 { 301 /* cmlo */, AArch64::CMHIv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10583 { 301 /* cmlo */, AArch64::CMHIv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10584 { 301 /* cmlo */, AArch64::CMHIv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10585 { 301 /* cmlo */, AArch64::CMHIv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10586 { 301 /* cmlo */, AArch64::CMHIv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10587 { 301 /* cmlo */, AArch64::CMHIv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10588 { 301 /* cmlo */, AArch64::CMHIv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10589 { 306 /* cmls */, AArch64::CMHSv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10590 { 306 /* cmls */, AArch64::CMHSv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10591 { 306 /* cmls */, AArch64::CMHSv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10592 { 306 /* cmls */, AArch64::CMHSv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10593 { 306 /* cmls */, AArch64::CMHSv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10594 { 306 /* cmls */, AArch64::CMHSv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10595 { 306 /* cmls */, AArch64::CMHSv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10596 { 306 /* cmls */, AArch64::CMHSv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10597 { 311 /* cmlt */, AArch64::CMLTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10598 { 311 /* cmlt */, AArch64::CMGTv1i64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10599 { 311 /* cmlt */, AArch64::CMLTv16i8rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10600 { 311 /* cmlt */, AArch64::CMGTv16i8, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10601 { 311 /* cmlt */, AArch64::CMLTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10602 { 311 /* cmlt */, AArch64::CMGTv2i64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10603 { 311 /* cmlt */, AArch64::CMLTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10604 { 311 /* cmlt */, AArch64::CMGTv2i32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10605 { 311 /* cmlt */, AArch64::CMLTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10606 { 311 /* cmlt */, AArch64::CMGTv4i16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10607 { 311 /* cmlt */, AArch64::CMLTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10608 { 311 /* cmlt */, AArch64::CMGTv4i32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10609 { 311 /* cmlt */, AArch64::CMLTv8i8rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10610 { 311 /* cmlt */, AArch64::CMGTv8i8, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10611 { 311 /* cmlt */, AArch64::CMLTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10612 { 311 /* cmlt */, AArch64::CMGTv8i16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10613 { 316 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, 0, { MCK_GPR32sponly, MCK_GPR32 }, },
10614 { 316 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, 0, { MCK_GPR64sponly, MCK_GPR64 }, },
10615 { 316 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
10616 { 316 /* cmn */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImmNeg2_1, 0, { MCK_GPR32sp, MCK_AddSubImmNeg }, },
10617 { 316 /* cmn */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, 0, { MCK_GPR32sp, MCK_AddSubImm }, },
10618 { 316 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
10619 { 316 /* cmn */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImmNeg2_1, 0, { MCK_GPR64sp, MCK_AddSubImmNeg }, },
10620 { 316 /* cmn */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, 0, { MCK_GPR64sp, MCK_AddSubImm }, },
10621 { 316 /* cmn */, AArch64::ADDSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
10622 { 316 /* cmn */, AArch64::ADDSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
10623 { 316 /* cmn */, AArch64::ADDSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
10624 { 316 /* cmn */, AArch64::ADDSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, 0, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
10625 { 316 /* cmn */, AArch64::ADDSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
10626 { 320 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__imm_95_16, 0, { MCK_GPR32sponly, MCK_GPR32 }, },
10627 { 320 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__imm_95_24, 0, { MCK_GPR64sponly, MCK_GPR64 }, },
10628 { 320 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
10629 { 320 /* cmp */, AArch64::ADDSWri, Convert__regWZR__Reg1_0__AddSubImmNeg2_1, 0, { MCK_GPR32sp, MCK_AddSubImmNeg }, },
10630 { 320 /* cmp */, AArch64::SUBSWri, Convert__regWZR__Reg1_0__AddSubImm2_1, 0, { MCK_GPR32sp, MCK_AddSubImm }, },
10631 { 320 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
10632 { 320 /* cmp */, AArch64::ADDSXri, Convert__regXZR__Reg1_0__AddSubImmNeg2_1, 0, { MCK_GPR64sp, MCK_AddSubImmNeg }, },
10633 { 320 /* cmp */, AArch64::SUBSXri, Convert__regXZR__Reg1_0__AddSubImm2_1, 0, { MCK_GPR64sp, MCK_AddSubImm }, },
10634 { 320 /* cmp */, AArch64::SUBSWrs, Convert__regWZR__Reg1_0__Reg1_1__ArithmeticShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
10635 { 320 /* cmp */, AArch64::SUBSWrx, Convert__regWZR__Reg1_0__Reg1_1__Extend1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
10636 { 320 /* cmp */, AArch64::SUBSXrs, Convert__regXZR__Reg1_0__Reg1_1__ArithmeticShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
10637 { 320 /* cmp */, AArch64::SUBSXrx, Convert__regXZR__Reg1_0__Reg1_1__Extend1_2, 0, { MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
10638 { 320 /* cmp */, AArch64::SUBSXrx64, Convert__regXZR__Reg1_0__Reg1_1__ExtendLSL641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
10639 { 324 /* cmtst */, AArch64::CMTSTv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10640 { 324 /* cmtst */, AArch64::CMTSTv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10641 { 324 /* cmtst */, AArch64::CMTSTv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10642 { 324 /* cmtst */, AArch64::CMTSTv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10643 { 324 /* cmtst */, AArch64::CMTSTv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10644 { 324 /* cmtst */, AArch64::CMTSTv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10645 { 324 /* cmtst */, AArch64::CMTSTv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10646 { 324 /* cmtst */, AArch64::CMTSTv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10647 { 330 /* cneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
10648 { 330 /* cneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_1__CondCode1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
10649 { 335 /* cnt */, AArch64::CNTv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
10650 { 335 /* cnt */, AArch64::CNTv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
10651 { 339 /* crc32b */, AArch64::CRC32Brr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10652 { 346 /* crc32cb */, AArch64::CRC32CBrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10653 { 354 /* crc32ch */, AArch64::CRC32CHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10654 { 362 /* crc32cw */, AArch64::CRC32CWrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10655 { 370 /* crc32cx */, AArch64::CRC32CXrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
10656 { 378 /* crc32h */, AArch64::CRC32Hrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10657 { 385 /* crc32w */, AArch64::CRC32Wrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10658 { 392 /* crc32x */, AArch64::CRC32Xrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasCRC, { MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
10659 { 399 /* csel */, AArch64::CSELWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
10660 { 399 /* csel */, AArch64::CSELXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
10661 { 404 /* cset */, AArch64::CSINCWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, 0, { MCK_GPR32, MCK_CondCode }, },
10662 { 404 /* cset */, AArch64::CSINCXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, 0, { MCK_GPR64, MCK_CondCode }, },
10663 { 409 /* csetm */, AArch64::CSINVWr, Convert__Reg1_0__regWZR__regWZR__CondCode1_1, 0, { MCK_GPR32, MCK_CondCode }, },
10664 { 409 /* csetm */, AArch64::CSINVXr, Convert__Reg1_0__regXZR__regXZR__CondCode1_1, 0, { MCK_GPR64, MCK_CondCode }, },
10665 { 415 /* csinc */, AArch64::CSINCWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
10666 { 415 /* csinc */, AArch64::CSINCXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
10667 { 421 /* csinv */, AArch64::CSINVWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
10668 { 421 /* csinv */, AArch64::CSINVXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
10669 { 427 /* csneg */, AArch64::CSNEGWr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_CondCode }, },
10670 { 427 /* csneg */, AArch64::CSNEGXr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_CondCode }, },
10671 { 433 /* dcps1 */, AArch64::DCPS1, Convert__imm_95_0, 0, { }, },
10672 { 433 /* dcps1 */, AArch64::DCPS1, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
10673 { 439 /* dcps2 */, AArch64::DCPS2, Convert__imm_95_0, 0, { }, },
10674 { 439 /* dcps2 */, AArch64::DCPS2, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
10675 { 445 /* dcps3 */, AArch64::DCPS3, Convert__imm_95_0, 0, { }, },
10676 { 445 /* dcps3 */, AArch64::DCPS3, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
10677 { 451 /* dmb */, AArch64::DMB, Convert__Barrier1_0, 0, { MCK_Barrier }, },
10678 { 455 /* drps */, AArch64::DRPS, Convert_NoOperands, 0, { }, },
10679 { 460 /* dsb */, AArch64::DSB, Convert__Barrier1_0, 0, { MCK_Barrier }, },
10680 { 464 /* dup */, AArch64::DUPv16i8gpr, Convert__VectorReg1281_1__Reg1_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_GPR32 }, },
10681 { 464 /* dup */, AArch64::DUPv2i64gpr, Convert__VectorReg1281_1__Reg1_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_GPR64 }, },
10682 { 464 /* dup */, AArch64::DUPv2i32gpr, Convert__VectorReg641_1__Reg1_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_GPR32 }, },
10683 { 464 /* dup */, AArch64::DUPv4i16gpr, Convert__VectorReg641_1__Reg1_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_GPR32 }, },
10684 { 464 /* dup */, AArch64::DUPv4i32gpr, Convert__VectorReg1281_1__Reg1_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_GPR32 }, },
10685 { 464 /* dup */, AArch64::DUPv8i8gpr, Convert__VectorReg641_1__Reg1_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_GPR32 }, },
10686 { 464 /* dup */, AArch64::DUPv8i16gpr, Convert__VectorReg1281_1__Reg1_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_GPR32 }, },
10687 { 464 /* dup */, AArch64::CPYi16, Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_2, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK_VectorIndexH }, },
10688 { 464 /* dup */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_2, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
10689 { 464 /* dup */, AArch64::CPYi64, Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_2, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK_VectorIndexD }, },
10690 { 464 /* dup */, AArch64::CPYi8, Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_2, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK_VectorIndexB }, },
10691 { 464 /* dup */, AArch64::DUPv16i8lane, Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexB1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexB }, },
10692 { 464 /* dup */, AArch64::DUPv2i64lane, Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexD1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexD }, },
10693 { 464 /* dup */, AArch64::DUPv2i32lane, Convert__VectorReg641_1__VectorReg1281_2__VectorIndexS1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
10694 { 464 /* dup */, AArch64::DUPv4i16lane, Convert__VectorReg641_1__VectorReg1281_2__VectorIndexH1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexH }, },
10695 { 464 /* dup */, AArch64::DUPv4i32lane, Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexS1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
10696 { 464 /* dup */, AArch64::DUPv8i8lane, Convert__VectorReg641_1__VectorReg1281_2__VectorIndexB1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexB }, },
10697 { 464 /* dup */, AArch64::DUPv8i16lane, Convert__VectorReg1281_1__VectorReg1281_2__VectorIndexH1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexH }, },
10698 { 468 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10699 { 468 /* eon */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
10700 { 468 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10701 { 468 /* eon */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
10702 { 468 /* eon */, AArch64::EONWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
10703 { 468 /* eon */, AArch64::EONXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
10704 { 472 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
10705 { 472 /* eor */, AArch64::EORWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
10706 { 472 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
10707 { 472 /* eor */, AArch64::EORXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
10708 { 472 /* eor */, AArch64::EORv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10709 { 472 /* eor */, AArch64::EORv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10710 { 472 /* eor */, AArch64::EORWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
10711 { 472 /* eor */, AArch64::EORXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
10712 { 476 /* eret */, AArch64::ERET, Convert_NoOperands, 0, { }, },
10713 { 481 /* ext */, AArch64::EXTv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__Imm1_4, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm }, },
10714 { 481 /* ext */, AArch64::EXTv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3__Imm1_4, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm }, },
10715 { 485 /* extr */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_311_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
10716 { 485 /* extr */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_2__Imm0_631_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
10717 { 490 /* fabd */, AArch64::FABD16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10718 { 490 /* fabd */, AArch64::FABD32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10719 { 490 /* fabd */, AArch64::FABD64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10720 { 490 /* fabd */, AArch64::FABDv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10721 { 490 /* fabd */, AArch64::FABDv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10722 { 490 /* fabd */, AArch64::FABDv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10723 { 490 /* fabd */, AArch64::FABDv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10724 { 490 /* fabd */, AArch64::FABDv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10725 { 495 /* fabs */, AArch64::FABSHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
10726 { 495 /* fabs */, AArch64::FABSSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
10727 { 495 /* fabs */, AArch64::FABSDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
10728 { 495 /* fabs */, AArch64::FABSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
10729 { 495 /* fabs */, AArch64::FABSv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
10730 { 495 /* fabs */, AArch64::FABSv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
10731 { 495 /* fabs */, AArch64::FABSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
10732 { 495 /* fabs */, AArch64::FABSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
10733 { 500 /* facge */, AArch64::FACGE16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10734 { 500 /* facge */, AArch64::FACGE32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10735 { 500 /* facge */, AArch64::FACGE64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10736 { 500 /* facge */, AArch64::FACGEv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10737 { 500 /* facge */, AArch64::FACGEv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10738 { 500 /* facge */, AArch64::FACGEv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10739 { 500 /* facge */, AArch64::FACGEv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10740 { 500 /* facge */, AArch64::FACGEv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10741 { 506 /* facgt */, AArch64::FACGT16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10742 { 506 /* facgt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10743 { 506 /* facgt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10744 { 506 /* facgt */, AArch64::FACGTv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10745 { 506 /* facgt */, AArch64::FACGTv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10746 { 506 /* facgt */, AArch64::FACGTv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10747 { 506 /* facgt */, AArch64::FACGTv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10748 { 506 /* facgt */, AArch64::FACGTv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10749 { 512 /* facle */, AArch64::FACGE32, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10750 { 512 /* facle */, AArch64::FACGE64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10751 { 512 /* facle */, AArch64::FACGEv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10752 { 512 /* facle */, AArch64::FACGEv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10753 { 512 /* facle */, AArch64::FACGEv4f16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10754 { 512 /* facle */, AArch64::FACGEv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10755 { 512 /* facle */, AArch64::FACGEv8f16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10756 { 518 /* faclt */, AArch64::FACGT32, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10757 { 518 /* faclt */, AArch64::FACGT64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10758 { 518 /* faclt */, AArch64::FACGTv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10759 { 518 /* faclt */, AArch64::FACGTv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10760 { 518 /* faclt */, AArch64::FACGTv4f16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10761 { 518 /* faclt */, AArch64::FACGTv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10762 { 518 /* faclt */, AArch64::FACGTv8f16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10763 { 524 /* fadd */, AArch64::FADDHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10764 { 524 /* fadd */, AArch64::FADDSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10765 { 524 /* fadd */, AArch64::FADDDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10766 { 524 /* fadd */, AArch64::FADDv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10767 { 524 /* fadd */, AArch64::FADDv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10768 { 524 /* fadd */, AArch64::FADDv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10769 { 524 /* fadd */, AArch64::FADDv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10770 { 524 /* fadd */, AArch64::FADDv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10771 { 529 /* faddp */, AArch64::FADDPv2i64p, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_FPR64, MCK_VectorReg128 }, },
10772 { 529 /* faddp */, AArch64::FADDPv2i16p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_2h, MCK_FPR16, MCK_VectorReg64 }, },
10773 { 529 /* faddp */, AArch64::FADDPv2i32p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_FPR32, MCK_VectorReg64 }, },
10774 { 529 /* faddp */, AArch64::FADDPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10775 { 529 /* faddp */, AArch64::FADDPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10776 { 529 /* faddp */, AArch64::FADDPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10777 { 529 /* faddp */, AArch64::FADDPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10778 { 529 /* faddp */, AArch64::FADDPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10779 { 535 /* fccmp */, AArch64::FCCMPHrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15, MCK_CondCode }, },
10780 { 535 /* fccmp */, AArch64::FCCMPSrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_Imm0_15, MCK_CondCode }, },
10781 { 535 /* fccmp */, AArch64::FCCMPDrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_Imm0_15, MCK_CondCode }, },
10782 { 541 /* fccmpe */, AArch64::FCCMPEHrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15, MCK_CondCode }, },
10783 { 541 /* fccmpe */, AArch64::FCCMPESrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_Imm0_15, MCK_CondCode }, },
10784 { 541 /* fccmpe */, AArch64::FCCMPEDrr, Convert__Reg1_0__Reg1_1__Imm0_151_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_Imm0_15, MCK_CondCode }, },
10785 { 548 /* fcmeq */, AArch64::FCMEQv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
10786 { 548 /* fcmeq */, AArch64::FCMEQ16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10787 { 548 /* fcmeq */, AArch64::FCMEQv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
10788 { 548 /* fcmeq */, AArch64::FCMEQ32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10789 { 548 /* fcmeq */, AArch64::FCMEQv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10790 { 548 /* fcmeq */, AArch64::FCMEQ64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10791 { 548 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10792 { 548 /* fcmeq */, AArch64::FCMEQv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10793 { 548 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10794 { 548 /* fcmeq */, AArch64::FCMEQv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10795 { 548 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10796 { 548 /* fcmeq */, AArch64::FCMEQv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10797 { 548 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10798 { 548 /* fcmeq */, AArch64::FCMEQv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10799 { 548 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10800 { 548 /* fcmeq */, AArch64::FCMEQv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10801 { 548 /* fcmeq */, AArch64::FCMEQv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
10802 { 548 /* fcmeq */, AArch64::FCMEQv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
10803 { 548 /* fcmeq */, AArch64::FCMEQv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
10804 { 548 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10805 { 548 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10806 { 548 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10807 { 548 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10808 { 548 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10809 { 548 /* fcmeq */, AArch64::FCMEQv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
10810 { 548 /* fcmeq */, AArch64::FCMEQv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
10811 { 548 /* fcmeq */, AArch64::FCMEQv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
10812 { 548 /* fcmeq */, AArch64::FCMEQv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
10813 { 548 /* fcmeq */, AArch64::FCMEQv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
10814 { 554 /* fcmge */, AArch64::FCMGEv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
10815 { 554 /* fcmge */, AArch64::FCMGE16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10816 { 554 /* fcmge */, AArch64::FCMGEv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
10817 { 554 /* fcmge */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10818 { 554 /* fcmge */, AArch64::FCMGEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10819 { 554 /* fcmge */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10820 { 554 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10821 { 554 /* fcmge */, AArch64::FCMGEv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10822 { 554 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10823 { 554 /* fcmge */, AArch64::FCMGEv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10824 { 554 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10825 { 554 /* fcmge */, AArch64::FCMGEv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10826 { 554 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10827 { 554 /* fcmge */, AArch64::FCMGEv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10828 { 554 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10829 { 554 /* fcmge */, AArch64::FCMGEv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10830 { 554 /* fcmge */, AArch64::FCMGEv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
10831 { 554 /* fcmge */, AArch64::FCMGEv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
10832 { 554 /* fcmge */, AArch64::FCMGEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
10833 { 554 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10834 { 554 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10835 { 554 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10836 { 554 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10837 { 554 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10838 { 554 /* fcmge */, AArch64::FCMGEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
10839 { 554 /* fcmge */, AArch64::FCMGEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
10840 { 554 /* fcmge */, AArch64::FCMGEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
10841 { 554 /* fcmge */, AArch64::FCMGEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
10842 { 554 /* fcmge */, AArch64::FCMGEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
10843 { 560 /* fcmgt */, AArch64::FCMGTv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
10844 { 560 /* fcmgt */, AArch64::FCMGT16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
10845 { 560 /* fcmgt */, AArch64::FCMGTv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
10846 { 560 /* fcmgt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10847 { 560 /* fcmgt */, AArch64::FCMGTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10848 { 560 /* fcmgt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10849 { 560 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10850 { 560 /* fcmgt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10851 { 560 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10852 { 560 /* fcmgt */, AArch64::FCMGTv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10853 { 560 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10854 { 560 /* fcmgt */, AArch64::FCMGTv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10855 { 560 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10856 { 560 /* fcmgt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10857 { 560 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10858 { 560 /* fcmgt */, AArch64::FCMGTv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10859 { 560 /* fcmgt */, AArch64::FCMGTv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
10860 { 560 /* fcmgt */, AArch64::FCMGTv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
10861 { 560 /* fcmgt */, AArch64::FCMGTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
10862 { 560 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10863 { 560 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10864 { 560 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10865 { 560 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10866 { 560 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10867 { 560 /* fcmgt */, AArch64::FCMGTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
10868 { 560 /* fcmgt */, AArch64::FCMGTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
10869 { 560 /* fcmgt */, AArch64::FCMGTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
10870 { 560 /* fcmgt */, AArch64::FCMGTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
10871 { 560 /* fcmgt */, AArch64::FCMGTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
10872 { 566 /* fcmle */, AArch64::FCMLEv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
10873 { 566 /* fcmle */, AArch64::FCMLEv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
10874 { 566 /* fcmle */, AArch64::FCMGE32, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10875 { 566 /* fcmle */, AArch64::FCMLEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10876 { 566 /* fcmle */, AArch64::FCMGE64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10877 { 566 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10878 { 566 /* fcmle */, AArch64::FCMGEv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10879 { 566 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10880 { 566 /* fcmle */, AArch64::FCMGEv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10881 { 566 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10882 { 566 /* fcmle */, AArch64::FCMGEv4f16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10883 { 566 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10884 { 566 /* fcmle */, AArch64::FCMGEv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10885 { 566 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10886 { 566 /* fcmle */, AArch64::FCMGEv8f16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10887 { 566 /* fcmle */, AArch64::FCMLEv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
10888 { 566 /* fcmle */, AArch64::FCMLEv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
10889 { 566 /* fcmle */, AArch64::FCMLEv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
10890 { 566 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10891 { 566 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10892 { 566 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10893 { 566 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10894 { 566 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10895 { 566 /* fcmle */, AArch64::FCMLEv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
10896 { 566 /* fcmle */, AArch64::FCMLEv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
10897 { 566 /* fcmle */, AArch64::FCMLEv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
10898 { 566 /* fcmle */, AArch64::FCMLEv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
10899 { 566 /* fcmle */, AArch64::FCMLEv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
10900 { 572 /* fcmlt */, AArch64::FCMLTv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0 }, },
10901 { 572 /* fcmlt */, AArch64::FCMLTv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0 }, },
10902 { 572 /* fcmlt */, AArch64::FCMGT32, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
10903 { 572 /* fcmlt */, AArch64::FCMLTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0 }, },
10904 { 572 /* fcmlt */, AArch64::FCMGT64, Convert__Reg1_0__Reg1_2__Reg1_1, 0, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
10905 { 572 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10906 { 572 /* fcmlt */, AArch64::FCMGTv2f64, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10907 { 572 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10908 { 572 /* fcmlt */, AArch64::FCMGTv2f32, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10909 { 572 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0 }, },
10910 { 572 /* fcmlt */, AArch64::FCMGTv4f16, Convert__VectorReg641_1__VectorReg641_3__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
10911 { 572 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10912 { 572 /* fcmlt */, AArch64::FCMGTv4f32, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10913 { 572 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0 }, },
10914 { 572 /* fcmlt */, AArch64::FCMGTv8f16, Convert__VectorReg1281_1__VectorReg1281_3__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
10915 { 572 /* fcmlt */, AArch64::FCMLTv1i16rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
10916 { 572 /* fcmlt */, AArch64::FCMLTv1i32rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
10917 { 572 /* fcmlt */, AArch64::FCMLTv1i64rz, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
10918 { 572 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10919 { 572 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10920 { 572 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK__35_0, MCK__DOT_0 }, },
10921 { 572 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10922 { 572 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_0, MCK__DOT_0 }, },
10923 { 572 /* fcmlt */, AArch64::FCMLTv2i64rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_2d, MCK__35_0 }, },
10924 { 572 /* fcmlt */, AArch64::FCMLTv4i32rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_4s, MCK__35_0 }, },
10925 { 572 /* fcmlt */, AArch64::FCMLTv8i16rz, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_8h, MCK__35_0 }, },
10926 { 572 /* fcmlt */, AArch64::FCMLTv2i32rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg64, MCK__DOT_2s, MCK__35_0 }, },
10927 { 572 /* fcmlt */, AArch64::FCMLTv4i16rz, Convert__VectorReg641_0__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg64, MCK__DOT_4h, MCK__35_0 }, },
10928 { 578 /* fcmp */, AArch64::FCMPHrr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
10929 { 578 /* fcmp */, AArch64::FCMPSrr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
10930 { 578 /* fcmp */, AArch64::FCMPDrr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
10931 { 578 /* fcmp */, AArch64::FCMPHri, Convert__Reg1_0, Feature_HasFullFP16, { MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
10932 { 578 /* fcmp */, AArch64::FCMPSri, Convert__Reg1_0, Feature_HasFPARMv8, { MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
10933 { 578 /* fcmp */, AArch64::FCMPDri, Convert__Reg1_0, Feature_HasFPARMv8, { MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
10934 { 583 /* fcmpe */, AArch64::FCMPEHrr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
10935 { 583 /* fcmpe */, AArch64::FCMPESrr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
10936 { 583 /* fcmpe */, AArch64::FCMPEDrr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
10937 { 583 /* fcmpe */, AArch64::FCMPEHri, Convert__Reg1_0, Feature_HasFullFP16, { MCK_FPR16, MCK__35_0, MCK__DOT_0 }, },
10938 { 583 /* fcmpe */, AArch64::FCMPESri, Convert__Reg1_0, Feature_HasFPARMv8, { MCK_FPR32, MCK__35_0, MCK__DOT_0 }, },
10939 { 583 /* fcmpe */, AArch64::FCMPEDri, Convert__Reg1_0, Feature_HasFPARMv8, { MCK_FPR64, MCK__35_0, MCK__DOT_0 }, },
10940 { 589 /* fcsel */, AArch64::FCSELHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_CondCode }, },
10941 { 589 /* fcsel */, AArch64::FCSELSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_CondCode }, },
10942 { 589 /* fcsel */, AArch64::FCSELDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__CondCode1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_CondCode }, },
10943 { 595 /* fcvt */, AArch64::FCVTHSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR16, MCK_FPR32 }, },
10944 { 595 /* fcvt */, AArch64::FCVTHDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR16, MCK_FPR64 }, },
10945 { 595 /* fcvt */, AArch64::FCVTSHr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR16 }, },
10946 { 595 /* fcvt */, AArch64::FCVTSDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR64 }, },
10947 { 595 /* fcvt */, AArch64::FCVTDHr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR16 }, },
10948 { 595 /* fcvt */, AArch64::FCVTDSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR32 }, },
10949 { 600 /* fcvtas */, AArch64::FCVTASv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
10950 { 600 /* fcvtas */, AArch64::FCVTASv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
10951 { 600 /* fcvtas */, AArch64::FCVTASv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
10952 { 600 /* fcvtas */, AArch64::FCVTASUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
10953 { 600 /* fcvtas */, AArch64::FCVTASUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
10954 { 600 /* fcvtas */, AArch64::FCVTASUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
10955 { 600 /* fcvtas */, AArch64::FCVTASUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
10956 { 600 /* fcvtas */, AArch64::FCVTASUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
10957 { 600 /* fcvtas */, AArch64::FCVTASUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
10958 { 600 /* fcvtas */, AArch64::FCVTASv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
10959 { 600 /* fcvtas */, AArch64::FCVTASv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
10960 { 600 /* fcvtas */, AArch64::FCVTASv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
10961 { 600 /* fcvtas */, AArch64::FCVTASv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
10962 { 600 /* fcvtas */, AArch64::FCVTASv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
10963 { 607 /* fcvtau */, AArch64::FCVTAUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
10964 { 607 /* fcvtau */, AArch64::FCVTAUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
10965 { 607 /* fcvtau */, AArch64::FCVTAUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
10966 { 607 /* fcvtau */, AArch64::FCVTAUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
10967 { 607 /* fcvtau */, AArch64::FCVTAUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
10968 { 607 /* fcvtau */, AArch64::FCVTAUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
10969 { 607 /* fcvtau */, AArch64::FCVTAUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
10970 { 607 /* fcvtau */, AArch64::FCVTAUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
10971 { 607 /* fcvtau */, AArch64::FCVTAUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
10972 { 607 /* fcvtau */, AArch64::FCVTAUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
10973 { 607 /* fcvtau */, AArch64::FCVTAUv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
10974 { 607 /* fcvtau */, AArch64::FCVTAUv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
10975 { 607 /* fcvtau */, AArch64::FCVTAUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
10976 { 607 /* fcvtau */, AArch64::FCVTAUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
10977 { 614 /* fcvtl */, AArch64::FCVTLv2i32, Convert__VectorReg1281_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
10978 { 614 /* fcvtl */, AArch64::FCVTLv4i16, Convert__VectorReg1281_0__VectorReg641_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
10979 { 620 /* fcvtl2 */, AArch64::FCVTLv4i32, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
10980 { 620 /* fcvtl2 */, AArch64::FCVTLv8i16, Convert__VectorReg1281_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
10981 { 627 /* fcvtms */, AArch64::FCVTMSv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
10982 { 627 /* fcvtms */, AArch64::FCVTMSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
10983 { 627 /* fcvtms */, AArch64::FCVTMSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
10984 { 627 /* fcvtms */, AArch64::FCVTMSUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
10985 { 627 /* fcvtms */, AArch64::FCVTMSUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
10986 { 627 /* fcvtms */, AArch64::FCVTMSUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
10987 { 627 /* fcvtms */, AArch64::FCVTMSUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
10988 { 627 /* fcvtms */, AArch64::FCVTMSUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
10989 { 627 /* fcvtms */, AArch64::FCVTMSUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
10990 { 627 /* fcvtms */, AArch64::FCVTMSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
10991 { 627 /* fcvtms */, AArch64::FCVTMSv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
10992 { 627 /* fcvtms */, AArch64::FCVTMSv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
10993 { 627 /* fcvtms */, AArch64::FCVTMSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
10994 { 627 /* fcvtms */, AArch64::FCVTMSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
10995 { 634 /* fcvtmu */, AArch64::FCVTMUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
10996 { 634 /* fcvtmu */, AArch64::FCVTMUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
10997 { 634 /* fcvtmu */, AArch64::FCVTMUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
10998 { 634 /* fcvtmu */, AArch64::FCVTMUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
10999 { 634 /* fcvtmu */, AArch64::FCVTMUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
11000 { 634 /* fcvtmu */, AArch64::FCVTMUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
11001 { 634 /* fcvtmu */, AArch64::FCVTMUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
11002 { 634 /* fcvtmu */, AArch64::FCVTMUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
11003 { 634 /* fcvtmu */, AArch64::FCVTMUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
11004 { 634 /* fcvtmu */, AArch64::FCVTMUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11005 { 634 /* fcvtmu */, AArch64::FCVTMUv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11006 { 634 /* fcvtmu */, AArch64::FCVTMUv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11007 { 634 /* fcvtmu */, AArch64::FCVTMUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11008 { 634 /* fcvtmu */, AArch64::FCVTMUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11009 { 641 /* fcvtn */, AArch64::FCVTNv2i32, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
11010 { 641 /* fcvtn */, AArch64::FCVTNv4i16, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_4h, MCK_VectorReg128, MCK__DOT_4s }, },
11011 { 647 /* fcvtn2 */, AArch64::FCVTNv4i32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
11012 { 647 /* fcvtn2 */, AArch64::FCVTNv8i16, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_4s }, },
11013 { 654 /* fcvtns */, AArch64::FCVTNSv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11014 { 654 /* fcvtns */, AArch64::FCVTNSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11015 { 654 /* fcvtns */, AArch64::FCVTNSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11016 { 654 /* fcvtns */, AArch64::FCVTNSUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
11017 { 654 /* fcvtns */, AArch64::FCVTNSUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
11018 { 654 /* fcvtns */, AArch64::FCVTNSUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
11019 { 654 /* fcvtns */, AArch64::FCVTNSUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
11020 { 654 /* fcvtns */, AArch64::FCVTNSUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
11021 { 654 /* fcvtns */, AArch64::FCVTNSUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
11022 { 654 /* fcvtns */, AArch64::FCVTNSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11023 { 654 /* fcvtns */, AArch64::FCVTNSv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11024 { 654 /* fcvtns */, AArch64::FCVTNSv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11025 { 654 /* fcvtns */, AArch64::FCVTNSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11026 { 654 /* fcvtns */, AArch64::FCVTNSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11027 { 661 /* fcvtnu */, AArch64::FCVTNUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11028 { 661 /* fcvtnu */, AArch64::FCVTNUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11029 { 661 /* fcvtnu */, AArch64::FCVTNUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11030 { 661 /* fcvtnu */, AArch64::FCVTNUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
11031 { 661 /* fcvtnu */, AArch64::FCVTNUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
11032 { 661 /* fcvtnu */, AArch64::FCVTNUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
11033 { 661 /* fcvtnu */, AArch64::FCVTNUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
11034 { 661 /* fcvtnu */, AArch64::FCVTNUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
11035 { 661 /* fcvtnu */, AArch64::FCVTNUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
11036 { 661 /* fcvtnu */, AArch64::FCVTNUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11037 { 661 /* fcvtnu */, AArch64::FCVTNUv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11038 { 661 /* fcvtnu */, AArch64::FCVTNUv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11039 { 661 /* fcvtnu */, AArch64::FCVTNUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11040 { 661 /* fcvtnu */, AArch64::FCVTNUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11041 { 668 /* fcvtps */, AArch64::FCVTPSv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11042 { 668 /* fcvtps */, AArch64::FCVTPSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11043 { 668 /* fcvtps */, AArch64::FCVTPSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11044 { 668 /* fcvtps */, AArch64::FCVTPSUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
11045 { 668 /* fcvtps */, AArch64::FCVTPSUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
11046 { 668 /* fcvtps */, AArch64::FCVTPSUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
11047 { 668 /* fcvtps */, AArch64::FCVTPSUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
11048 { 668 /* fcvtps */, AArch64::FCVTPSUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
11049 { 668 /* fcvtps */, AArch64::FCVTPSUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
11050 { 668 /* fcvtps */, AArch64::FCVTPSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11051 { 668 /* fcvtps */, AArch64::FCVTPSv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11052 { 668 /* fcvtps */, AArch64::FCVTPSv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11053 { 668 /* fcvtps */, AArch64::FCVTPSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11054 { 668 /* fcvtps */, AArch64::FCVTPSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11055 { 675 /* fcvtpu */, AArch64::FCVTPUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11056 { 675 /* fcvtpu */, AArch64::FCVTPUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11057 { 675 /* fcvtpu */, AArch64::FCVTPUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11058 { 675 /* fcvtpu */, AArch64::FCVTPUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
11059 { 675 /* fcvtpu */, AArch64::FCVTPUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
11060 { 675 /* fcvtpu */, AArch64::FCVTPUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
11061 { 675 /* fcvtpu */, AArch64::FCVTPUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
11062 { 675 /* fcvtpu */, AArch64::FCVTPUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
11063 { 675 /* fcvtpu */, AArch64::FCVTPUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
11064 { 675 /* fcvtpu */, AArch64::FCVTPUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11065 { 675 /* fcvtpu */, AArch64::FCVTPUv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11066 { 675 /* fcvtpu */, AArch64::FCVTPUv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11067 { 675 /* fcvtpu */, AArch64::FCVTPUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11068 { 675 /* fcvtpu */, AArch64::FCVTPUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11069 { 682 /* fcvtxn */, AArch64::FCVTXNv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
11070 { 682 /* fcvtxn */, AArch64::FCVTXNv2f32, Convert__VectorReg641_0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_2s, MCK_VectorReg128, MCK__DOT_2d }, },
11071 { 689 /* fcvtxn2 */, AArch64::FCVTXNv4f32, Convert__VectorReg1281_0__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_2d }, },
11072 { 697 /* fcvtzs */, AArch64::FCVTZSv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11073 { 697 /* fcvtzs */, AArch64::FCVTZSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11074 { 697 /* fcvtzs */, AArch64::FCVTZSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11075 { 697 /* fcvtzs */, AArch64::FCVTZSUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
11076 { 697 /* fcvtzs */, AArch64::FCVTZSUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
11077 { 697 /* fcvtzs */, AArch64::FCVTZSUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
11078 { 697 /* fcvtzs */, AArch64::FCVTZSUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
11079 { 697 /* fcvtzs */, AArch64::FCVTZSUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
11080 { 697 /* fcvtzs */, AArch64::FCVTZSUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
11081 { 697 /* fcvtzs */, AArch64::FCVTZSv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11082 { 697 /* fcvtzs */, AArch64::FCVTZSv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11083 { 697 /* fcvtzs */, AArch64::FCVTZSv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11084 { 697 /* fcvtzs */, AArch64::FCVTZSv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11085 { 697 /* fcvtzs */, AArch64::FCVTZSv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11086 { 697 /* fcvtzs */, AArch64::FCVTZSh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
11087 { 697 /* fcvtzs */, AArch64::FCVTZSs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
11088 { 697 /* fcvtzs */, AArch64::FCVTZSd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
11089 { 697 /* fcvtzs */, AArch64::FCVTZSSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16, MCK_Imm1_32 }, },
11090 { 697 /* fcvtzs */, AArch64::FCVTZSSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32, MCK_Imm1_32 }, },
11091 { 697 /* fcvtzs */, AArch64::FCVTZSSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64, MCK_Imm1_32 }, },
11092 { 697 /* fcvtzs */, AArch64::FCVTZSSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16, MCK_Imm1_64 }, },
11093 { 697 /* fcvtzs */, AArch64::FCVTZSSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32, MCK_Imm1_64 }, },
11094 { 697 /* fcvtzs */, AArch64::FCVTZSSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64, MCK_Imm1_64 }, },
11095 { 697 /* fcvtzs */, AArch64::FCVTZSv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
11096 { 697 /* fcvtzs */, AArch64::FCVTZSv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
11097 { 697 /* fcvtzs */, AArch64::FCVTZSv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
11098 { 697 /* fcvtzs */, AArch64::FCVTZSv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
11099 { 697 /* fcvtzs */, AArch64::FCVTZSv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
11100 { 704 /* fcvtzu */, AArch64::FCVTZUv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11101 { 704 /* fcvtzu */, AArch64::FCVTZUv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11102 { 704 /* fcvtzu */, AArch64::FCVTZUv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11103 { 704 /* fcvtzu */, AArch64::FCVTZUUWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
11104 { 704 /* fcvtzu */, AArch64::FCVTZUUWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
11105 { 704 /* fcvtzu */, AArch64::FCVTZUUWDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64 }, },
11106 { 704 /* fcvtzu */, AArch64::FCVTZUUXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
11107 { 704 /* fcvtzu */, AArch64::FCVTZUUXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32 }, },
11108 { 704 /* fcvtzu */, AArch64::FCVTZUUXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
11109 { 704 /* fcvtzu */, AArch64::FCVTZUv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11110 { 704 /* fcvtzu */, AArch64::FCVTZUv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11111 { 704 /* fcvtzu */, AArch64::FCVTZUv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11112 { 704 /* fcvtzu */, AArch64::FCVTZUv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11113 { 704 /* fcvtzu */, AArch64::FCVTZUv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11114 { 704 /* fcvtzu */, AArch64::FCVTZUh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
11115 { 704 /* fcvtzu */, AArch64::FCVTZUs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
11116 { 704 /* fcvtzu */, AArch64::FCVTZUd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
11117 { 704 /* fcvtzu */, AArch64::FCVTZUSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16, MCK_Imm1_32 }, },
11118 { 704 /* fcvtzu */, AArch64::FCVTZUSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32, MCK_Imm1_32 }, },
11119 { 704 /* fcvtzu */, AArch64::FCVTZUSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR64, MCK_Imm1_32 }, },
11120 { 704 /* fcvtzu */, AArch64::FCVTZUSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16, MCK_Imm1_64 }, },
11121 { 704 /* fcvtzu */, AArch64::FCVTZUSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR32, MCK_Imm1_64 }, },
11122 { 704 /* fcvtzu */, AArch64::FCVTZUSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64, MCK_Imm1_64 }, },
11123 { 704 /* fcvtzu */, AArch64::FCVTZUv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
11124 { 704 /* fcvtzu */, AArch64::FCVTZUv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
11125 { 704 /* fcvtzu */, AArch64::FCVTZUv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
11126 { 704 /* fcvtzu */, AArch64::FCVTZUv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
11127 { 704 /* fcvtzu */, AArch64::FCVTZUv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
11128 { 711 /* fdiv */, AArch64::FDIVHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11129 { 711 /* fdiv */, AArch64::FDIVSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11130 { 711 /* fdiv */, AArch64::FDIVDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11131 { 711 /* fdiv */, AArch64::FDIVv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11132 { 711 /* fdiv */, AArch64::FDIVv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11133 { 711 /* fdiv */, AArch64::FDIVv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11134 { 711 /* fdiv */, AArch64::FDIVv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11135 { 711 /* fdiv */, AArch64::FDIVv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11136 { 716 /* fmadd */, AArch64::FMADDHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11137 { 716 /* fmadd */, AArch64::FMADDSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11138 { 716 /* fmadd */, AArch64::FMADDDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11139 { 722 /* fmax */, AArch64::FMAXHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11140 { 722 /* fmax */, AArch64::FMAXSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11141 { 722 /* fmax */, AArch64::FMAXDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11142 { 722 /* fmax */, AArch64::FMAXv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11143 { 722 /* fmax */, AArch64::FMAXv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11144 { 722 /* fmax */, AArch64::FMAXv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11145 { 722 /* fmax */, AArch64::FMAXv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11146 { 722 /* fmax */, AArch64::FMAXv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11147 { 727 /* fmaxnm */, AArch64::FMAXNMHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11148 { 727 /* fmaxnm */, AArch64::FMAXNMSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11149 { 727 /* fmaxnm */, AArch64::FMAXNMDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11150 { 727 /* fmaxnm */, AArch64::FMAXNMv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11151 { 727 /* fmaxnm */, AArch64::FMAXNMv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11152 { 727 /* fmaxnm */, AArch64::FMAXNMv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11153 { 727 /* fmaxnm */, AArch64::FMAXNMv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11154 { 727 /* fmaxnm */, AArch64::FMAXNMv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11155 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2i64p, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_FPR64, MCK_VectorReg128 }, },
11156 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2i16p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_2h, MCK_FPR16, MCK_VectorReg64 }, },
11157 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2i32p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_FPR32, MCK_VectorReg64 }, },
11158 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11159 { 734 /* fmaxnmp */, AArch64::FMAXNMPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11160 { 734 /* fmaxnmp */, AArch64::FMAXNMPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11161 { 734 /* fmaxnmp */, AArch64::FMAXNMPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11162 { 734 /* fmaxnmp */, AArch64::FMAXNMPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11163 { 742 /* fmaxnmv */, AArch64::FMAXNMVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
11164 { 742 /* fmaxnmv */, AArch64::FMAXNMVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
11165 { 742 /* fmaxnmv */, AArch64::FMAXNMVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
11166 { 750 /* fmaxp */, AArch64::FMAXPv2i64p, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_FPR64, MCK_VectorReg128 }, },
11167 { 750 /* fmaxp */, AArch64::FMAXPv2i16p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_2h, MCK_FPR16, MCK_VectorReg64 }, },
11168 { 750 /* fmaxp */, AArch64::FMAXPv2i32p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_FPR32, MCK_VectorReg64 }, },
11169 { 750 /* fmaxp */, AArch64::FMAXPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11170 { 750 /* fmaxp */, AArch64::FMAXPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11171 { 750 /* fmaxp */, AArch64::FMAXPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11172 { 750 /* fmaxp */, AArch64::FMAXPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11173 { 750 /* fmaxp */, AArch64::FMAXPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11174 { 756 /* fmaxv */, AArch64::FMAXVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
11175 { 756 /* fmaxv */, AArch64::FMAXVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
11176 { 756 /* fmaxv */, AArch64::FMAXVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
11177 { 762 /* fmin */, AArch64::FMINHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11178 { 762 /* fmin */, AArch64::FMINSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11179 { 762 /* fmin */, AArch64::FMINDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11180 { 762 /* fmin */, AArch64::FMINv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11181 { 762 /* fmin */, AArch64::FMINv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11182 { 762 /* fmin */, AArch64::FMINv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11183 { 762 /* fmin */, AArch64::FMINv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11184 { 762 /* fmin */, AArch64::FMINv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11185 { 767 /* fminnm */, AArch64::FMINNMHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11186 { 767 /* fminnm */, AArch64::FMINNMSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11187 { 767 /* fminnm */, AArch64::FMINNMDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11188 { 767 /* fminnm */, AArch64::FMINNMv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11189 { 767 /* fminnm */, AArch64::FMINNMv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11190 { 767 /* fminnm */, AArch64::FMINNMv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11191 { 767 /* fminnm */, AArch64::FMINNMv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11192 { 767 /* fminnm */, AArch64::FMINNMv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11193 { 774 /* fminnmp */, AArch64::FMINNMPv2i64p, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_FPR64, MCK_VectorReg128 }, },
11194 { 774 /* fminnmp */, AArch64::FMINNMPv2i16p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_2h, MCK_FPR16, MCK_VectorReg64 }, },
11195 { 774 /* fminnmp */, AArch64::FMINNMPv2i32p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_FPR32, MCK_VectorReg64 }, },
11196 { 774 /* fminnmp */, AArch64::FMINNMPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11197 { 774 /* fminnmp */, AArch64::FMINNMPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11198 { 774 /* fminnmp */, AArch64::FMINNMPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11199 { 774 /* fminnmp */, AArch64::FMINNMPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11200 { 774 /* fminnmp */, AArch64::FMINNMPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11201 { 782 /* fminnmv */, AArch64::FMINNMVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
11202 { 782 /* fminnmv */, AArch64::FMINNMVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
11203 { 782 /* fminnmv */, AArch64::FMINNMVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
11204 { 790 /* fminp */, AArch64::FMINPv2i64p, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_FPR64, MCK_VectorReg128 }, },
11205 { 790 /* fminp */, AArch64::FMINPv2i16p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_2h, MCK_FPR16, MCK_VectorReg64 }, },
11206 { 790 /* fminp */, AArch64::FMINPv2i32p, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_FPR32, MCK_VectorReg64 }, },
11207 { 790 /* fminp */, AArch64::FMINPv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11208 { 790 /* fminp */, AArch64::FMINPv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11209 { 790 /* fminp */, AArch64::FMINPv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11210 { 790 /* fminp */, AArch64::FMINPv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11211 { 790 /* fminp */, AArch64::FMINPv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11212 { 796 /* fminv */, AArch64::FMINVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
11213 { 796 /* fminv */, AArch64::FMINVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
11214 { 796 /* fminv */, AArch64::FMINVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
11215 { 802 /* fmla */, AArch64::FMLAv2f64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11216 { 802 /* fmla */, AArch64::FMLAv2f32, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11217 { 802 /* fmla */, AArch64::FMLAv4f16, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11218 { 802 /* fmla */, AArch64::FMLAv4f32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11219 { 802 /* fmla */, AArch64::FMLAv8f16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11220 { 802 /* fmla */, AArch64::FMLAv2i64_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexD }, },
11221 { 802 /* fmla */, AArch64::FMLAv2i32_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
11222 { 802 /* fmla */, AArch64::FMLAv4i16_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
11223 { 802 /* fmla */, AArch64::FMLAv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
11224 { 802 /* fmla */, AArch64::FMLAv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
11225 { 802 /* fmla */, AArch64::FMLAv1i64_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_d, MCK_FPR64, MCK_FPR64, MCK_VectorReg128, MCK_VectorIndexD }, },
11226 { 802 /* fmla */, AArch64::FMLAv1i16_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_h, MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
11227 { 802 /* fmla */, AArch64::FMLAv1i32_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
11228 { 807 /* fmls */, AArch64::FMLSv2f64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11229 { 807 /* fmls */, AArch64::FMLSv2f32, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11230 { 807 /* fmls */, AArch64::FMLSv4f16, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11231 { 807 /* fmls */, AArch64::FMLSv4f32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11232 { 807 /* fmls */, AArch64::FMLSv8f16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11233 { 807 /* fmls */, AArch64::FMLSv2i64_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexD }, },
11234 { 807 /* fmls */, AArch64::FMLSv2i32_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
11235 { 807 /* fmls */, AArch64::FMLSv4i16_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
11236 { 807 /* fmls */, AArch64::FMLSv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
11237 { 807 /* fmls */, AArch64::FMLSv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
11238 { 807 /* fmls */, AArch64::FMLSv1i64_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_d, MCK_FPR64, MCK_FPR64, MCK_VectorReg128, MCK_VectorIndexD }, },
11239 { 807 /* fmls */, AArch64::FMLSv1i16_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_h, MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
11240 { 807 /* fmls */, AArch64::FMLSv1i32_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
11241 { 812 /* fmov */, AArch64::FMOVHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11242 { 812 /* fmov */, AArch64::FMOVWHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
11243 { 812 /* fmov */, AArch64::FMOVXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
11244 { 812 /* fmov */, AArch64::FMOVHi, Convert__Reg1_0__FPImm1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPImm }, },
11245 { 812 /* fmov */, AArch64::FMOVSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11246 { 812 /* fmov */, AArch64::FMOVWSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
11247 { 812 /* fmov */, AArch64::FMOVSi, Convert__Reg1_0__FPImm1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPImm }, },
11248 { 812 /* fmov */, AArch64::FMOVDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11249 { 812 /* fmov */, AArch64::FMOVXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
11250 { 812 /* fmov */, AArch64::FMOVDi, Convert__Reg1_0__FPImm1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPImm }, },
11251 { 812 /* fmov */, AArch64::FMOVHWr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR32, MCK_FPR16 }, },
11252 { 812 /* fmov */, AArch64::FMOVSWr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR32, MCK_FPR32 }, },
11253 { 812 /* fmov */, AArch64::FMOVHXr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_GPR64, MCK_FPR16 }, },
11254 { 812 /* fmov */, AArch64::FMOVDXr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_GPR64, MCK_FPR64 }, },
11255 { 812 /* fmov */, AArch64::FMOVv2f64_ns, Convert__VectorReg1281_1__FPImm1_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_FPImm }, },
11256 { 812 /* fmov */, AArch64::FMOVv2f32_ns, Convert__VectorReg641_1__FPImm1_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_FPImm }, },
11257 { 812 /* fmov */, AArch64::FMOVv4f16_ns, Convert__VectorReg641_1__FPImm1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_FPImm }, },
11258 { 812 /* fmov */, AArch64::FMOVv4f32_ns, Convert__VectorReg1281_1__FPImm1_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_FPImm }, },
11259 { 812 /* fmov */, AArch64::FMOVv8f16_ns, Convert__VectorReg1281_1__FPImm1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_FPImm }, },
11260 { 812 /* fmov */, AArch64::FMOVDXHighr, Convert__Reg1_1__VectorReg1281_2__VectorIndex11_3, Feature_HasFPARMv8, { MCK__DOT_d, MCK_GPR64, MCK_VectorReg128, MCK_VectorIndex1 }, },
11261 { 812 /* fmov */, AArch64::FMOVXDHighr, Convert__VectorReg1281_1__Reg1_3__VectorIndex11_2, Feature_HasFPARMv8, { MCK__DOT_d, MCK_VectorReg128, MCK_VectorIndex1, MCK_GPR64 }, },
11262 { 817 /* fmsub */, AArch64::FMSUBHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11263 { 817 /* fmsub */, AArch64::FMSUBSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11264 { 817 /* fmsub */, AArch64::FMSUBDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11265 { 823 /* fmul */, AArch64::FMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11266 { 823 /* fmul */, AArch64::FMULSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11267 { 823 /* fmul */, AArch64::FMULDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11268 { 823 /* fmul */, AArch64::FMULv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11269 { 823 /* fmul */, AArch64::FMULv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11270 { 823 /* fmul */, AArch64::FMULv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11271 { 823 /* fmul */, AArch64::FMULv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11272 { 823 /* fmul */, AArch64::FMULv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11273 { 823 /* fmul */, AArch64::FMULv2i64_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexD }, },
11274 { 823 /* fmul */, AArch64::FMULv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
11275 { 823 /* fmul */, AArch64::FMULv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
11276 { 823 /* fmul */, AArch64::FMULv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
11277 { 823 /* fmul */, AArch64::FMULv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
11278 { 823 /* fmul */, AArch64::FMULv1i64_indexed, Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_d, MCK_FPR64, MCK_FPR64, MCK_VectorReg128, MCK_VectorIndexD }, },
11279 { 823 /* fmul */, AArch64::FMULv1i16_indexed, Convert__Reg1_1__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_h, MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
11280 { 823 /* fmul */, AArch64::FMULv1i32_indexed, Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
11281 { 828 /* fmulx */, AArch64::FMULX16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11282 { 828 /* fmulx */, AArch64::FMULX32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11283 { 828 /* fmulx */, AArch64::FMULX64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11284 { 828 /* fmulx */, AArch64::FMULXv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11285 { 828 /* fmulx */, AArch64::FMULXv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11286 { 828 /* fmulx */, AArch64::FMULXv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11287 { 828 /* fmulx */, AArch64::FMULXv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11288 { 828 /* fmulx */, AArch64::FMULXv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11289 { 828 /* fmulx */, AArch64::FMULXv2i64_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexD }, },
11290 { 828 /* fmulx */, AArch64::FMULXv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
11291 { 828 /* fmulx */, AArch64::FMULXv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
11292 { 828 /* fmulx */, AArch64::FMULXv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
11293 { 828 /* fmulx */, AArch64::FMULXv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
11294 { 828 /* fmulx */, AArch64::FMULXv1i64_indexed, Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_d, MCK_FPR64, MCK_FPR64, MCK_VectorReg128, MCK_VectorIndexD }, },
11295 { 828 /* fmulx */, AArch64::FMULXv1i16_indexed, Convert__Reg1_1__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_h, MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
11296 { 828 /* fmulx */, AArch64::FMULXv1i32_indexed, Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
11297 { 834 /* fneg */, AArch64::FNEGHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11298 { 834 /* fneg */, AArch64::FNEGSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11299 { 834 /* fneg */, AArch64::FNEGDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11300 { 834 /* fneg */, AArch64::FNEGv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11301 { 834 /* fneg */, AArch64::FNEGv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11302 { 834 /* fneg */, AArch64::FNEGv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11303 { 834 /* fneg */, AArch64::FNEGv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11304 { 834 /* fneg */, AArch64::FNEGv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11305 { 839 /* fnmadd */, AArch64::FNMADDHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11306 { 839 /* fnmadd */, AArch64::FNMADDSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11307 { 839 /* fnmadd */, AArch64::FNMADDDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11308 { 846 /* fnmsub */, AArch64::FNMSUBHrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11309 { 846 /* fnmsub */, AArch64::FNMSUBSrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11310 { 846 /* fnmsub */, AArch64::FNMSUBDrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11311 { 853 /* fnmul */, AArch64::FNMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11312 { 853 /* fnmul */, AArch64::FNMULSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11313 { 853 /* fnmul */, AArch64::FNMULDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11314 { 859 /* frecpe */, AArch64::FRECPEv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11315 { 859 /* frecpe */, AArch64::FRECPEv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11316 { 859 /* frecpe */, AArch64::FRECPEv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11317 { 859 /* frecpe */, AArch64::FRECPEv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11318 { 859 /* frecpe */, AArch64::FRECPEv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11319 { 859 /* frecpe */, AArch64::FRECPEv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11320 { 859 /* frecpe */, AArch64::FRECPEv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11321 { 859 /* frecpe */, AArch64::FRECPEv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11322 { 866 /* frecps */, AArch64::FRECPS16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11323 { 866 /* frecps */, AArch64::FRECPS32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11324 { 866 /* frecps */, AArch64::FRECPS64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11325 { 866 /* frecps */, AArch64::FRECPSv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11326 { 866 /* frecps */, AArch64::FRECPSv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11327 { 866 /* frecps */, AArch64::FRECPSv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11328 { 866 /* frecps */, AArch64::FRECPSv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11329 { 866 /* frecps */, AArch64::FRECPSv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11330 { 873 /* frecpx */, AArch64::FRECPXv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11331 { 873 /* frecpx */, AArch64::FRECPXv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11332 { 873 /* frecpx */, AArch64::FRECPXv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11333 { 880 /* frinta */, AArch64::FRINTAHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11334 { 880 /* frinta */, AArch64::FRINTASr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11335 { 880 /* frinta */, AArch64::FRINTADr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11336 { 880 /* frinta */, AArch64::FRINTAv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11337 { 880 /* frinta */, AArch64::FRINTAv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11338 { 880 /* frinta */, AArch64::FRINTAv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11339 { 880 /* frinta */, AArch64::FRINTAv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11340 { 880 /* frinta */, AArch64::FRINTAv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11341 { 887 /* frinti */, AArch64::FRINTIHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11342 { 887 /* frinti */, AArch64::FRINTISr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11343 { 887 /* frinti */, AArch64::FRINTIDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11344 { 887 /* frinti */, AArch64::FRINTIv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11345 { 887 /* frinti */, AArch64::FRINTIv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11346 { 887 /* frinti */, AArch64::FRINTIv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11347 { 887 /* frinti */, AArch64::FRINTIv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11348 { 887 /* frinti */, AArch64::FRINTIv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11349 { 894 /* frintm */, AArch64::FRINTMHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11350 { 894 /* frintm */, AArch64::FRINTMSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11351 { 894 /* frintm */, AArch64::FRINTMDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11352 { 894 /* frintm */, AArch64::FRINTMv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11353 { 894 /* frintm */, AArch64::FRINTMv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11354 { 894 /* frintm */, AArch64::FRINTMv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11355 { 894 /* frintm */, AArch64::FRINTMv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11356 { 894 /* frintm */, AArch64::FRINTMv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11357 { 901 /* frintn */, AArch64::FRINTNHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11358 { 901 /* frintn */, AArch64::FRINTNSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11359 { 901 /* frintn */, AArch64::FRINTNDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11360 { 901 /* frintn */, AArch64::FRINTNv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11361 { 901 /* frintn */, AArch64::FRINTNv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11362 { 901 /* frintn */, AArch64::FRINTNv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11363 { 901 /* frintn */, AArch64::FRINTNv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11364 { 901 /* frintn */, AArch64::FRINTNv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11365 { 908 /* frintp */, AArch64::FRINTPHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11366 { 908 /* frintp */, AArch64::FRINTPSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11367 { 908 /* frintp */, AArch64::FRINTPDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11368 { 908 /* frintp */, AArch64::FRINTPv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11369 { 908 /* frintp */, AArch64::FRINTPv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11370 { 908 /* frintp */, AArch64::FRINTPv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11371 { 908 /* frintp */, AArch64::FRINTPv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11372 { 908 /* frintp */, AArch64::FRINTPv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11373 { 915 /* frintx */, AArch64::FRINTXHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11374 { 915 /* frintx */, AArch64::FRINTXSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11375 { 915 /* frintx */, AArch64::FRINTXDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11376 { 915 /* frintx */, AArch64::FRINTXv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11377 { 915 /* frintx */, AArch64::FRINTXv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11378 { 915 /* frintx */, AArch64::FRINTXv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11379 { 915 /* frintx */, AArch64::FRINTXv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11380 { 915 /* frintx */, AArch64::FRINTXv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11381 { 922 /* frintz */, AArch64::FRINTZHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11382 { 922 /* frintz */, AArch64::FRINTZSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11383 { 922 /* frintz */, AArch64::FRINTZDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11384 { 922 /* frintz */, AArch64::FRINTZv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11385 { 922 /* frintz */, AArch64::FRINTZv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11386 { 922 /* frintz */, AArch64::FRINTZv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11387 { 922 /* frintz */, AArch64::FRINTZv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11388 { 922 /* frintz */, AArch64::FRINTZv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11389 { 929 /* frsqrte */, AArch64::FRSQRTEv1f16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11390 { 929 /* frsqrte */, AArch64::FRSQRTEv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
11391 { 929 /* frsqrte */, AArch64::FRSQRTEv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
11392 { 929 /* frsqrte */, AArch64::FRSQRTEv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11393 { 929 /* frsqrte */, AArch64::FRSQRTEv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11394 { 929 /* frsqrte */, AArch64::FRSQRTEv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11395 { 929 /* frsqrte */, AArch64::FRSQRTEv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11396 { 929 /* frsqrte */, AArch64::FRSQRTEv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11397 { 937 /* frsqrts */, AArch64::FRSQRTS16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11398 { 937 /* frsqrts */, AArch64::FRSQRTS32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11399 { 937 /* frsqrts */, AArch64::FRSQRTS64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11400 { 937 /* frsqrts */, AArch64::FRSQRTSv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11401 { 937 /* frsqrts */, AArch64::FRSQRTSv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11402 { 937 /* frsqrts */, AArch64::FRSQRTSv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11403 { 937 /* frsqrts */, AArch64::FRSQRTSv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11404 { 937 /* frsqrts */, AArch64::FRSQRTSv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11405 { 945 /* fsqrt */, AArch64::FSQRTHr, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
11406 { 945 /* fsqrt */, AArch64::FSQRTSr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32 }, },
11407 { 945 /* fsqrt */, AArch64::FSQRTDr, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64 }, },
11408 { 945 /* fsqrt */, AArch64::FSQRTv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
11409 { 945 /* fsqrt */, AArch64::FSQRTv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
11410 { 945 /* fsqrt */, AArch64::FSQRTv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
11411 { 945 /* fsqrt */, AArch64::FSQRTv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
11412 { 945 /* fsqrt */, AArch64::FSQRTv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
11413 { 951 /* fsub */, AArch64::FSUBHrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
11414 { 951 /* fsub */, AArch64::FSUBSrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
11415 { 951 /* fsub */, AArch64::FSUBDrr, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
11416 { 951 /* fsub */, AArch64::FSUBv2f64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11417 { 951 /* fsub */, AArch64::FSUBv2f32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11418 { 951 /* fsub */, AArch64::FSUBv4f16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
11419 { 951 /* fsub */, AArch64::FSUBv4f32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11420 { 951 /* fsub */, AArch64::FSUBv8f16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
11421 { 956 /* hint */, AArch64::HINT, Convert__Imm0_1271_0, 0, { MCK_Imm0_127 }, },
11422 { 961 /* hlt */, AArch64::HLT, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
11423 { 965 /* hvc */, AArch64::HVC, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
11424 { 969 /* ins */, AArch64::INSvi8gpr, Convert__VectorReg1281_1__Tie0__VectorIndexB1_2__Reg1_3, Feature_HasNEON, { MCK__DOT_b, MCK_VectorReg128, MCK_VectorIndexB, MCK_GPR32 }, },
11425 { 969 /* ins */, AArch64::INSvi64gpr, Convert__VectorReg1281_1__Tie0__VectorIndexD1_2__Reg1_3, Feature_HasNEON, { MCK__DOT_d, MCK_VectorReg128, MCK_VectorIndexD, MCK_GPR64 }, },
11426 { 969 /* ins */, AArch64::INSvi16gpr, Convert__VectorReg1281_1__Tie0__VectorIndexH1_2__Reg1_3, Feature_HasNEON, { MCK__DOT_h, MCK_VectorReg128, MCK_VectorIndexH, MCK_GPR32 }, },
11427 { 969 /* ins */, AArch64::INSvi32gpr, Convert__VectorReg1281_1__Tie0__VectorIndexS1_2__Reg1_3, Feature_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_VectorIndexS, MCK_GPR32 }, },
11428 { 969 /* ins */, AArch64::INSvi8lane, Convert__VectorReg1281_1__Tie0__VectorIndexB1_2__VectorReg1281_3__VectorIndexB1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VectorReg128, MCK_VectorIndexB, MCK_VectorReg128, MCK_VectorIndexB }, },
11429 { 969 /* ins */, AArch64::INSvi64lane, Convert__VectorReg1281_1__Tie0__VectorIndexD1_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VectorReg128, MCK_VectorIndexD, MCK_VectorReg128, MCK_VectorIndexD }, },
11430 { 969 /* ins */, AArch64::INSvi16lane, Convert__VectorReg1281_1__Tie0__VectorIndexH1_2__VectorReg1281_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VectorReg128, MCK_VectorIndexH, MCK_VectorReg128, MCK_VectorIndexH }, },
11431 { 969 /* ins */, AArch64::INSvi32lane, Convert__VectorReg1281_1__Tie0__VectorIndexS1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_VectorIndexS, MCK_VectorReg128, MCK_VectorIndexS }, },
11432 { 973 /* isb */, AArch64::ISB, Convert__imm_95_15, 0, { }, },
11433 { 973 /* isb */, AArch64::ISB, Convert__Barrier1_0, 0, { MCK_Barrier }, },
11434 { 977 /* ld1 */, AArch64::LD1Fourv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11435 { 977 /* ld1 */, AArch64::LD1Fourv1d, Convert__TypedVectorList4_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11436 { 977 /* ld1 */, AArch64::LD1Fourv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11437 { 977 /* ld1 */, AArch64::LD1Fourv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11438 { 977 /* ld1 */, AArch64::LD1Fourv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11439 { 977 /* ld1 */, AArch64::LD1Fourv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11440 { 977 /* ld1 */, AArch64::LD1Fourv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11441 { 977 /* ld1 */, AArch64::LD1Fourv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11442 { 977 /* ld1 */, AArch64::LD1Onev16b, Convert__TypedVectorList1_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11443 { 977 /* ld1 */, AArch64::LD1Onev1d, Convert__TypedVectorList1_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11444 { 977 /* ld1 */, AArch64::LD1Onev2d, Convert__TypedVectorList1_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11445 { 977 /* ld1 */, AArch64::LD1Onev2s, Convert__TypedVectorList1_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11446 { 977 /* ld1 */, AArch64::LD1Onev4h, Convert__TypedVectorList1_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11447 { 977 /* ld1 */, AArch64::LD1Onev4s, Convert__TypedVectorList1_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11448 { 977 /* ld1 */, AArch64::LD1Onev8b, Convert__TypedVectorList1_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11449 { 977 /* ld1 */, AArch64::LD1Onev8h, Convert__TypedVectorList1_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11450 { 977 /* ld1 */, AArch64::LD1Threev16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11451 { 977 /* ld1 */, AArch64::LD1Threev1d, Convert__TypedVectorList3_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11452 { 977 /* ld1 */, AArch64::LD1Threev2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11453 { 977 /* ld1 */, AArch64::LD1Threev2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11454 { 977 /* ld1 */, AArch64::LD1Threev4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11455 { 977 /* ld1 */, AArch64::LD1Threev4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11456 { 977 /* ld1 */, AArch64::LD1Threev8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11457 { 977 /* ld1 */, AArch64::LD1Threev8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11458 { 977 /* ld1 */, AArch64::LD1Twov16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11459 { 977 /* ld1 */, AArch64::LD1Twov1d, Convert__TypedVectorList2_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11460 { 977 /* ld1 */, AArch64::LD1Twov2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11461 { 977 /* ld1 */, AArch64::LD1Twov2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11462 { 977 /* ld1 */, AArch64::LD1Twov4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11463 { 977 /* ld1 */, AArch64::LD1Twov4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11464 { 977 /* ld1 */, AArch64::LD1Twov8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11465 { 977 /* ld1 */, AArch64::LD1Twov8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11466 { 977 /* ld1 */, AArch64::LD1Fourv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11467 { 977 /* ld1 */, AArch64::LD1Onev16b, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11468 { 977 /* ld1 */, AArch64::LD1Threev16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11469 { 977 /* ld1 */, AArch64::LD1Twov16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11470 { 977 /* ld1 */, AArch64::LD1Fourv1d, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11471 { 977 /* ld1 */, AArch64::LD1Onev1d, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11472 { 977 /* ld1 */, AArch64::LD1Threev1d, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11473 { 977 /* ld1 */, AArch64::LD1Twov1d, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11474 { 977 /* ld1 */, AArch64::LD1Fourv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11475 { 977 /* ld1 */, AArch64::LD1Onev2d, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11476 { 977 /* ld1 */, AArch64::LD1Threev2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11477 { 977 /* ld1 */, AArch64::LD1Twov2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11478 { 977 /* ld1 */, AArch64::LD1Fourv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11479 { 977 /* ld1 */, AArch64::LD1Onev2s, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11480 { 977 /* ld1 */, AArch64::LD1Threev2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11481 { 977 /* ld1 */, AArch64::LD1Twov2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11482 { 977 /* ld1 */, AArch64::LD1Fourv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11483 { 977 /* ld1 */, AArch64::LD1Onev4h, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11484 { 977 /* ld1 */, AArch64::LD1Threev4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11485 { 977 /* ld1 */, AArch64::LD1Twov4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11486 { 977 /* ld1 */, AArch64::LD1Fourv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11487 { 977 /* ld1 */, AArch64::LD1Onev4s, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11488 { 977 /* ld1 */, AArch64::LD1Threev4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11489 { 977 /* ld1 */, AArch64::LD1Twov4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11490 { 977 /* ld1 */, AArch64::LD1Fourv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11491 { 977 /* ld1 */, AArch64::LD1Onev8b, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11492 { 977 /* ld1 */, AArch64::LD1Threev8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11493 { 977 /* ld1 */, AArch64::LD1Twov8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11494 { 977 /* ld1 */, AArch64::LD1Fourv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11495 { 977 /* ld1 */, AArch64::LD1Onev8h, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11496 { 977 /* ld1 */, AArch64::LD1Threev8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11497 { 977 /* ld1 */, AArch64::LD1Twov8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11498 { 977 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11499 { 977 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11500 { 977 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11501 { 977 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11502 { 977 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11503 { 977 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11504 { 977 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11505 { 977 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11506 { 977 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11507 { 977 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11508 { 977 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11509 { 977 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11510 { 977 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11511 { 977 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11512 { 977 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11513 { 977 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11514 { 977 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11515 { 977 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11516 { 977 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11517 { 977 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11518 { 977 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11519 { 977 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11520 { 977 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11521 { 977 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11522 { 977 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11523 { 977 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11524 { 977 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11525 { 977 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11526 { 977 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11527 { 977 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11528 { 977 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11529 { 977 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11530 { 977 /* ld1 */, AArch64::LD1i8, Convert__TypedVectorList1_0b1_0__Tie0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11531 { 977 /* ld1 */, AArch64::LD1i64, Convert__TypedVectorList1_0d1_0__Tie0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11532 { 977 /* ld1 */, AArch64::LD1i16, Convert__TypedVectorList1_0h1_0__Tie0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11533 { 977 /* ld1 */, AArch64::LD1i32, Convert__TypedVectorList1_0s1_0__Tie0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11534 { 977 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11535 { 977 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11536 { 977 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11537 { 977 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11538 { 977 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11539 { 977 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11540 { 977 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11541 { 977 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11542 { 977 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11543 { 977 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11544 { 977 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11545 { 977 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11546 { 977 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11547 { 977 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11548 { 977 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11549 { 977 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11550 { 977 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11551 { 977 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11552 { 977 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11553 { 977 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11554 { 977 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11555 { 977 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11556 { 977 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11557 { 977 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11558 { 977 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11559 { 977 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11560 { 977 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11561 { 977 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11562 { 977 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11563 { 977 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11564 { 977 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11565 { 977 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11566 { 977 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11567 { 977 /* ld1 */, AArch64::LD1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11568 { 977 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11569 { 977 /* ld1 */, AArch64::LD1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11570 { 977 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11571 { 977 /* ld1 */, AArch64::LD1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11572 { 977 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11573 { 977 /* ld1 */, AArch64::LD1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11574 { 977 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11575 { 977 /* ld1 */, AArch64::LD1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11576 { 977 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11577 { 977 /* ld1 */, AArch64::LD1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11578 { 977 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11579 { 977 /* ld1 */, AArch64::LD1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11580 { 977 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11581 { 977 /* ld1 */, AArch64::LD1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11582 { 977 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11583 { 977 /* ld1 */, AArch64::LD1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11584 { 977 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11585 { 977 /* ld1 */, AArch64::LD1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11586 { 977 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11587 { 977 /* ld1 */, AArch64::LD1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11588 { 977 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11589 { 977 /* ld1 */, AArch64::LD1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11590 { 977 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11591 { 977 /* ld1 */, AArch64::LD1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11592 { 977 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11593 { 977 /* ld1 */, AArch64::LD1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11594 { 977 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11595 { 977 /* ld1 */, AArch64::LD1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11596 { 977 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11597 { 977 /* ld1 */, AArch64::LD1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11598 { 977 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11599 { 977 /* ld1 */, AArch64::LD1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11600 { 977 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11601 { 977 /* ld1 */, AArch64::LD1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11602 { 977 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11603 { 977 /* ld1 */, AArch64::LD1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11604 { 977 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11605 { 977 /* ld1 */, AArch64::LD1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11606 { 977 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11607 { 977 /* ld1 */, AArch64::LD1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11608 { 977 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11609 { 977 /* ld1 */, AArch64::LD1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11610 { 977 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11611 { 977 /* ld1 */, AArch64::LD1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11612 { 977 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11613 { 977 /* ld1 */, AArch64::LD1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11614 { 977 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11615 { 977 /* ld1 */, AArch64::LD1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11616 { 977 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11617 { 977 /* ld1 */, AArch64::LD1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11618 { 977 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11619 { 977 /* ld1 */, AArch64::LD1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11620 { 977 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11621 { 977 /* ld1 */, AArch64::LD1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11622 { 977 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11623 { 977 /* ld1 */, AArch64::LD1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11624 { 977 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11625 { 977 /* ld1 */, AArch64::LD1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11626 { 977 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11627 { 977 /* ld1 */, AArch64::LD1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11628 { 977 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11629 { 977 /* ld1 */, AArch64::LD1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11630 { 977 /* ld1 */, AArch64::LD1i8, Convert__VecListOne1281_1__Tie0__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11631 { 977 /* ld1 */, AArch64::LD1i64, Convert__VecListOne1281_1__Tie0__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11632 { 977 /* ld1 */, AArch64::LD1i16, Convert__VecListOne1281_1__Tie0__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11633 { 977 /* ld1 */, AArch64::LD1i32, Convert__VecListOne1281_1__Tie0__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11634 { 977 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_3__TypedVectorList1_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
11635 { 977 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_3__TypedVectorList1_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11636 { 977 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_3__TypedVectorList1_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11637 { 977 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_3__TypedVectorList1_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11638 { 977 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_3__TypedVectorList1_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11639 { 977 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_3__TypedVectorList1_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11640 { 977 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_3__TypedVectorList1_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11641 { 977 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_3__TypedVectorList1_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11642 { 977 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
11643 { 977 /* ld1 */, AArch64::LD1i8_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11644 { 977 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11645 { 977 /* ld1 */, AArch64::LD1i64_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11646 { 977 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11647 { 977 /* ld1 */, AArch64::LD1i16_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11648 { 977 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11649 { 977 /* ld1 */, AArch64::LD1i32_POST, Convert__Reg1_4__VecListOne1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11650 { 981 /* ld1r */, AArch64::LD1Rv16b, Convert__TypedVectorList1_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11651 { 981 /* ld1r */, AArch64::LD1Rv1d, Convert__TypedVectorList1_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11652 { 981 /* ld1r */, AArch64::LD1Rv2d, Convert__TypedVectorList1_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11653 { 981 /* ld1r */, AArch64::LD1Rv2s, Convert__TypedVectorList1_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11654 { 981 /* ld1r */, AArch64::LD1Rv4h, Convert__TypedVectorList1_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11655 { 981 /* ld1r */, AArch64::LD1Rv4s, Convert__TypedVectorList1_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11656 { 981 /* ld1r */, AArch64::LD1Rv8b, Convert__TypedVectorList1_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11657 { 981 /* ld1r */, AArch64::LD1Rv8h, Convert__TypedVectorList1_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11658 { 981 /* ld1r */, AArch64::LD1Rv16b, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11659 { 981 /* ld1r */, AArch64::LD1Rv1d, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11660 { 981 /* ld1r */, AArch64::LD1Rv2d, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11661 { 981 /* ld1r */, AArch64::LD1Rv2s, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11662 { 981 /* ld1r */, AArch64::LD1Rv4h, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11663 { 981 /* ld1r */, AArch64::LD1Rv4s, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11664 { 981 /* ld1r */, AArch64::LD1Rv8b, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11665 { 981 /* ld1r */, AArch64::LD1Rv8h, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11666 { 981 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
11667 { 981 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11668 { 981 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11669 { 981 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11670 { 981 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11671 { 981 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11672 { 981 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11673 { 981 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11674 { 981 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11675 { 981 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11676 { 981 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11677 { 981 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11678 { 981 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
11679 { 981 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11680 { 981 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11681 { 981 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11682 { 981 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
11683 { 981 /* ld1r */, AArch64::LD1Rv16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11684 { 981 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11685 { 981 /* ld1r */, AArch64::LD1Rv1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11686 { 981 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11687 { 981 /* ld1r */, AArch64::LD1Rv2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11688 { 981 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11689 { 981 /* ld1r */, AArch64::LD1Rv2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11690 { 981 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11691 { 981 /* ld1r */, AArch64::LD1Rv4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11692 { 981 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11693 { 981 /* ld1r */, AArch64::LD1Rv4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11694 { 981 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
11695 { 981 /* ld1r */, AArch64::LD1Rv8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11696 { 981 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11697 { 981 /* ld1r */, AArch64::LD1Rv8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11698 { 986 /* ld2 */, AArch64::LD2Twov16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11699 { 986 /* ld2 */, AArch64::LD2Twov2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11700 { 986 /* ld2 */, AArch64::LD2Twov2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11701 { 986 /* ld2 */, AArch64::LD2Twov4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11702 { 986 /* ld2 */, AArch64::LD2Twov4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11703 { 986 /* ld2 */, AArch64::LD2Twov8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11704 { 986 /* ld2 */, AArch64::LD2Twov8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11705 { 986 /* ld2 */, AArch64::LD2Twov16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11706 { 986 /* ld2 */, AArch64::LD2Twov2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11707 { 986 /* ld2 */, AArch64::LD2Twov2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11708 { 986 /* ld2 */, AArch64::LD2Twov4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11709 { 986 /* ld2 */, AArch64::LD2Twov4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11710 { 986 /* ld2 */, AArch64::LD2Twov8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11711 { 986 /* ld2 */, AArch64::LD2Twov8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11712 { 986 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11713 { 986 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11714 { 986 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11715 { 986 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11716 { 986 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11717 { 986 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11718 { 986 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11719 { 986 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11720 { 986 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11721 { 986 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11722 { 986 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11723 { 986 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11724 { 986 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11725 { 986 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11726 { 986 /* ld2 */, AArch64::LD2i8, Convert__TypedVectorList2_0b1_0__Tie0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11727 { 986 /* ld2 */, AArch64::LD2i64, Convert__TypedVectorList2_0d1_0__Tie0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11728 { 986 /* ld2 */, AArch64::LD2i16, Convert__TypedVectorList2_0h1_0__Tie0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11729 { 986 /* ld2 */, AArch64::LD2i32, Convert__TypedVectorList2_0s1_0__Tie0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11730 { 986 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11731 { 986 /* ld2 */, AArch64::LD2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11732 { 986 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11733 { 986 /* ld2 */, AArch64::LD2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11734 { 986 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11735 { 986 /* ld2 */, AArch64::LD2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11736 { 986 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11737 { 986 /* ld2 */, AArch64::LD2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11738 { 986 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11739 { 986 /* ld2 */, AArch64::LD2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11740 { 986 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11741 { 986 /* ld2 */, AArch64::LD2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11742 { 986 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11743 { 986 /* ld2 */, AArch64::LD2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11744 { 986 /* ld2 */, AArch64::LD2i8, Convert__VecListTwo1281_1__Tie0__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11745 { 986 /* ld2 */, AArch64::LD2i64, Convert__VecListTwo1281_1__Tie0__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11746 { 986 /* ld2 */, AArch64::LD2i16, Convert__VecListTwo1281_1__Tie0__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11747 { 986 /* ld2 */, AArch64::LD2i32, Convert__VecListTwo1281_1__Tie0__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11748 { 986 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_3__TypedVectorList2_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11749 { 986 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_3__TypedVectorList2_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11750 { 986 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_3__TypedVectorList2_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11751 { 986 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_3__TypedVectorList2_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11752 { 986 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_3__TypedVectorList2_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11753 { 986 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_3__TypedVectorList2_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11754 { 986 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_3__TypedVectorList2_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11755 { 986 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_3__TypedVectorList2_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11756 { 986 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11757 { 986 /* ld2 */, AArch64::LD2i8_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11758 { 986 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11759 { 986 /* ld2 */, AArch64::LD2i64_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11760 { 986 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11761 { 986 /* ld2 */, AArch64::LD2i16_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11762 { 986 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11763 { 986 /* ld2 */, AArch64::LD2i32_POST, Convert__Reg1_4__VecListTwo1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11764 { 990 /* ld2r */, AArch64::LD2Rv16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11765 { 990 /* ld2r */, AArch64::LD2Rv1d, Convert__TypedVectorList2_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11766 { 990 /* ld2r */, AArch64::LD2Rv2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11767 { 990 /* ld2r */, AArch64::LD2Rv2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11768 { 990 /* ld2r */, AArch64::LD2Rv4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11769 { 990 /* ld2r */, AArch64::LD2Rv4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11770 { 990 /* ld2r */, AArch64::LD2Rv8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11771 { 990 /* ld2r */, AArch64::LD2Rv8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11772 { 990 /* ld2r */, AArch64::LD2Rv16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11773 { 990 /* ld2r */, AArch64::LD2Rv1d, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11774 { 990 /* ld2r */, AArch64::LD2Rv2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11775 { 990 /* ld2r */, AArch64::LD2Rv2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11776 { 990 /* ld2r */, AArch64::LD2Rv4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11777 { 990 /* ld2r */, AArch64::LD2Rv4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11778 { 990 /* ld2r */, AArch64::LD2Rv8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11779 { 990 /* ld2r */, AArch64::LD2Rv8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11780 { 990 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11781 { 990 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11782 { 990 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11783 { 990 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11784 { 990 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11785 { 990 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11786 { 990 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11787 { 990 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11788 { 990 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11789 { 990 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11790 { 990 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11791 { 990 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11792 { 990 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11793 { 990 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11794 { 990 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11795 { 990 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11796 { 990 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11797 { 990 /* ld2r */, AArch64::LD2Rv16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11798 { 990 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11799 { 990 /* ld2r */, AArch64::LD2Rv1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11800 { 990 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11801 { 990 /* ld2r */, AArch64::LD2Rv2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11802 { 990 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11803 { 990 /* ld2r */, AArch64::LD2Rv2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11804 { 990 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11805 { 990 /* ld2r */, AArch64::LD2Rv4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11806 { 990 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11807 { 990 /* ld2r */, AArch64::LD2Rv4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11808 { 990 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
11809 { 990 /* ld2r */, AArch64::LD2Rv8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11810 { 990 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11811 { 990 /* ld2r */, AArch64::LD2Rv8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11812 { 995 /* ld3 */, AArch64::LD3Threev16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11813 { 995 /* ld3 */, AArch64::LD3Threev2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11814 { 995 /* ld3 */, AArch64::LD3Threev2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11815 { 995 /* ld3 */, AArch64::LD3Threev4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11816 { 995 /* ld3 */, AArch64::LD3Threev4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11817 { 995 /* ld3 */, AArch64::LD3Threev8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11818 { 995 /* ld3 */, AArch64::LD3Threev8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11819 { 995 /* ld3 */, AArch64::LD3Threev16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11820 { 995 /* ld3 */, AArch64::LD3Threev2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11821 { 995 /* ld3 */, AArch64::LD3Threev2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11822 { 995 /* ld3 */, AArch64::LD3Threev4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11823 { 995 /* ld3 */, AArch64::LD3Threev4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11824 { 995 /* ld3 */, AArch64::LD3Threev8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11825 { 995 /* ld3 */, AArch64::LD3Threev8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11826 { 995 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11827 { 995 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11828 { 995 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11829 { 995 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11830 { 995 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11831 { 995 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11832 { 995 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11833 { 995 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11834 { 995 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11835 { 995 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11836 { 995 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11837 { 995 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11838 { 995 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11839 { 995 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11840 { 995 /* ld3 */, AArch64::LD3i8, Convert__TypedVectorList3_0b1_0__Tie0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11841 { 995 /* ld3 */, AArch64::LD3i64, Convert__TypedVectorList3_0d1_0__Tie0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11842 { 995 /* ld3 */, AArch64::LD3i16, Convert__TypedVectorList3_0h1_0__Tie0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11843 { 995 /* ld3 */, AArch64::LD3i32, Convert__TypedVectorList3_0s1_0__Tie0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11844 { 995 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11845 { 995 /* ld3 */, AArch64::LD3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11846 { 995 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11847 { 995 /* ld3 */, AArch64::LD3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11848 { 995 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11849 { 995 /* ld3 */, AArch64::LD3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11850 { 995 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11851 { 995 /* ld3 */, AArch64::LD3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11852 { 995 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11853 { 995 /* ld3 */, AArch64::LD3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11854 { 995 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11855 { 995 /* ld3 */, AArch64::LD3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11856 { 995 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
11857 { 995 /* ld3 */, AArch64::LD3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11858 { 995 /* ld3 */, AArch64::LD3i8, Convert__VecListThree1281_1__Tie0__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11859 { 995 /* ld3 */, AArch64::LD3i64, Convert__VecListThree1281_1__Tie0__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11860 { 995 /* ld3 */, AArch64::LD3i16, Convert__VecListThree1281_1__Tie0__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11861 { 995 /* ld3 */, AArch64::LD3i32, Convert__VecListThree1281_1__Tie0__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11862 { 995 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_3__TypedVectorList3_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
11863 { 995 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_3__TypedVectorList3_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11864 { 995 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_3__TypedVectorList3_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11865 { 995 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_3__TypedVectorList3_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11866 { 995 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_3__TypedVectorList3_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
11867 { 995 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_3__TypedVectorList3_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11868 { 995 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_3__TypedVectorList3_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
11869 { 995 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_3__TypedVectorList3_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11870 { 995 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
11871 { 995 /* ld3 */, AArch64::LD3i8_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11872 { 995 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11873 { 995 /* ld3 */, AArch64::LD3i64_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11874 { 995 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
11875 { 995 /* ld3 */, AArch64::LD3i16_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11876 { 995 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
11877 { 995 /* ld3 */, AArch64::LD3i32_POST, Convert__Reg1_4__VecListThree1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11878 { 999 /* ld3r */, AArch64::LD3Rv16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11879 { 999 /* ld3r */, AArch64::LD3Rv1d, Convert__TypedVectorList3_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11880 { 999 /* ld3r */, AArch64::LD3Rv2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11881 { 999 /* ld3r */, AArch64::LD3Rv2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11882 { 999 /* ld3r */, AArch64::LD3Rv4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11883 { 999 /* ld3r */, AArch64::LD3Rv4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11884 { 999 /* ld3r */, AArch64::LD3Rv8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11885 { 999 /* ld3r */, AArch64::LD3Rv8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11886 { 999 /* ld3r */, AArch64::LD3Rv16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11887 { 999 /* ld3r */, AArch64::LD3Rv1d, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11888 { 999 /* ld3r */, AArch64::LD3Rv2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11889 { 999 /* ld3r */, AArch64::LD3Rv2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11890 { 999 /* ld3r */, AArch64::LD3Rv4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11891 { 999 /* ld3r */, AArch64::LD3Rv4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11892 { 999 /* ld3r */, AArch64::LD3Rv8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11893 { 999 /* ld3r */, AArch64::LD3Rv8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11894 { 999 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
11895 { 999 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11896 { 999 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11897 { 999 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11898 { 999 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11899 { 999 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11900 { 999 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
11901 { 999 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11902 { 999 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
11903 { 999 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11904 { 999 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
11905 { 999 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11906 { 999 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
11907 { 999 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11908 { 999 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
11909 { 999 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11910 { 999 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
11911 { 999 /* ld3r */, AArch64::LD3Rv16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11912 { 999 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11913 { 999 /* ld3r */, AArch64::LD3Rv1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11914 { 999 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
11915 { 999 /* ld3r */, AArch64::LD3Rv2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11916 { 999 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
11917 { 999 /* ld3r */, AArch64::LD3Rv2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11918 { 999 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
11919 { 999 /* ld3r */, AArch64::LD3Rv4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11920 { 999 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
11921 { 999 /* ld3r */, AArch64::LD3Rv4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11922 { 999 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
11923 { 999 /* ld3r */, AArch64::LD3Rv8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11924 { 999 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
11925 { 999 /* ld3r */, AArch64::LD3Rv8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11926 { 1004 /* ld4 */, AArch64::LD4Fourv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11927 { 1004 /* ld4 */, AArch64::LD4Fourv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11928 { 1004 /* ld4 */, AArch64::LD4Fourv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11929 { 1004 /* ld4 */, AArch64::LD4Fourv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11930 { 1004 /* ld4 */, AArch64::LD4Fourv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11931 { 1004 /* ld4 */, AArch64::LD4Fourv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11932 { 1004 /* ld4 */, AArch64::LD4Fourv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11933 { 1004 /* ld4 */, AArch64::LD4Fourv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11934 { 1004 /* ld4 */, AArch64::LD4Fourv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11935 { 1004 /* ld4 */, AArch64::LD4Fourv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11936 { 1004 /* ld4 */, AArch64::LD4Fourv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11937 { 1004 /* ld4 */, AArch64::LD4Fourv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11938 { 1004 /* ld4 */, AArch64::LD4Fourv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11939 { 1004 /* ld4 */, AArch64::LD4Fourv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11940 { 1004 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11941 { 1004 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11942 { 1004 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11943 { 1004 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11944 { 1004 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11945 { 1004 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11946 { 1004 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11947 { 1004 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11948 { 1004 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11949 { 1004 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11950 { 1004 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11951 { 1004 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11952 { 1004 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11953 { 1004 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11954 { 1004 /* ld4 */, AArch64::LD4i8, Convert__TypedVectorList4_0b1_0__Tie0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11955 { 1004 /* ld4 */, AArch64::LD4i64, Convert__TypedVectorList4_0d1_0__Tie0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11956 { 1004 /* ld4 */, AArch64::LD4i16, Convert__TypedVectorList4_0h1_0__Tie0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11957 { 1004 /* ld4 */, AArch64::LD4i32, Convert__TypedVectorList4_0s1_0__Tie0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11958 { 1004 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11959 { 1004 /* ld4 */, AArch64::LD4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11960 { 1004 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11961 { 1004 /* ld4 */, AArch64::LD4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11962 { 1004 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11963 { 1004 /* ld4 */, AArch64::LD4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11964 { 1004 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11965 { 1004 /* ld4 */, AArch64::LD4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11966 { 1004 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11967 { 1004 /* ld4 */, AArch64::LD4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11968 { 1004 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11969 { 1004 /* ld4 */, AArch64::LD4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11970 { 1004 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
11971 { 1004 /* ld4 */, AArch64::LD4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11972 { 1004 /* ld4 */, AArch64::LD4i8, Convert__VecListFour1281_1__Tie0__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11973 { 1004 /* ld4 */, AArch64::LD4i64, Convert__VecListFour1281_1__Tie0__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11974 { 1004 /* ld4 */, AArch64::LD4i16, Convert__VecListFour1281_1__Tie0__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11975 { 1004 /* ld4 */, AArch64::LD4i32, Convert__VecListFour1281_1__Tie0__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11976 { 1004 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_3__TypedVectorList4_0b1_0__Tie1__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11977 { 1004 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_3__TypedVectorList4_0b1_0__Tie1__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11978 { 1004 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_3__TypedVectorList4_0d1_0__Tie1__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11979 { 1004 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_3__TypedVectorList4_0d1_0__Tie1__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11980 { 1004 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_3__TypedVectorList4_0h1_0__Tie1__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11981 { 1004 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_3__TypedVectorList4_0h1_0__Tie1__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11982 { 1004 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_3__TypedVectorList4_0s1_0__Tie1__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11983 { 1004 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_3__TypedVectorList4_0s1_0__Tie1__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11984 { 1004 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
11985 { 1004 /* ld4 */, AArch64::LD4i8_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11986 { 1004 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
11987 { 1004 /* ld4 */, AArch64::LD4i64_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11988 { 1004 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
11989 { 1004 /* ld4 */, AArch64::LD4i16_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11990 { 1004 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
11991 { 1004 /* ld4 */, AArch64::LD4i32_POST, Convert__Reg1_4__VecListFour1281_1__Tie1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
11992 { 1008 /* ld4r */, AArch64::LD4Rv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11993 { 1008 /* ld4r */, AArch64::LD4Rv1d, Convert__TypedVectorList4_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11994 { 1008 /* ld4r */, AArch64::LD4Rv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11995 { 1008 /* ld4r */, AArch64::LD4Rv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11996 { 1008 /* ld4r */, AArch64::LD4Rv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11997 { 1008 /* ld4r */, AArch64::LD4Rv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11998 { 1008 /* ld4r */, AArch64::LD4Rv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
11999 { 1008 /* ld4r */, AArch64::LD4Rv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12000 { 1008 /* ld4r */, AArch64::LD4Rv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12001 { 1008 /* ld4r */, AArch64::LD4Rv1d, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12002 { 1008 /* ld4r */, AArch64::LD4Rv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12003 { 1008 /* ld4r */, AArch64::LD4Rv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12004 { 1008 /* ld4r */, AArch64::LD4Rv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12005 { 1008 /* ld4r */, AArch64::LD4Rv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12006 { 1008 /* ld4r */, AArch64::LD4Rv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12007 { 1008 /* ld4r */, AArch64::LD4Rv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12008 { 1008 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
12009 { 1008 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12010 { 1008 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
12011 { 1008 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12012 { 1008 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
12013 { 1008 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12014 { 1008 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
12015 { 1008 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12016 { 1008 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
12017 { 1008 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12018 { 1008 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
12019 { 1008 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12020 { 1008 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
12021 { 1008 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12022 { 1008 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
12023 { 1008 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12024 { 1008 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
12025 { 1008 /* ld4r */, AArch64::LD4Rv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12026 { 1008 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
12027 { 1008 /* ld4r */, AArch64::LD4Rv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12028 { 1008 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
12029 { 1008 /* ld4r */, AArch64::LD4Rv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12030 { 1008 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
12031 { 1008 /* ld4r */, AArch64::LD4Rv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12032 { 1008 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
12033 { 1008 /* ld4r */, AArch64::LD4Rv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12034 { 1008 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
12035 { 1008 /* ld4r */, AArch64::LD4Rv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12036 { 1008 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
12037 { 1008 /* ld4r */, AArch64::LD4Rv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12038 { 1008 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
12039 { 1008 /* ld4r */, AArch64::LD4Rv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
12040 { 1013 /* ldadd */, AArch64::LDADDs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12041 { 1013 /* ldadd */, AArch64::LDADDd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12042 { 1019 /* ldadda */, AArch64::LDADDAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12043 { 1019 /* ldadda */, AArch64::LDADDAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12044 { 1026 /* ldaddab */, AArch64::LDADDAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12045 { 1034 /* ldaddah */, AArch64::LDADDAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12046 { 1042 /* ldaddal */, AArch64::LDADDALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12047 { 1042 /* ldaddal */, AArch64::LDADDALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12048 { 1050 /* ldaddalb */, AArch64::LDADDALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12049 { 1059 /* ldaddalh */, AArch64::LDADDALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12050 { 1068 /* ldaddb */, AArch64::LDADDb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12051 { 1075 /* ldaddh */, AArch64::LDADDh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12052 { 1082 /* ldaddl */, AArch64::LDADDLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12053 { 1082 /* ldaddl */, AArch64::LDADDLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12054 { 1089 /* ldaddlb */, AArch64::LDADDLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12055 { 1097 /* ldaddlh */, AArch64::LDADDLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12056 { 1105 /* ldar */, AArch64::LDARW, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12057 { 1105 /* ldar */, AArch64::LDARX, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12058 { 1110 /* ldarb */, AArch64::LDARB, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12059 { 1116 /* ldarh */, AArch64::LDARH, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12060 { 1122 /* ldaxp */, AArch64::LDAXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12061 { 1122 /* ldaxp */, AArch64::LDAXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12062 { 1128 /* ldaxr */, AArch64::LDAXRW, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12063 { 1128 /* ldaxr */, AArch64::LDAXRX, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12064 { 1134 /* ldaxrb */, AArch64::LDAXRB, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12065 { 1141 /* ldaxrh */, AArch64::LDAXRH, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12066 { 1148 /* ldclr */, AArch64::LDCLRs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12067 { 1148 /* ldclr */, AArch64::LDCLRd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12068 { 1154 /* ldclra */, AArch64::LDCLRAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12069 { 1154 /* ldclra */, AArch64::LDCLRAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12070 { 1161 /* ldclrab */, AArch64::LDCLRAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12071 { 1169 /* ldclrah */, AArch64::LDCLRAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12072 { 1177 /* ldclral */, AArch64::LDCLRALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12073 { 1177 /* ldclral */, AArch64::LDCLRALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12074 { 1185 /* ldclralb */, AArch64::LDCLRALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12075 { 1194 /* ldclralh */, AArch64::LDCLRALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12076 { 1203 /* ldclrb */, AArch64::LDCLRb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12077 { 1210 /* ldclrh */, AArch64::LDCLRh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12078 { 1217 /* ldclrl */, AArch64::LDCLRLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12079 { 1217 /* ldclrl */, AArch64::LDCLRLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12080 { 1224 /* ldclrlb */, AArch64::LDCLRLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12081 { 1232 /* ldclrlh */, AArch64::LDCLRLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12082 { 1240 /* ldeor */, AArch64::LDEORs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12083 { 1240 /* ldeor */, AArch64::LDEORd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12084 { 1246 /* ldeora */, AArch64::LDEORAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12085 { 1246 /* ldeora */, AArch64::LDEORAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12086 { 1253 /* ldeorab */, AArch64::LDEORAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12087 { 1261 /* ldeorah */, AArch64::LDEORAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12088 { 1269 /* ldeoral */, AArch64::LDEORALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12089 { 1269 /* ldeoral */, AArch64::LDEORALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12090 { 1277 /* ldeoralb */, AArch64::LDEORALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12091 { 1286 /* ldeoralh */, AArch64::LDEORALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12092 { 1295 /* ldeorb */, AArch64::LDEORb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12093 { 1302 /* ldeorh */, AArch64::LDEORh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12094 { 1309 /* ldeorl */, AArch64::LDEORLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12095 { 1309 /* ldeorl */, AArch64::LDEORLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12096 { 1316 /* ldeorlb */, AArch64::LDEORLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12097 { 1324 /* ldeorlh */, AArch64::LDEORLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12098 { 1332 /* ldlar */, AArch64::LDLARW, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12099 { 1332 /* ldlar */, AArch64::LDLARX, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12100 { 1338 /* ldlarb */, AArch64::LDLARB, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12101 { 1345 /* ldlarh */, AArch64::LDLARH, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12102 { 1352 /* ldnp */, AArch64::LDNPQi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12103 { 1352 /* ldnp */, AArch64::LDNPSi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12104 { 1352 /* ldnp */, AArch64::LDNPDi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12105 { 1352 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12106 { 1352 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12107 { 1352 /* ldnp */, AArch64::LDNPQi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
12108 { 1352 /* ldnp */, AArch64::LDNPSi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
12109 { 1352 /* ldnp */, AArch64::LDNPDi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
12110 { 1352 /* ldnp */, AArch64::LDNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
12111 { 1352 /* ldnp */, AArch64::LDNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
12112 { 1357 /* ldp */, AArch64::LDPQi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12113 { 1357 /* ldp */, AArch64::LDPSi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12114 { 1357 /* ldp */, AArch64::LDPDi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12115 { 1357 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12116 { 1357 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12117 { 1357 /* ldp */, AArch64::LDPQpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_5, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
12118 { 1357 /* ldp */, AArch64::LDPQi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
12119 { 1357 /* ldp */, AArch64::LDPSpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
12120 { 1357 /* ldp */, AArch64::LDPSi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
12121 { 1357 /* ldp */, AArch64::LDPDpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
12122 { 1357 /* ldp */, AArch64::LDPDi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
12123 { 1357 /* ldp */, AArch64::LDPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
12124 { 1357 /* ldp */, AArch64::LDPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
12125 { 1357 /* ldp */, AArch64::LDPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
12126 { 1357 /* ldp */, AArch64::LDPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
12127 { 1357 /* ldp */, AArch64::LDPQpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
12128 { 1357 /* ldp */, AArch64::LDPSpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
12129 { 1357 /* ldp */, AArch64::LDPDpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
12130 { 1357 /* ldp */, AArch64::LDPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
12131 { 1357 /* ldp */, AArch64::LDPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
12132 { 1361 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12133 { 1361 /* ldpsw */, AArch64::LDPSWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
12134 { 1361 /* ldpsw */, AArch64::LDPSWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
12135 { 1361 /* ldpsw */, AArch64::LDPSWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
12136 { 1367 /* ldr */, AArch64::LDRQl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_FPR128, MCK_PCRelLabel19 }, },
12137 { 1367 /* ldr */, AArch64::LDRSl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_FPR32, MCK_PCRelLabel19 }, },
12138 { 1367 /* ldr */, AArch64::LDRDl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_FPR64, MCK_PCRelLabel19 }, },
12139 { 1367 /* ldr */, AArch64::LDRWl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR32, MCK_PCRelLabel19 }, },
12140 { 1367 /* ldr */, AArch64::LDRXl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR64, MCK_PCRelLabel19 }, },
12141 { 1367 /* ldr */, AArch64::LDRQui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12142 { 1367 /* ldr */, AArch64::LDRHui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12143 { 1367 /* ldr */, AArch64::LDRSui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12144 { 1367 /* ldr */, AArch64::LDRDui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12145 { 1367 /* ldr */, AArch64::LDRBui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12146 { 1367 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12147 { 1367 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12148 { 1367 /* ldr */, AArch64::LDRQpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12149 { 1367 /* ldr */, AArch64::LDRQroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12150 { 1367 /* ldr */, AArch64::LDURQi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB1281_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
12151 { 1367 /* ldr */, AArch64::LDRQui, Convert__Reg1_0__Reg1_2__UImm12Offset161_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
12152 { 1367 /* ldr */, AArch64::LDRHpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12153 { 1367 /* ldr */, AArch64::LDRHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12154 { 1367 /* ldr */, AArch64::LDURHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
12155 { 1367 /* ldr */, AArch64::LDRHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
12156 { 1367 /* ldr */, AArch64::LDRSpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12157 { 1367 /* ldr */, AArch64::LDRSroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12158 { 1367 /* ldr */, AArch64::LDURSi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
12159 { 1367 /* ldr */, AArch64::LDRSui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
12160 { 1367 /* ldr */, AArch64::LDRDpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12161 { 1367 /* ldr */, AArch64::LDRDroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12162 { 1367 /* ldr */, AArch64::LDURDi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
12163 { 1367 /* ldr */, AArch64::LDRDui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
12164 { 1367 /* ldr */, AArch64::LDRBpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12165 { 1367 /* ldr */, AArch64::LDRBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12166 { 1367 /* ldr */, AArch64::LDURBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
12167 { 1367 /* ldr */, AArch64::LDRBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
12168 { 1367 /* ldr */, AArch64::LDRWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12169 { 1367 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12170 { 1367 /* ldr */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
12171 { 1367 /* ldr */, AArch64::LDRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
12172 { 1367 /* ldr */, AArch64::LDRXpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12173 { 1367 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12174 { 1367 /* ldr */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
12175 { 1367 /* ldr */, AArch64::LDRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
12176 { 1367 /* ldr */, AArch64::LDRQroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend1282_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
12177 { 1367 /* ldr */, AArch64::LDRQroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend1282_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
12178 { 1367 /* ldr */, AArch64::LDRQpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12179 { 1367 /* ldr */, AArch64::LDRHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
12180 { 1367 /* ldr */, AArch64::LDRHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
12181 { 1367 /* ldr */, AArch64::LDRHpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12182 { 1367 /* ldr */, AArch64::LDRSroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
12183 { 1367 /* ldr */, AArch64::LDRSroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
12184 { 1367 /* ldr */, AArch64::LDRSpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12185 { 1367 /* ldr */, AArch64::LDRDroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
12186 { 1367 /* ldr */, AArch64::LDRDroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
12187 { 1367 /* ldr */, AArch64::LDRDpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12188 { 1367 /* ldr */, AArch64::LDRBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
12189 { 1367 /* ldr */, AArch64::LDRBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
12190 { 1367 /* ldr */, AArch64::LDRBpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12191 { 1367 /* ldr */, AArch64::LDRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
12192 { 1367 /* ldr */, AArch64::LDRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
12193 { 1367 /* ldr */, AArch64::LDRWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12194 { 1367 /* ldr */, AArch64::LDRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
12195 { 1367 /* ldr */, AArch64::LDRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
12196 { 1367 /* ldr */, AArch64::LDRXpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12197 { 1371 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12198 { 1371 /* ldrb */, AArch64::LDRBBpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12199 { 1371 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12200 { 1371 /* ldrb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
12201 { 1371 /* ldrb */, AArch64::LDRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
12202 { 1371 /* ldrb */, AArch64::LDRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
12203 { 1371 /* ldrb */, AArch64::LDRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
12204 { 1371 /* ldrb */, AArch64::LDRBBpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12205 { 1376 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12206 { 1376 /* ldrh */, AArch64::LDRHHpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12207 { 1376 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12208 { 1376 /* ldrh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
12209 { 1376 /* ldrh */, AArch64::LDRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
12210 { 1376 /* ldrh */, AArch64::LDRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
12211 { 1376 /* ldrh */, AArch64::LDRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
12212 { 1376 /* ldrh */, AArch64::LDRHHpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12213 { 1381 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12214 { 1381 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12215 { 1381 /* ldrsb */, AArch64::LDRSBWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12216 { 1381 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12217 { 1381 /* ldrsb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
12218 { 1381 /* ldrsb */, AArch64::LDRSBWui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
12219 { 1381 /* ldrsb */, AArch64::LDRSBXpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12220 { 1381 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12221 { 1381 /* ldrsb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
12222 { 1381 /* ldrsb */, AArch64::LDRSBXui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
12223 { 1381 /* ldrsb */, AArch64::LDRSBWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
12224 { 1381 /* ldrsb */, AArch64::LDRSBWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
12225 { 1381 /* ldrsb */, AArch64::LDRSBWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12226 { 1381 /* ldrsb */, AArch64::LDRSBXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
12227 { 1381 /* ldrsb */, AArch64::LDRSBXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
12228 { 1381 /* ldrsb */, AArch64::LDRSBXpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12229 { 1387 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12230 { 1387 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12231 { 1387 /* ldrsh */, AArch64::LDRSHWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12232 { 1387 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12233 { 1387 /* ldrsh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
12234 { 1387 /* ldrsh */, AArch64::LDRSHWui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
12235 { 1387 /* ldrsh */, AArch64::LDRSHXpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12236 { 1387 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12237 { 1387 /* ldrsh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
12238 { 1387 /* ldrsh */, AArch64::LDRSHXui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
12239 { 1387 /* ldrsh */, AArch64::LDRSHWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
12240 { 1387 /* ldrsh */, AArch64::LDRSHWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
12241 { 1387 /* ldrsh */, AArch64::LDRSHWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12242 { 1387 /* ldrsh */, AArch64::LDRSHXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
12243 { 1387 /* ldrsh */, AArch64::LDRSHXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
12244 { 1387 /* ldrsh */, AArch64::LDRSHXpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12245 { 1393 /* ldrsw */, AArch64::LDRSWl, Convert__Reg1_0__PCRelLabel191_1, 0, { MCK_GPR64, MCK_PCRelLabel19 }, },
12246 { 1393 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12247 { 1393 /* ldrsw */, AArch64::LDRSWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
12248 { 1393 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12249 { 1393 /* ldrsw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
12250 { 1393 /* ldrsw */, AArch64::LDRSWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
12251 { 1393 /* ldrsw */, AArch64::LDRSWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
12252 { 1393 /* ldrsw */, AArch64::LDRSWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
12253 { 1393 /* ldrsw */, AArch64::LDRSWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
12254 { 1399 /* ldset */, AArch64::LDSETs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12255 { 1399 /* ldset */, AArch64::LDSETd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12256 { 1405 /* ldseta */, AArch64::LDSETAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12257 { 1405 /* ldseta */, AArch64::LDSETAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12258 { 1412 /* ldsetab */, AArch64::LDSETAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12259 { 1420 /* ldsetah */, AArch64::LDSETAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12260 { 1428 /* ldsetal */, AArch64::LDSETALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12261 { 1428 /* ldsetal */, AArch64::LDSETALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12262 { 1436 /* ldsetalb */, AArch64::LDSETALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12263 { 1445 /* ldsetalh */, AArch64::LDSETALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12264 { 1454 /* ldsetb */, AArch64::LDSETb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12265 { 1461 /* ldseth */, AArch64::LDSETh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12266 { 1468 /* ldsetl */, AArch64::LDSETLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12267 { 1468 /* ldsetl */, AArch64::LDSETLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12268 { 1475 /* ldsetlb */, AArch64::LDSETLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12269 { 1483 /* ldsetlh */, AArch64::LDSETLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12270 { 1491 /* ldsmax */, AArch64::LDSMAXs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12271 { 1491 /* ldsmax */, AArch64::LDSMAXd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12272 { 1498 /* ldsmaxa */, AArch64::LDSMAXAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12273 { 1498 /* ldsmaxa */, AArch64::LDSMAXAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12274 { 1506 /* ldsmaxab */, AArch64::LDSMAXAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12275 { 1515 /* ldsmaxah */, AArch64::LDSMAXAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12276 { 1524 /* ldsmaxal */, AArch64::LDSMAXALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12277 { 1524 /* ldsmaxal */, AArch64::LDSMAXALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12278 { 1533 /* ldsmaxalb */, AArch64::LDSMAXALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12279 { 1543 /* ldsmaxalh */, AArch64::LDSMAXALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12280 { 1553 /* ldsmaxb */, AArch64::LDSMAXb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12281 { 1561 /* ldsmaxh */, AArch64::LDSMAXh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12282 { 1569 /* ldsmaxl */, AArch64::LDSMAXLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12283 { 1569 /* ldsmaxl */, AArch64::LDSMAXLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12284 { 1577 /* ldsmaxlb */, AArch64::LDSMAXLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12285 { 1586 /* ldsmaxlh */, AArch64::LDSMAXLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12286 { 1595 /* ldsmin */, AArch64::LDSMINs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12287 { 1595 /* ldsmin */, AArch64::LDSMINd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12288 { 1602 /* ldsmina */, AArch64::LDSMINAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12289 { 1602 /* ldsmina */, AArch64::LDSMINAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12290 { 1610 /* ldsminab */, AArch64::LDSMINAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12291 { 1619 /* ldsminah */, AArch64::LDSMINAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12292 { 1628 /* ldsminal */, AArch64::LDSMINALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12293 { 1628 /* ldsminal */, AArch64::LDSMINALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12294 { 1637 /* ldsminalb */, AArch64::LDSMINALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12295 { 1647 /* ldsminalh */, AArch64::LDSMINALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12296 { 1657 /* ldsminb */, AArch64::LDSMINb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12297 { 1665 /* ldsminh */, AArch64::LDSMINh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12298 { 1673 /* ldsminl */, AArch64::LDSMINLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12299 { 1673 /* ldsminl */, AArch64::LDSMINLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12300 { 1681 /* ldsminlb */, AArch64::LDSMINLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12301 { 1690 /* ldsminlh */, AArch64::LDSMINLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12302 { 1699 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12303 { 1699 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12304 { 1699 /* ldtr */, AArch64::LDTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12305 { 1699 /* ldtr */, AArch64::LDTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12306 { 1704 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12307 { 1704 /* ldtrb */, AArch64::LDTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12308 { 1710 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12309 { 1710 /* ldtrh */, AArch64::LDTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12310 { 1716 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12311 { 1716 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12312 { 1716 /* ldtrsb */, AArch64::LDTRSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12313 { 1716 /* ldtrsb */, AArch64::LDTRSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12314 { 1723 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12315 { 1723 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12316 { 1723 /* ldtrsh */, AArch64::LDTRSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12317 { 1723 /* ldtrsh */, AArch64::LDTRSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12318 { 1730 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12319 { 1730 /* ldtrsw */, AArch64::LDTRSWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12320 { 1737 /* ldumax */, AArch64::LDUMAXs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12321 { 1737 /* ldumax */, AArch64::LDUMAXd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12322 { 1744 /* ldumaxa */, AArch64::LDUMAXAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12323 { 1744 /* ldumaxa */, AArch64::LDUMAXAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12324 { 1752 /* ldumaxab */, AArch64::LDUMAXAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12325 { 1761 /* ldumaxah */, AArch64::LDUMAXAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12326 { 1770 /* ldumaxal */, AArch64::LDUMAXALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12327 { 1770 /* ldumaxal */, AArch64::LDUMAXALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12328 { 1779 /* ldumaxalb */, AArch64::LDUMAXALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12329 { 1789 /* ldumaxalh */, AArch64::LDUMAXALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12330 { 1799 /* ldumaxb */, AArch64::LDUMAXb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12331 { 1807 /* ldumaxh */, AArch64::LDUMAXh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12332 { 1815 /* ldumaxl */, AArch64::LDUMAXLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12333 { 1815 /* ldumaxl */, AArch64::LDUMAXLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12334 { 1823 /* ldumaxlb */, AArch64::LDUMAXLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12335 { 1832 /* ldumaxlh */, AArch64::LDUMAXLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12336 { 1841 /* ldumin */, AArch64::LDUMINs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12337 { 1841 /* ldumin */, AArch64::LDUMINd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12338 { 1848 /* ldumina */, AArch64::LDUMINAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12339 { 1848 /* ldumina */, AArch64::LDUMINAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12340 { 1856 /* lduminab */, AArch64::LDUMINAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12341 { 1865 /* lduminah */, AArch64::LDUMINAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12342 { 1874 /* lduminal */, AArch64::LDUMINALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12343 { 1874 /* lduminal */, AArch64::LDUMINALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12344 { 1883 /* lduminalb */, AArch64::LDUMINALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12345 { 1893 /* lduminalh */, AArch64::LDUMINALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12346 { 1903 /* lduminb */, AArch64::LDUMINb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12347 { 1911 /* lduminh */, AArch64::LDUMINh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12348 { 1919 /* lduminl */, AArch64::LDUMINLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12349 { 1919 /* lduminl */, AArch64::LDUMINLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12350 { 1927 /* lduminlb */, AArch64::LDUMINLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12351 { 1936 /* lduminlh */, AArch64::LDUMINLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12352 { 1945 /* ldur */, AArch64::LDURQi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12353 { 1945 /* ldur */, AArch64::LDURHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12354 { 1945 /* ldur */, AArch64::LDURSi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12355 { 1945 /* ldur */, AArch64::LDURDi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12356 { 1945 /* ldur */, AArch64::LDURBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12357 { 1945 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12358 { 1945 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12359 { 1945 /* ldur */, AArch64::LDURQi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12360 { 1945 /* ldur */, AArch64::LDURHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12361 { 1945 /* ldur */, AArch64::LDURSi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12362 { 1945 /* ldur */, AArch64::LDURDi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12363 { 1945 /* ldur */, AArch64::LDURBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12364 { 1945 /* ldur */, AArch64::LDURWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12365 { 1945 /* ldur */, AArch64::LDURXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12366 { 1950 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12367 { 1950 /* ldurb */, AArch64::LDURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12368 { 1956 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12369 { 1956 /* ldurh */, AArch64::LDURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12370 { 1962 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12371 { 1962 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12372 { 1962 /* ldursb */, AArch64::LDURSBWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12373 { 1962 /* ldursb */, AArch64::LDURSBXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12374 { 1969 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12375 { 1969 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12376 { 1969 /* ldursh */, AArch64::LDURSHWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12377 { 1969 /* ldursh */, AArch64::LDURSHXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12378 { 1976 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12379 { 1976 /* ldursw */, AArch64::LDURSWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12380 { 1983 /* ldxp */, AArch64::LDXPW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12381 { 1983 /* ldxp */, AArch64::LDXPX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12382 { 1988 /* ldxr */, AArch64::LDXRW, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12383 { 1988 /* ldxr */, AArch64::LDXRX, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12384 { 1993 /* ldxrb */, AArch64::LDXRB, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12385 { 1999 /* ldxrh */, AArch64::LDXRH, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
12386 { 2005 /* lsl */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12387 { 2005 /* lsl */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12388 { 2009 /* lslv */, AArch64::LSLVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12389 { 2009 /* lslv */, AArch64::LSLVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12390 { 2014 /* lsr */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12391 { 2014 /* lsr */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__imm_95_31, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
12392 { 2014 /* lsr */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12393 { 2014 /* lsr */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__imm_95_63, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
12394 { 2018 /* lsrv */, AArch64::LSRVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12395 { 2018 /* lsrv */, AArch64::LSRVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12396 { 2023 /* madd */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12397 { 2023 /* madd */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12398 { 2028 /* mla */, AArch64::MLAv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12399 { 2028 /* mla */, AArch64::MLAv2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12400 { 2028 /* mla */, AArch64::MLAv4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12401 { 2028 /* mla */, AArch64::MLAv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12402 { 2028 /* mla */, AArch64::MLAv8i8, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12403 { 2028 /* mla */, AArch64::MLAv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12404 { 2028 /* mla */, AArch64::MLAv2i32_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12405 { 2028 /* mla */, AArch64::MLAv4i16_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12406 { 2028 /* mla */, AArch64::MLAv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12407 { 2028 /* mla */, AArch64::MLAv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12408 { 2032 /* mls */, AArch64::MLSv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12409 { 2032 /* mls */, AArch64::MLSv2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12410 { 2032 /* mls */, AArch64::MLSv4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12411 { 2032 /* mls */, AArch64::MLSv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12412 { 2032 /* mls */, AArch64::MLSv8i8, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12413 { 2032 /* mls */, AArch64::MLSv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12414 { 2032 /* mls */, AArch64::MLSv2i32_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12415 { 2032 /* mls */, AArch64::MLSv4i16_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12416 { 2032 /* mls */, AArch64::MLSv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12417 { 2032 /* mls */, AArch64::MLSv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12418 { 2036 /* mneg */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12419 { 2036 /* mneg */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12420 { 2041 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, 0, { MCK_GPR32sponly, MCK_GPR32sp }, },
12421 { 2041 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, 0, { MCK_GPR64sponly, MCK_GPR64sp }, },
12422 { 2041 /* mov */, AArch64::ORRWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
12423 { 2041 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl0MovAlias1_1__imm_95_0, 0, { MCK_GPR32, MCK_MOVZ32_lsl0MovAlias }, },
12424 { 2041 /* mov */, AArch64::MOVZWi, Convert__Reg1_0__MOVZ32_lsl16MovAlias1_1__imm_95_16, 0, { MCK_GPR32, MCK_MOVZ32_lsl16MovAlias }, },
12425 { 2041 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl0MovAlias1_1__imm_95_0, 0, { MCK_GPR32, MCK_MOVN32_lsl0MovAlias }, },
12426 { 2041 /* mov */, AArch64::MOVNWi, Convert__Reg1_0__MOVN32_lsl16MovAlias1_1__imm_95_16, 0, { MCK_GPR32, MCK_MOVN32_lsl16MovAlias }, },
12427 { 2041 /* mov */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, 0, { MCK_GPR32sp, MCK_GPR32sponly }, },
12428 { 2041 /* mov */, AArch64::ORRWri, Convert__Reg1_0__regWZR__LogicalImm321_1, 0, { MCK_GPR32sp, MCK_LogicalImm32 }, },
12429 { 2041 /* mov */, AArch64::ORRXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
12430 { 2041 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl0MovAlias1_1__imm_95_0, 0, { MCK_GPR64, MCK_MOVZ64_lsl0MovAlias }, },
12431 { 2041 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl16MovAlias1_1__imm_95_16, 0, { MCK_GPR64, MCK_MOVZ64_lsl16MovAlias }, },
12432 { 2041 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl32MovAlias1_1__imm_95_32, 0, { MCK_GPR64, MCK_MOVZ64_lsl32MovAlias }, },
12433 { 2041 /* mov */, AArch64::MOVZXi, Convert__Reg1_0__MOVZ64_lsl48MovAlias1_1__imm_95_48, 0, { MCK_GPR64, MCK_MOVZ64_lsl48MovAlias }, },
12434 { 2041 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl0MovAlias1_1__imm_95_0, 0, { MCK_GPR64, MCK_MOVN64_lsl0MovAlias }, },
12435 { 2041 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl16MovAlias1_1__imm_95_16, 0, { MCK_GPR64, MCK_MOVN64_lsl16MovAlias }, },
12436 { 2041 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl32MovAlias1_1__imm_95_32, 0, { MCK_GPR64, MCK_MOVN64_lsl32MovAlias }, },
12437 { 2041 /* mov */, AArch64::MOVNXi, Convert__Reg1_0__MOVN64_lsl48MovAlias1_1__imm_95_48, 0, { MCK_GPR64, MCK_MOVN64_lsl48MovAlias }, },
12438 { 2041 /* mov */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_0, 0, { MCK_GPR64sp, MCK_GPR64sponly }, },
12439 { 2041 /* mov */, AArch64::ORRXri, Convert__Reg1_0__regXZR__LogicalImm641_1, 0, { MCK_GPR64sp, MCK_LogicalImm64 }, },
12440 { 2041 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, 0, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12441 { 2041 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, 0, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
12442 { 2041 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
12443 { 2041 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
12444 { 2041 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12445 { 2041 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12446 { 2041 /* mov */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_2, 0, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12447 { 2041 /* mov */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_2, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12448 { 2041 /* mov */, AArch64::CPYi16, Convert__Reg1_0__VectorReg1281_1__VectorIndexH1_2, Feature_HasNEON, { MCK_FPR16, MCK_VectorReg128, MCK_VectorIndexH }, },
12449 { 2041 /* mov */, AArch64::CPYi32, Convert__Reg1_0__VectorReg1281_1__VectorIndexS1_2, Feature_HasNEON, { MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12450 { 2041 /* mov */, AArch64::CPYi64, Convert__Reg1_0__VectorReg1281_1__VectorIndexD1_2, Feature_HasNEON, { MCK_FPR64, MCK_VectorReg128, MCK_VectorIndexD }, },
12451 { 2041 /* mov */, AArch64::CPYi8, Convert__Reg1_0__VectorReg1281_1__VectorIndexB1_2, Feature_HasNEON, { MCK_FPR8, MCK_VectorReg128, MCK_VectorIndexB }, },
12452 { 2041 /* mov */, AArch64::INSvi8gpr, Convert__VectorReg1281_1__Tie0__VectorIndexB1_2__Reg1_3, Feature_HasNEON, { MCK__DOT_b, MCK_VectorReg128, MCK_VectorIndexB, MCK_GPR32 }, },
12453 { 2041 /* mov */, AArch64::UMOVvi64, Convert__Reg1_1__VectorReg1281_2__VectorIndexD1_3, Feature_HasNEON, { MCK__DOT_d, MCK_GPR64, MCK_VectorReg128, MCK_VectorIndexD }, },
12454 { 2041 /* mov */, AArch64::INSvi64gpr, Convert__VectorReg1281_1__Tie0__VectorIndexD1_2__Reg1_3, Feature_HasNEON, { MCK__DOT_d, MCK_VectorReg128, MCK_VectorIndexD, MCK_GPR64 }, },
12455 { 2041 /* mov */, AArch64::INSvi16gpr, Convert__VectorReg1281_1__Tie0__VectorIndexH1_2__Reg1_3, Feature_HasNEON, { MCK__DOT_h, MCK_VectorReg128, MCK_VectorIndexH, MCK_GPR32 }, },
12456 { 2041 /* mov */, AArch64::UMOVvi32, Convert__Reg1_1__VectorReg1281_2__VectorIndexS1_3, Feature_HasNEON, { MCK__DOT_s, MCK_GPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12457 { 2041 /* mov */, AArch64::INSvi32gpr, Convert__VectorReg1281_1__Tie0__VectorIndexS1_2__Reg1_3, Feature_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_VectorIndexS, MCK_GPR32 }, },
12458 { 2041 /* mov */, AArch64::INSvi8lane, Convert__VectorReg1281_1__Tie0__VectorIndexB1_2__VectorReg1281_3__VectorIndexB1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VectorReg128, MCK_VectorIndexB, MCK_VectorReg128, MCK_VectorIndexB }, },
12459 { 2041 /* mov */, AArch64::INSvi64lane, Convert__VectorReg1281_1__Tie0__VectorIndexD1_2__VectorReg1281_3__VectorIndexD1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VectorReg128, MCK_VectorIndexD, MCK_VectorReg128, MCK_VectorIndexD }, },
12460 { 2041 /* mov */, AArch64::INSvi16lane, Convert__VectorReg1281_1__Tie0__VectorIndexH1_2__VectorReg1281_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VectorReg128, MCK_VectorIndexH, MCK_VectorReg128, MCK_VectorIndexH }, },
12461 { 2041 /* mov */, AArch64::INSvi32lane, Convert__VectorReg1281_1__Tie0__VectorIndexS1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VectorReg128, MCK_VectorIndexS, MCK_VectorReg128, MCK_VectorIndexS }, },
12462 { 2045 /* movi */, AArch64::MOVID, Convert__Reg1_0__SIMDImmType101_1, Feature_HasNEON, { MCK_FPR64, MCK_SIMDImmType10 }, },
12463 { 2045 /* movi */, AArch64::MOVIv16b_ns, Convert__VectorReg1281_1__Imm0_2551_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_Imm0_255 }, },
12464 { 2045 /* movi */, AArch64::MOVIv2d_ns, Convert__VectorReg1281_1__SIMDImmType101_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_SIMDImmType10 }, },
12465 { 2045 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
12466 { 2045 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
12467 { 2045 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
12468 { 2045 /* movi */, AArch64::MOVIv8b_ns, Convert__VectorReg641_1__Imm0_2551_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_Imm0_255 }, },
12469 { 2045 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
12470 { 2045 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
12471 { 2045 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
12472 { 2045 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
12473 { 2045 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
12474 { 2045 /* movi */, AArch64::MOVIv2i32, Convert__VectorReg641_1__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecShifter }, },
12475 { 2045 /* movi */, AArch64::MOVIv2s_msl, Convert__VectorReg641_1__Imm0_2551_2__MoveVecShifter1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_MoveVecShifter }, },
12476 { 2045 /* movi */, AArch64::MOVIv4i16, Convert__VectorReg641_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
12477 { 2045 /* movi */, AArch64::MOVIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecShifter }, },
12478 { 2045 /* movi */, AArch64::MOVIv4s_msl, Convert__VectorReg1281_1__Imm0_2551_2__MoveVecShifter1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_MoveVecShifter }, },
12479 { 2045 /* movi */, AArch64::MOVIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
12480 { 2050 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR32, MCK_Imm0_65535 }, },
12481 { 2050 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0__MovKSymbolG01_1__imm_95_0, 0, { MCK_GPR32, MCK_MovKSymbolG0 }, },
12482 { 2050 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0__MovKSymbolG11_1__imm_95_16, 0, { MCK_GPR32, MCK_MovKSymbolG1 }, },
12483 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR64, MCK_Imm0_65535 }, },
12484 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__MovKSymbolG01_1__imm_95_0, 0, { MCK_GPR64, MCK_MovKSymbolG0 }, },
12485 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__MovKSymbolG11_1__imm_95_16, 0, { MCK_GPR64, MCK_MovKSymbolG1 }, },
12486 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__MovKSymbolG21_1__imm_95_32, 0, { MCK_GPR64, MCK_MovKSymbolG2 }, },
12487 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__MovKSymbolG31_1__imm_95_48, 0, { MCK_GPR64, MCK_MovKSymbolG3 }, },
12488 { 2050 /* movk */, AArch64::MOVKWi, Convert__Reg1_0__Tie0__Imm0_655351_1__MovImm32Shifter1_2, 0, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
12489 { 2050 /* movk */, AArch64::MOVKXi, Convert__Reg1_0__Tie0__Imm0_655351_1__MovImm64Shifter1_2, 0, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
12490 { 2055 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR32, MCK_Imm0_65535 }, },
12491 { 2055 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovZSymbolG01_1__imm_95_0, 0, { MCK_GPR32, MCK_MovZSymbolG0 }, },
12492 { 2055 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__MovZSymbolG11_1__imm_95_16, 0, { MCK_GPR32, MCK_MovZSymbolG1 }, },
12493 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR64, MCK_Imm0_65535 }, },
12494 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovZSymbolG01_1__imm_95_0, 0, { MCK_GPR64, MCK_MovZSymbolG0 }, },
12495 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovZSymbolG11_1__imm_95_16, 0, { MCK_GPR64, MCK_MovZSymbolG1 }, },
12496 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovZSymbolG21_1__imm_95_32, 0, { MCK_GPR64, MCK_MovZSymbolG2 }, },
12497 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__MovZSymbolG31_1__imm_95_48, 0, { MCK_GPR64, MCK_MovZSymbolG3 }, },
12498 { 2055 /* movn */, AArch64::MOVNWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, 0, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
12499 { 2055 /* movn */, AArch64::MOVNXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, 0, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
12500 { 2060 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR32, MCK_Imm0_65535 }, },
12501 { 2060 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovZSymbolG01_1__imm_95_0, 0, { MCK_GPR32, MCK_MovZSymbolG0 }, },
12502 { 2060 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__MovZSymbolG11_1__imm_95_16, 0, { MCK_GPR32, MCK_MovZSymbolG1 }, },
12503 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__imm_95_0, 0, { MCK_GPR64, MCK_Imm0_65535 }, },
12504 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovZSymbolG01_1__imm_95_0, 0, { MCK_GPR64, MCK_MovZSymbolG0 }, },
12505 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovZSymbolG11_1__imm_95_16, 0, { MCK_GPR64, MCK_MovZSymbolG1 }, },
12506 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovZSymbolG21_1__imm_95_32, 0, { MCK_GPR64, MCK_MovZSymbolG2 }, },
12507 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__MovZSymbolG31_1__imm_95_48, 0, { MCK_GPR64, MCK_MovZSymbolG3 }, },
12508 { 2060 /* movz */, AArch64::MOVZWi, Convert__Reg1_0__Imm0_655351_1__MovImm32Shifter1_2, 0, { MCK_GPR32, MCK_Imm0_65535, MCK_MovImm32Shifter }, },
12509 { 2060 /* movz */, AArch64::MOVZXi, Convert__Reg1_0__Imm0_655351_1__MovImm64Shifter1_2, 0, { MCK_GPR64, MCK_Imm0_65535, MCK_MovImm64Shifter }, },
12510 { 2065 /* mrs */, AArch64::MRS, Convert__Reg1_0__MRSSystemRegister1_1, 0, { MCK_GPR64, MCK_MRSSystemRegister }, },
12511 { 2069 /* msr */, AArch64::MSR, Convert__MSRSystemRegister1_0__Reg1_1, 0, { MCK_MSRSystemRegister, MCK_GPR64 }, },
12512 { 2069 /* msr */, AArch64::MSRpstateImm4, Convert__SystemPStateFieldWithImm0_151_0__Imm0_151_1, 0, { MCK_SystemPStateFieldWithImm0_15, MCK_Imm0_15 }, },
12513 { 2069 /* msr */, AArch64::MSRpstateImm1, Convert__SystemPStateFieldWithImm0_11_0__Imm0_11_1, 0, { MCK_SystemPStateFieldWithImm0_1, MCK_Imm0_1 }, },
12514 { 2073 /* msub */, AArch64::MSUBWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12515 { 2073 /* msub */, AArch64::MSUBXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12516 { 2078 /* mul */, AArch64::MADDWrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regWZR, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12517 { 2078 /* mul */, AArch64::MADDXrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12518 { 2078 /* mul */, AArch64::MULv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12519 { 2078 /* mul */, AArch64::MULv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12520 { 2078 /* mul */, AArch64::MULv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12521 { 2078 /* mul */, AArch64::MULv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12522 { 2078 /* mul */, AArch64::MULv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12523 { 2078 /* mul */, AArch64::MULv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12524 { 2078 /* mul */, AArch64::MULv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12525 { 2078 /* mul */, AArch64::MULv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12526 { 2078 /* mul */, AArch64::MULv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12527 { 2078 /* mul */, AArch64::MULv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12528 { 2082 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
12529 { 2082 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
12530 { 2082 /* mvn */, AArch64::NOTv16i8, Convert__VectorReg1281_1__VectorReg1281_2, 0, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12531 { 2082 /* mvn */, AArch64::NOTv8i8, Convert__VectorReg641_1__VectorReg641_2, 0, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12532 { 2082 /* mvn */, AArch64::ORNWrs, Convert__Reg1_0__regWZR__Reg1_1__LogicalShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
12533 { 2082 /* mvn */, AArch64::ORNXrs, Convert__Reg1_0__regXZR__Reg1_1__LogicalShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
12534 { 2086 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
12535 { 2086 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
12536 { 2086 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
12537 { 2086 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
12538 { 2086 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
12539 { 2086 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
12540 { 2086 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
12541 { 2086 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
12542 { 2086 /* mvni */, AArch64::MVNIv2i32, Convert__VectorReg641_1__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecShifter }, },
12543 { 2086 /* mvni */, AArch64::MVNIv2s_msl, Convert__VectorReg641_1__Imm0_2551_2__MoveVecShifter1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_MoveVecShifter }, },
12544 { 2086 /* mvni */, AArch64::MVNIv4i16, Convert__VectorReg641_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
12545 { 2086 /* mvni */, AArch64::MVNIv4i32, Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecShifter }, },
12546 { 2086 /* mvni */, AArch64::MVNIv4s_msl, Convert__VectorReg1281_1__Imm0_2551_2__MoveVecShifter1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_MoveVecShifter }, },
12547 { 2086 /* mvni */, AArch64::MVNIv8i16, Convert__VectorReg1281_1__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
12548 { 2091 /* neg */, AArch64::NEGv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
12549 { 2091 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
12550 { 2091 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
12551 { 2091 /* neg */, AArch64::NEGv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12552 { 2091 /* neg */, AArch64::NEGv2i64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
12553 { 2091 /* neg */, AArch64::NEGv2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
12554 { 2091 /* neg */, AArch64::NEGv4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12555 { 2091 /* neg */, AArch64::NEGv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12556 { 2091 /* neg */, AArch64::NEGv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12557 { 2091 /* neg */, AArch64::NEGv8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12558 { 2091 /* neg */, AArch64::SUBWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
12559 { 2091 /* neg */, AArch64::SUBXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
12560 { 2095 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
12561 { 2095 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
12562 { 2095 /* negs */, AArch64::SUBSWrs, Convert__Reg1_0__regWZR__Reg1_1__ArithmeticShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
12563 { 2095 /* negs */, AArch64::SUBSXrs, Convert__Reg1_0__regXZR__Reg1_1__ArithmeticShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
12564 { 2100 /* ngc */, AArch64::SBCWr, Convert__Reg1_0__regWZR__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
12565 { 2100 /* ngc */, AArch64::SBCXr, Convert__Reg1_0__regXZR__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
12566 { 2104 /* ngcs */, AArch64::SBCSWr, Convert__Reg1_0__regWZR__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
12567 { 2104 /* ngcs */, AArch64::SBCSXr, Convert__Reg1_0__regXZR__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
12568 { 2109 /* nop */, AArch64::HINT, Convert__imm_95_0, 0, { }, },
12569 { 2113 /* not */, AArch64::NOTv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12570 { 2113 /* not */, AArch64::NOTv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12571 { 2117 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12572 { 2117 /* orn */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm32Not1_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32Not }, },
12573 { 2117 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12574 { 2117 /* orn */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm64Not1_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64Not }, },
12575 { 2117 /* orn */, AArch64::ORNv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12576 { 2117 /* orn */, AArch64::ORNv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12577 { 2117 /* orn */, AArch64::ORNWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
12578 { 2117 /* orn */, AArch64::ORNXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
12579 { 2121 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255 }, },
12580 { 2121 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255 }, },
12581 { 2121 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255 }, },
12582 { 2121 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255 }, },
12583 { 2121 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12584 { 2121 /* orr */, AArch64::ORRWri, Convert__Reg1_0__Reg1_1__LogicalImm321_2, 0, { MCK_GPR32sp, MCK_GPR32, MCK_LogicalImm32 }, },
12585 { 2121 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12586 { 2121 /* orr */, AArch64::ORRXri, Convert__Reg1_0__Reg1_1__LogicalImm641_2, 0, { MCK_GPR64sp, MCK_GPR64, MCK_LogicalImm64 }, },
12587 { 2121 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_Imm0_255 }, },
12588 { 2121 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_Imm0_255 }, },
12589 { 2121 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_2s, MCK_Imm0_255 }, },
12590 { 2121 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_0__Tie0__Imm0_2551_2__imm_95_0, 0, { MCK_VectorReg64, MCK__DOT_4h, MCK_Imm0_255 }, },
12591 { 2121 /* orr */, AArch64::ORRv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12592 { 2121 /* orr */, AArch64::ORRv2i32, Convert__VectorReg641_1__Tie0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecShifter }, },
12593 { 2121 /* orr */, AArch64::ORRv4i16, Convert__VectorReg641_1__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
12594 { 2121 /* orr */, AArch64::ORRv4i32, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__LogicalVecShifter1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecShifter }, },
12595 { 2121 /* orr */, AArch64::ORRv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12596 { 2121 /* orr */, AArch64::ORRv8i16, Convert__VectorReg1281_1__Tie0__Imm0_2551_2__LogicalVecHalfWordShifter1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_Imm0_255, MCK_LogicalVecHalfWordShifter }, },
12597 { 2121 /* orr */, AArch64::ORRWrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
12598 { 2121 /* orr */, AArch64::ORRXrs, Convert__Reg1_0__Reg1_1__Reg1_2__LogicalShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
12599 { 2125 /* pmul */, AArch64::PMULv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12600 { 2125 /* pmul */, AArch64::PMULv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12601 { 2130 /* pmull */, AArch64::PMULLv1i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasCrypto, { MCK__DOT_1q, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12602 { 2130 /* pmull */, AArch64::PMULLv8i8, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12603 { 2136 /* pmull2 */, AArch64::PMULLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasCrypto, { MCK__DOT_1q, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12604 { 2136 /* pmull2 */, AArch64::PMULLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12605 { 2143 /* prfm */, AArch64::PRFMl, Convert__Prefetch1_0__PCRelLabel191_1, 0, { MCK_Prefetch, MCK_PCRelLabel19 }, },
12606 { 2143 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__imm_95_0, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12607 { 2143 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
12608 { 2143 /* prfm */, AArch64::PRFMui, Convert__Prefetch1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
12609 { 2143 /* prfm */, AArch64::PRFMroW, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
12610 { 2143 /* prfm */, AArch64::PRFMroX, Convert__Prefetch1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
12611 { 2148 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__imm_95_0, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
12612 { 2148 /* prfum */, AArch64::PRFUMi, Convert__Prefetch1_0__Reg1_2__SImm91_3, 0, { MCK_Prefetch, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
12613 { 2154 /* psb */, AArch64::HINT, Convert__PSBHint1_0, Feature_HasSPE, { MCK_PSBHint }, },
12614 { 2158 /* raddhn */, AArch64::RADDHNv2i64_v2i32, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
12615 { 2158 /* raddhn */, AArch64::RADDHNv4i32_v4i16, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
12616 { 2158 /* raddhn */, AArch64::RADDHNv8i16_v8i8, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
12617 { 2165 /* raddhn2 */, AArch64::RADDHNv8i16_v16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12618 { 2165 /* raddhn2 */, AArch64::RADDHNv2i64_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12619 { 2165 /* raddhn2 */, AArch64::RADDHNv4i32_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12620 { 2173 /* rbit */, AArch64::RBITWr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
12621 { 2173 /* rbit */, AArch64::RBITXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
12622 { 2173 /* rbit */, AArch64::RBITv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12623 { 2173 /* rbit */, AArch64::RBITv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12624 { 2178 /* ret */, AArch64::RET, Convert__regLR, 0, { }, },
12625 { 2178 /* ret */, AArch64::RET, Convert__Reg1_0, 0, { MCK_GPR64 }, },
12626 { 2182 /* rev */, AArch64::REVWr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
12627 { 2182 /* rev */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
12628 { 2186 /* rev16 */, AArch64::REV16Wr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR32, MCK_GPR32 }, },
12629 { 2186 /* rev16 */, AArch64::REV16Xr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
12630 { 2186 /* rev16 */, AArch64::REV16v16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12631 { 2186 /* rev16 */, AArch64::REV16v8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12632 { 2192 /* rev32 */, AArch64::REV32Xr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
12633 { 2192 /* rev32 */, AArch64::REV32v16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12634 { 2192 /* rev32 */, AArch64::REV32v4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12635 { 2192 /* rev32 */, AArch64::REV32v8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12636 { 2192 /* rev32 */, AArch64::REV32v8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12637 { 2198 /* rev64 */, AArch64::REVXr, Convert__Reg1_0__Reg1_1, 0, { MCK_GPR64, MCK_GPR64 }, },
12638 { 2198 /* rev64 */, AArch64::REV64v16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12639 { 2198 /* rev64 */, AArch64::REV64v2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
12640 { 2198 /* rev64 */, AArch64::REV64v4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12641 { 2198 /* rev64 */, AArch64::REV64v4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12642 { 2198 /* rev64 */, AArch64::REV64v8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12643 { 2198 /* rev64 */, AArch64::REV64v8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12644 { 2204 /* ror */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12645 { 2204 /* ror */, AArch64::EXTRWrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_311_2, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31 }, },
12646 { 2204 /* ror */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12647 { 2204 /* ror */, AArch64::EXTRXrri, Convert__Reg1_0__Reg1_1__Reg1_1__Imm0_631_2, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63 }, },
12648 { 2208 /* rorv */, AArch64::RORVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12649 { 2208 /* rorv */, AArch64::RORVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12650 { 2213 /* rshrn */, AArch64::RSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
12651 { 2213 /* rshrn */, AArch64::RSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
12652 { 2213 /* rshrn */, AArch64::RSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
12653 { 2219 /* rshrn2 */, AArch64::RSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
12654 { 2219 /* rshrn2 */, AArch64::RSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
12655 { 2219 /* rshrn2 */, AArch64::RSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
12656 { 2226 /* rsubhn */, AArch64::RSUBHNv2i64_v2i32, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
12657 { 2226 /* rsubhn */, AArch64::RSUBHNv4i32_v4i16, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
12658 { 2226 /* rsubhn */, AArch64::RSUBHNv8i16_v8i8, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
12659 { 2233 /* rsubhn2 */, AArch64::RSUBHNv8i16_v16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12660 { 2233 /* rsubhn2 */, AArch64::RSUBHNv2i64_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12661 { 2233 /* rsubhn2 */, AArch64::RSUBHNv4i32_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12662 { 2241 /* saba */, AArch64::SABAv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12663 { 2241 /* saba */, AArch64::SABAv2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12664 { 2241 /* saba */, AArch64::SABAv4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12665 { 2241 /* saba */, AArch64::SABAv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12666 { 2241 /* saba */, AArch64::SABAv8i8, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12667 { 2241 /* saba */, AArch64::SABAv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12668 { 2246 /* sabal */, AArch64::SABALv2i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12669 { 2246 /* sabal */, AArch64::SABALv4i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12670 { 2246 /* sabal */, AArch64::SABALv8i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12671 { 2252 /* sabal2 */, AArch64::SABALv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12672 { 2252 /* sabal2 */, AArch64::SABALv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12673 { 2252 /* sabal2 */, AArch64::SABALv16i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12674 { 2259 /* sabd */, AArch64::SABDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12675 { 2259 /* sabd */, AArch64::SABDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12676 { 2259 /* sabd */, AArch64::SABDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12677 { 2259 /* sabd */, AArch64::SABDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12678 { 2259 /* sabd */, AArch64::SABDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12679 { 2259 /* sabd */, AArch64::SABDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12680 { 2264 /* sabdl */, AArch64::SABDLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12681 { 2264 /* sabdl */, AArch64::SABDLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12682 { 2264 /* sabdl */, AArch64::SABDLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12683 { 2270 /* sabdl2 */, AArch64::SABDLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12684 { 2270 /* sabdl2 */, AArch64::SABDLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12685 { 2270 /* sabdl2 */, AArch64::SABDLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12686 { 2277 /* sadalp */, AArch64::SADALPv2i32_v1i64, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
12687 { 2277 /* sadalp */, AArch64::SADALPv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
12688 { 2277 /* sadalp */, AArch64::SADALPv4i16_v2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
12689 { 2277 /* sadalp */, AArch64::SADALPv8i8_v4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12690 { 2277 /* sadalp */, AArch64::SADALPv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12691 { 2277 /* sadalp */, AArch64::SADALPv16i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12692 { 2284 /* saddl */, AArch64::SADDLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12693 { 2284 /* saddl */, AArch64::SADDLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12694 { 2284 /* saddl */, AArch64::SADDLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12695 { 2290 /* saddl2 */, AArch64::SADDLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12696 { 2290 /* saddl2 */, AArch64::SADDLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12697 { 2290 /* saddl2 */, AArch64::SADDLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12698 { 2297 /* saddlp */, AArch64::SADDLPv2i32_v1i64, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
12699 { 2297 /* saddlp */, AArch64::SADDLPv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
12700 { 2297 /* saddlp */, AArch64::SADDLPv4i16_v2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
12701 { 2297 /* saddlp */, AArch64::SADDLPv8i8_v4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12702 { 2297 /* saddlp */, AArch64::SADDLPv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12703 { 2297 /* saddlp */, AArch64::SADDLPv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12704 { 2304 /* saddlv */, AArch64::SADDLVv16i8v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_FPR16, MCK_VectorReg128 }, },
12705 { 2304 /* saddlv */, AArch64::SADDLVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_FPR32, MCK_VectorReg64 }, },
12706 { 2304 /* saddlv */, AArch64::SADDLVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR64, MCK_VectorReg128 }, },
12707 { 2304 /* saddlv */, AArch64::SADDLVv8i8v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_FPR16, MCK_VectorReg64 }, },
12708 { 2304 /* saddlv */, AArch64::SADDLVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_FPR32, MCK_VectorReg128 }, },
12709 { 2311 /* saddw */, AArch64::SADDWv2i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
12710 { 2311 /* saddw */, AArch64::SADDWv4i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
12711 { 2311 /* saddw */, AArch64::SADDWv8i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
12712 { 2317 /* saddw2 */, AArch64::SADDWv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12713 { 2317 /* saddw2 */, AArch64::SADDWv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12714 { 2317 /* saddw2 */, AArch64::SADDWv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12715 { 2324 /* sbc */, AArch64::SBCWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12716 { 2324 /* sbc */, AArch64::SBCXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12717 { 2328 /* sbcs */, AArch64::SBCSWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12718 { 2328 /* sbcs */, AArch64::SBCSXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12719 { 2333 /* sbfm */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
12720 { 2333 /* sbfm */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
12721 { 2338 /* scvtf */, AArch64::SCVTFv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
12722 { 2338 /* scvtf */, AArch64::SCVTFUWHri, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
12723 { 2338 /* scvtf */, AArch64::SCVTFUXHri, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
12724 { 2338 /* scvtf */, AArch64::SCVTFv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
12725 { 2338 /* scvtf */, AArch64::SCVTFUWSri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
12726 { 2338 /* scvtf */, AArch64::SCVTFUXSri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR64 }, },
12727 { 2338 /* scvtf */, AArch64::SCVTFv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
12728 { 2338 /* scvtf */, AArch64::SCVTFUWDri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR32 }, },
12729 { 2338 /* scvtf */, AArch64::SCVTFUXDri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
12730 { 2338 /* scvtf */, AArch64::SCVTFv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
12731 { 2338 /* scvtf */, AArch64::SCVTFv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
12732 { 2338 /* scvtf */, AArch64::SCVTFv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12733 { 2338 /* scvtf */, AArch64::SCVTFv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12734 { 2338 /* scvtf */, AArch64::SCVTFv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12735 { 2338 /* scvtf */, AArch64::SCVTFh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
12736 { 2338 /* scvtf */, AArch64::SCVTFSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32, MCK_Imm1_32 }, },
12737 { 2338 /* scvtf */, AArch64::SCVTFSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64, MCK_Imm1_64 }, },
12738 { 2338 /* scvtf */, AArch64::SCVTFs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
12739 { 2338 /* scvtf */, AArch64::SCVTFSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32, MCK_Imm1_32 }, },
12740 { 2338 /* scvtf */, AArch64::SCVTFSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR64, MCK_Imm1_64 }, },
12741 { 2338 /* scvtf */, AArch64::SCVTFd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
12742 { 2338 /* scvtf */, AArch64::SCVTFSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR32, MCK_Imm1_32 }, },
12743 { 2338 /* scvtf */, AArch64::SCVTFSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64, MCK_Imm1_64 }, },
12744 { 2338 /* scvtf */, AArch64::SCVTFv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
12745 { 2338 /* scvtf */, AArch64::SCVTFv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
12746 { 2338 /* scvtf */, AArch64::SCVTFv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
12747 { 2338 /* scvtf */, AArch64::SCVTFv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
12748 { 2338 /* scvtf */, AArch64::SCVTFv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
12749 { 2344 /* sdiv */, AArch64::SDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
12750 { 2344 /* sdiv */, AArch64::SDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12751 { 2349 /* sev */, AArch64::HINT, Convert__imm_95_4, 0, { }, },
12752 { 2353 /* sevl */, AArch64::HINT, Convert__imm_95_5, 0, { }, },
12753 { 2358 /* sha1c */, AArch64::SHA1Crrr, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3, Feature_HasCrypto, { MCK__DOT_4s, MCK_FPR128, MCK_FPR32, MCK_VectorReg128 }, },
12754 { 2364 /* sha1h */, AArch64::SHA1Hrr, Convert__Reg1_0__Reg1_1, Feature_HasCrypto, { MCK_FPR32, MCK_FPR32 }, },
12755 { 2370 /* sha1m */, AArch64::SHA1Mrrr, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3, Feature_HasCrypto, { MCK__DOT_4s, MCK_FPR128, MCK_FPR32, MCK_VectorReg128 }, },
12756 { 2376 /* sha1p */, AArch64::SHA1Prrr, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3, Feature_HasCrypto, { MCK__DOT_4s, MCK_FPR128, MCK_FPR32, MCK_VectorReg128 }, },
12757 { 2382 /* sha1su0 */, AArch64::SHA1SU0rrr, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasCrypto, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12758 { 2390 /* sha1su1 */, AArch64::SHA1SU1rr, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasCrypto, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12759 { 2398 /* sha256h */, AArch64::SHA256Hrrr, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3, Feature_HasCrypto, { MCK__DOT_4s, MCK_FPR128, MCK_FPR128, MCK_VectorReg128 }, },
12760 { 2406 /* sha256h2 */, AArch64::SHA256H2rrr, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3, Feature_HasCrypto, { MCK__DOT_4s, MCK_FPR128, MCK_FPR128, MCK_VectorReg128 }, },
12761 { 2415 /* sha256su0 */, AArch64::SHA256SU0rr, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasCrypto, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12762 { 2425 /* sha256su1 */, AArch64::SHA256SU1rrr, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasCrypto, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12763 { 2435 /* shadd */, AArch64::SHADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12764 { 2435 /* shadd */, AArch64::SHADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12765 { 2435 /* shadd */, AArch64::SHADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12766 { 2435 /* shadd */, AArch64::SHADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12767 { 2435 /* shadd */, AArch64::SHADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12768 { 2435 /* shadd */, AArch64::SHADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12769 { 2441 /* shl */, AArch64::SHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
12770 { 2441 /* shl */, AArch64::SHLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
12771 { 2441 /* shl */, AArch64::SHLv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
12772 { 2441 /* shl */, AArch64::SHLv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
12773 { 2441 /* shl */, AArch64::SHLv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
12774 { 2441 /* shl */, AArch64::SHLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
12775 { 2441 /* shl */, AArch64::SHLv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
12776 { 2441 /* shl */, AArch64::SHLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
12777 { 2445 /* shll */, AArch64::SHLLv2i32, Convert__VectorReg1281_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK__35_32 }, },
12778 { 2445 /* shll */, AArch64::SHLLv4i16, Convert__VectorReg1281_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK__35_16 }, },
12779 { 2445 /* shll */, AArch64::SHLLv8i8, Convert__VectorReg1281_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK__35_8 }, },
12780 { 2450 /* shll2 */, AArch64::SHLLv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK__35_32 }, },
12781 { 2450 /* shll2 */, AArch64::SHLLv8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK__35_16 }, },
12782 { 2450 /* shll2 */, AArch64::SHLLv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK__35_8 }, },
12783 { 2456 /* shrn */, AArch64::SHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
12784 { 2456 /* shrn */, AArch64::SHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
12785 { 2456 /* shrn */, AArch64::SHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
12786 { 2461 /* shrn2 */, AArch64::SHRNv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
12787 { 2461 /* shrn2 */, AArch64::SHRNv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
12788 { 2461 /* shrn2 */, AArch64::SHRNv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
12789 { 2467 /* shsub */, AArch64::SHSUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12790 { 2467 /* shsub */, AArch64::SHSUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12791 { 2467 /* shsub */, AArch64::SHSUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12792 { 2467 /* shsub */, AArch64::SHSUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12793 { 2467 /* shsub */, AArch64::SHSUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12794 { 2467 /* shsub */, AArch64::SHSUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12795 { 2473 /* sli */, AArch64::SLId, Convert__Reg1_0__Tie0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
12796 { 2473 /* sli */, AArch64::SLIv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
12797 { 2473 /* sli */, AArch64::SLIv2i64_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_631_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
12798 { 2473 /* sli */, AArch64::SLIv2i32_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
12799 { 2473 /* sli */, AArch64::SLIv4i16_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
12800 { 2473 /* sli */, AArch64::SLIv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
12801 { 2473 /* sli */, AArch64::SLIv8i8_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
12802 { 2473 /* sli */, AArch64::SLIv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
12803 { 2477 /* smaddl */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
12804 { 2484 /* smax */, AArch64::SMAXv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12805 { 2484 /* smax */, AArch64::SMAXv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12806 { 2484 /* smax */, AArch64::SMAXv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12807 { 2484 /* smax */, AArch64::SMAXv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12808 { 2484 /* smax */, AArch64::SMAXv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12809 { 2484 /* smax */, AArch64::SMAXv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12810 { 2489 /* smaxp */, AArch64::SMAXPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12811 { 2489 /* smaxp */, AArch64::SMAXPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12812 { 2489 /* smaxp */, AArch64::SMAXPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12813 { 2489 /* smaxp */, AArch64::SMAXPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12814 { 2489 /* smaxp */, AArch64::SMAXPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12815 { 2489 /* smaxp */, AArch64::SMAXPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12816 { 2495 /* smaxv */, AArch64::SMAXVv16i8v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
12817 { 2495 /* smaxv */, AArch64::SMAXVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
12818 { 2495 /* smaxv */, AArch64::SMAXVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
12819 { 2495 /* smaxv */, AArch64::SMAXVv8i8v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
12820 { 2495 /* smaxv */, AArch64::SMAXVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
12821 { 2501 /* smc */, AArch64::SMC, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
12822 { 2505 /* smin */, AArch64::SMINv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12823 { 2505 /* smin */, AArch64::SMINv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12824 { 2505 /* smin */, AArch64::SMINv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12825 { 2505 /* smin */, AArch64::SMINv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12826 { 2505 /* smin */, AArch64::SMINv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12827 { 2505 /* smin */, AArch64::SMINv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12828 { 2510 /* sminp */, AArch64::SMINPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12829 { 2510 /* sminp */, AArch64::SMINPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12830 { 2510 /* sminp */, AArch64::SMINPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12831 { 2510 /* sminp */, AArch64::SMINPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12832 { 2510 /* sminp */, AArch64::SMINPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12833 { 2510 /* sminp */, AArch64::SMINPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12834 { 2516 /* sminv */, AArch64::SMINVv16i8v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
12835 { 2516 /* sminv */, AArch64::SMINVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
12836 { 2516 /* sminv */, AArch64::SMINVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
12837 { 2516 /* sminv */, AArch64::SMINVv8i8v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
12838 { 2516 /* sminv */, AArch64::SMINVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
12839 { 2522 /* smlal */, AArch64::SMLALv2i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12840 { 2522 /* smlal */, AArch64::SMLALv4i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12841 { 2522 /* smlal */, AArch64::SMLALv8i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12842 { 2522 /* smlal */, AArch64::SMLALv2i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12843 { 2522 /* smlal */, AArch64::SMLALv4i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12844 { 2528 /* smlal2 */, AArch64::SMLALv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12845 { 2528 /* smlal2 */, AArch64::SMLALv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12846 { 2528 /* smlal2 */, AArch64::SMLALv16i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12847 { 2528 /* smlal2 */, AArch64::SMLALv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12848 { 2528 /* smlal2 */, AArch64::SMLALv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12849 { 2535 /* smlsl */, AArch64::SMLSLv2i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12850 { 2535 /* smlsl */, AArch64::SMLSLv4i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12851 { 2535 /* smlsl */, AArch64::SMLSLv8i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12852 { 2535 /* smlsl */, AArch64::SMLSLv2i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12853 { 2535 /* smlsl */, AArch64::SMLSLv4i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12854 { 2541 /* smlsl2 */, AArch64::SMLSLv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12855 { 2541 /* smlsl2 */, AArch64::SMLSLv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12856 { 2541 /* smlsl2 */, AArch64::SMLSLv16i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12857 { 2541 /* smlsl2 */, AArch64::SMLSLv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12858 { 2541 /* smlsl2 */, AArch64::SMLSLv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12859 { 2548 /* smnegl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
12860 { 2555 /* smov */, AArch64::SMOVvi8to32, Convert__Reg1_1__VectorReg1281_2__VectorIndexB1_3, Feature_HasNEON, { MCK__DOT_b, MCK_GPR32, MCK_VectorReg128, MCK_VectorIndexB }, },
12861 { 2555 /* smov */, AArch64::SMOVvi8to64, Convert__Reg1_1__VectorReg1281_2__VectorIndexB1_3, Feature_HasNEON, { MCK__DOT_b, MCK_GPR64, MCK_VectorReg128, MCK_VectorIndexB }, },
12862 { 2555 /* smov */, AArch64::SMOVvi16to32, Convert__Reg1_1__VectorReg1281_2__VectorIndexH1_3, Feature_HasNEON, { MCK__DOT_h, MCK_GPR32, MCK_VectorReg128, MCK_VectorIndexH }, },
12863 { 2555 /* smov */, AArch64::SMOVvi16to64, Convert__Reg1_1__VectorReg1281_2__VectorIndexH1_3, Feature_HasNEON, { MCK__DOT_h, MCK_GPR64, MCK_VectorReg128, MCK_VectorIndexH }, },
12864 { 2555 /* smov */, AArch64::SMOVvi32to64, Convert__Reg1_1__VectorReg1281_2__VectorIndexS1_3, Feature_HasNEON, { MCK__DOT_s, MCK_GPR64, MCK_VectorReg128, MCK_VectorIndexS }, },
12865 { 2560 /* smsubl */, AArch64::SMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
12866 { 2567 /* smulh */, AArch64::SMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
12867 { 2573 /* smull */, AArch64::SMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
12868 { 2573 /* smull */, AArch64::SMULLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12869 { 2573 /* smull */, AArch64::SMULLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12870 { 2573 /* smull */, AArch64::SMULLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12871 { 2573 /* smull */, AArch64::SMULLv2i32_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12872 { 2573 /* smull */, AArch64::SMULLv4i16_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12873 { 2579 /* smull2 */, AArch64::SMULLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12874 { 2579 /* smull2 */, AArch64::SMULLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12875 { 2579 /* smull2 */, AArch64::SMULLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12876 { 2579 /* smull2 */, AArch64::SMULLv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12877 { 2579 /* smull2 */, AArch64::SMULLv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12878 { 2586 /* sqabs */, AArch64::SQABSv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
12879 { 2586 /* sqabs */, AArch64::SQABSv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
12880 { 2586 /* sqabs */, AArch64::SQABSv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
12881 { 2586 /* sqabs */, AArch64::SQABSv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
12882 { 2586 /* sqabs */, AArch64::SQABSv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12883 { 2586 /* sqabs */, AArch64::SQABSv2i64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
12884 { 2586 /* sqabs */, AArch64::SQABSv2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
12885 { 2586 /* sqabs */, AArch64::SQABSv4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12886 { 2586 /* sqabs */, AArch64::SQABSv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12887 { 2586 /* sqabs */, AArch64::SQABSv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12888 { 2586 /* sqabs */, AArch64::SQABSv8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12889 { 2592 /* sqadd */, AArch64::SQADDv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
12890 { 2592 /* sqadd */, AArch64::SQADDv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
12891 { 2592 /* sqadd */, AArch64::SQADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
12892 { 2592 /* sqadd */, AArch64::SQADDv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
12893 { 2592 /* sqadd */, AArch64::SQADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12894 { 2592 /* sqadd */, AArch64::SQADDv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12895 { 2592 /* sqadd */, AArch64::SQADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12896 { 2592 /* sqadd */, AArch64::SQADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12897 { 2592 /* sqadd */, AArch64::SQADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12898 { 2592 /* sqadd */, AArch64::SQADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12899 { 2592 /* sqadd */, AArch64::SQADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12900 { 2598 /* sqdmlal */, AArch64::SQDMLALi16, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
12901 { 2598 /* sqdmlal */, AArch64::SQDMLALi32, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
12902 { 2598 /* sqdmlal */, AArch64::SQDMLALv2i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12903 { 2598 /* sqdmlal */, AArch64::SQDMLALv4i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12904 { 2598 /* sqdmlal */, AArch64::SQDMLALv2i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12905 { 2598 /* sqdmlal */, AArch64::SQDMLALv4i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12906 { 2598 /* sqdmlal */, AArch64::SQDMLALv1i32_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_h, MCK_FPR32, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
12907 { 2598 /* sqdmlal */, AArch64::SQDMLALv1i64_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR64, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12908 { 2606 /* sqdmlal2 */, AArch64::SQDMLALv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12909 { 2606 /* sqdmlal2 */, AArch64::SQDMLALv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12910 { 2606 /* sqdmlal2 */, AArch64::SQDMLALv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12911 { 2606 /* sqdmlal2 */, AArch64::SQDMLALv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12912 { 2615 /* sqdmlsl */, AArch64::SQDMLSLi16, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
12913 { 2615 /* sqdmlsl */, AArch64::SQDMLSLi32, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
12914 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv2i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12915 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv4i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12916 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv2i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12917 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv4i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12918 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv1i32_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_h, MCK_FPR32, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
12919 { 2615 /* sqdmlsl */, AArch64::SQDMLSLv1i64_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR64, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12920 { 2623 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12921 { 2623 /* sqdmlsl2 */, AArch64::SQDMLSLv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12922 { 2623 /* sqdmlsl2 */, AArch64::SQDMLSLv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12923 { 2623 /* sqdmlsl2 */, AArch64::SQDMLSLv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12924 { 2632 /* sqdmulh */, AArch64::SQDMULHv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
12925 { 2632 /* sqdmulh */, AArch64::SQDMULHv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
12926 { 2632 /* sqdmulh */, AArch64::SQDMULHv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12927 { 2632 /* sqdmulh */, AArch64::SQDMULHv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12928 { 2632 /* sqdmulh */, AArch64::SQDMULHv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12929 { 2632 /* sqdmulh */, AArch64::SQDMULHv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12930 { 2632 /* sqdmulh */, AArch64::SQDMULHv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12931 { 2632 /* sqdmulh */, AArch64::SQDMULHv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12932 { 2632 /* sqdmulh */, AArch64::SQDMULHv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12933 { 2632 /* sqdmulh */, AArch64::SQDMULHv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12934 { 2632 /* sqdmulh */, AArch64::SQDMULHv1i16_indexed, Convert__Reg1_1__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_h, MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
12935 { 2632 /* sqdmulh */, AArch64::SQDMULHv1i32_indexed, Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12936 { 2640 /* sqdmull */, AArch64::SQDMULLi16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR16, MCK_FPR16 }, },
12937 { 2640 /* sqdmull */, AArch64::SQDMULLi32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR32, MCK_FPR32 }, },
12938 { 2640 /* sqdmull */, AArch64::SQDMULLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12939 { 2640 /* sqdmull */, AArch64::SQDMULLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
12940 { 2640 /* sqdmull */, AArch64::SQDMULLv2i32_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12941 { 2640 /* sqdmull */, AArch64::SQDMULLv4i16_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12942 { 2640 /* sqdmull */, AArch64::SQDMULLv1i32_indexed, Convert__Reg1_1__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_h, MCK_FPR32, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
12943 { 2640 /* sqdmull */, AArch64::SQDMULLv1i64_indexed, Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR64, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12944 { 2648 /* sqdmull2 */, AArch64::SQDMULLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12945 { 2648 /* sqdmull2 */, AArch64::SQDMULLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12946 { 2648 /* sqdmull2 */, AArch64::SQDMULLv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12947 { 2648 /* sqdmull2 */, AArch64::SQDMULLv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12948 { 2657 /* sqneg */, AArch64::SQNEGv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
12949 { 2657 /* sqneg */, AArch64::SQNEGv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
12950 { 2657 /* sqneg */, AArch64::SQNEGv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
12951 { 2657 /* sqneg */, AArch64::SQNEGv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
12952 { 2657 /* sqneg */, AArch64::SQNEGv16i8, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
12953 { 2657 /* sqneg */, AArch64::SQNEGv2i64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
12954 { 2657 /* sqneg */, AArch64::SQNEGv2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
12955 { 2657 /* sqneg */, AArch64::SQNEGv4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
12956 { 2657 /* sqneg */, AArch64::SQNEGv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
12957 { 2657 /* sqneg */, AArch64::SQNEGv8i8, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
12958 { 2657 /* sqneg */, AArch64::SQNEGv8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
12959 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv1i16, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasV8_1a, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
12960 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv1i32, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasV8_1a, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
12961 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12962 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12963 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12964 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12965 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv2i32_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12966 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv4i16_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12967 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12968 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12969 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHi16_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_h, MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
12970 { 2663 /* sqrdmlah */, AArch64::SQRDMLAHi32_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_s, MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12971 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv1i16, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasV8_1a, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
12972 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv1i32, Convert__Reg1_0__Tie0__Reg1_1__Reg1_2, Feature_HasV8_1a, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
12973 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12974 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12975 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12976 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12977 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv2i32_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12978 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv4i16_indexed, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12979 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12980 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12981 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHi16_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_h, MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
12982 { 2672 /* sqrdmlsh */, AArch64::SQRDMLSHi32_indexed, Convert__Reg1_1__Tie0__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON|Feature_HasV8_1a, { MCK__DOT_s, MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12983 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
12984 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
12985 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12986 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
12987 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12988 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
12989 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv2i32_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
12990 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv4i16_indexed, Convert__VectorReg641_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
12991 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
12992 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
12993 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv1i16_indexed, Convert__Reg1_1__Reg1_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_h, MCK_FPR16, MCK_FPR16, MCK_VectorRegLo, MCK_VectorIndexH }, },
12994 { 2681 /* sqrdmulh */, AArch64::SQRDMULHv1i32_indexed, Convert__Reg1_1__Reg1_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_s, MCK_FPR32, MCK_FPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
12995 { 2690 /* sqrshl */, AArch64::SQRSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
12996 { 2690 /* sqrshl */, AArch64::SQRSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
12997 { 2690 /* sqrshl */, AArch64::SQRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
12998 { 2690 /* sqrshl */, AArch64::SQRSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
12999 { 2690 /* sqrshl */, AArch64::SQRSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13000 { 2690 /* sqrshl */, AArch64::SQRSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13001 { 2690 /* sqrshl */, AArch64::SQRSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13002 { 2690 /* sqrshl */, AArch64::SQRSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13003 { 2690 /* sqrshl */, AArch64::SQRSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13004 { 2690 /* sqrshl */, AArch64::SQRSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13005 { 2690 /* sqrshl */, AArch64::SQRSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13006 { 2697 /* sqrshrn */, AArch64::SQRSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
13007 { 2697 /* sqrshrn */, AArch64::SQRSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
13008 { 2697 /* sqrshrn */, AArch64::SQRSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
13009 { 2697 /* sqrshrn */, AArch64::SQRSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
13010 { 2697 /* sqrshrn */, AArch64::SQRSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
13011 { 2697 /* sqrshrn */, AArch64::SQRSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
13012 { 2705 /* sqrshrn2 */, AArch64::SQRSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13013 { 2705 /* sqrshrn2 */, AArch64::SQRSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13014 { 2705 /* sqrshrn2 */, AArch64::SQRSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13015 { 2714 /* sqrshrun */, AArch64::SQRSHRUNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
13016 { 2714 /* sqrshrun */, AArch64::SQRSHRUNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
13017 { 2714 /* sqrshrun */, AArch64::SQRSHRUNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
13018 { 2714 /* sqrshrun */, AArch64::SQRSHRUNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
13019 { 2714 /* sqrshrun */, AArch64::SQRSHRUNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
13020 { 2714 /* sqrshrun */, AArch64::SQRSHRUNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
13021 { 2723 /* sqrshrun2 */, AArch64::SQRSHRUNv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13022 { 2723 /* sqrshrun2 */, AArch64::SQRSHRUNv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13023 { 2723 /* sqrshrun2 */, AArch64::SQRSHRUNv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13024 { 2733 /* sqshl */, AArch64::SQSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
13025 { 2733 /* sqshl */, AArch64::SQSHLh, Convert__Reg1_0__Reg1_1__Imm0_151_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
13026 { 2733 /* sqshl */, AArch64::SQSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
13027 { 2733 /* sqshl */, AArch64::SQSHLs, Convert__Reg1_0__Reg1_1__Imm0_311_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
13028 { 2733 /* sqshl */, AArch64::SQSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13029 { 2733 /* sqshl */, AArch64::SQSHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
13030 { 2733 /* sqshl */, AArch64::SQSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
13031 { 2733 /* sqshl */, AArch64::SQSHLb, Convert__Reg1_0__Reg1_1__Imm0_71_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
13032 { 2733 /* sqshl */, AArch64::SQSHLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
13033 { 2733 /* sqshl */, AArch64::SQSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13034 { 2733 /* sqshl */, AArch64::SQSHLv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
13035 { 2733 /* sqshl */, AArch64::SQSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13036 { 2733 /* sqshl */, AArch64::SQSHLv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
13037 { 2733 /* sqshl */, AArch64::SQSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13038 { 2733 /* sqshl */, AArch64::SQSHLv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
13039 { 2733 /* sqshl */, AArch64::SQSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13040 { 2733 /* sqshl */, AArch64::SQSHLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
13041 { 2733 /* sqshl */, AArch64::SQSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13042 { 2733 /* sqshl */, AArch64::SQSHLv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
13043 { 2733 /* sqshl */, AArch64::SQSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13044 { 2733 /* sqshl */, AArch64::SQSHLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
13045 { 2733 /* sqshl */, AArch64::SQSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13046 { 2739 /* sqshlu */, AArch64::SQSHLUh, Convert__Reg1_0__Reg1_1__Imm0_151_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
13047 { 2739 /* sqshlu */, AArch64::SQSHLUs, Convert__Reg1_0__Reg1_1__Imm0_311_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
13048 { 2739 /* sqshlu */, AArch64::SQSHLUd, Convert__Reg1_0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
13049 { 2739 /* sqshlu */, AArch64::SQSHLUb, Convert__Reg1_0__Reg1_1__Imm0_71_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
13050 { 2739 /* sqshlu */, AArch64::SQSHLUv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
13051 { 2739 /* sqshlu */, AArch64::SQSHLUv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
13052 { 2739 /* sqshlu */, AArch64::SQSHLUv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
13053 { 2739 /* sqshlu */, AArch64::SQSHLUv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
13054 { 2739 /* sqshlu */, AArch64::SQSHLUv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
13055 { 2739 /* sqshlu */, AArch64::SQSHLUv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
13056 { 2739 /* sqshlu */, AArch64::SQSHLUv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
13057 { 2746 /* sqshrn */, AArch64::SQSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
13058 { 2746 /* sqshrn */, AArch64::SQSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
13059 { 2746 /* sqshrn */, AArch64::SQSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
13060 { 2746 /* sqshrn */, AArch64::SQSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
13061 { 2746 /* sqshrn */, AArch64::SQSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
13062 { 2746 /* sqshrn */, AArch64::SQSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
13063 { 2753 /* sqshrn2 */, AArch64::SQSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13064 { 2753 /* sqshrn2 */, AArch64::SQSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13065 { 2753 /* sqshrn2 */, AArch64::SQSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13066 { 2761 /* sqshrun */, AArch64::SQSHRUNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
13067 { 2761 /* sqshrun */, AArch64::SQSHRUNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
13068 { 2761 /* sqshrun */, AArch64::SQSHRUNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
13069 { 2761 /* sqshrun */, AArch64::SQSHRUNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
13070 { 2761 /* sqshrun */, AArch64::SQSHRUNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
13071 { 2761 /* sqshrun */, AArch64::SQSHRUNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
13072 { 2769 /* sqshrun2 */, AArch64::SQSHRUNv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13073 { 2769 /* sqshrun2 */, AArch64::SQSHRUNv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13074 { 2769 /* sqshrun2 */, AArch64::SQSHRUNv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13075 { 2778 /* sqsub */, AArch64::SQSUBv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
13076 { 2778 /* sqsub */, AArch64::SQSUBv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
13077 { 2778 /* sqsub */, AArch64::SQSUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13078 { 2778 /* sqsub */, AArch64::SQSUBv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
13079 { 2778 /* sqsub */, AArch64::SQSUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13080 { 2778 /* sqsub */, AArch64::SQSUBv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13081 { 2778 /* sqsub */, AArch64::SQSUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13082 { 2778 /* sqsub */, AArch64::SQSUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13083 { 2778 /* sqsub */, AArch64::SQSUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13084 { 2778 /* sqsub */, AArch64::SQSUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13085 { 2778 /* sqsub */, AArch64::SQSUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13086 { 2784 /* sqxtn */, AArch64::SQXTNv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
13087 { 2784 /* sqxtn */, AArch64::SQXTNv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
13088 { 2784 /* sqxtn */, AArch64::SQXTNv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
13089 { 2784 /* sqxtn */, AArch64::SQXTNv2i32, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128 }, },
13090 { 2784 /* sqxtn */, AArch64::SQXTNv4i16, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128 }, },
13091 { 2784 /* sqxtn */, AArch64::SQXTNv8i8, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128 }, },
13092 { 2790 /* sqxtn2 */, AArch64::SQXTNv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
13093 { 2790 /* sqxtn2 */, AArch64::SQXTNv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
13094 { 2790 /* sqxtn2 */, AArch64::SQXTNv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
13095 { 2797 /* sqxtun */, AArch64::SQXTUNv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
13096 { 2797 /* sqxtun */, AArch64::SQXTUNv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
13097 { 2797 /* sqxtun */, AArch64::SQXTUNv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
13098 { 2797 /* sqxtun */, AArch64::SQXTUNv2i32, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128 }, },
13099 { 2797 /* sqxtun */, AArch64::SQXTUNv4i16, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128 }, },
13100 { 2797 /* sqxtun */, AArch64::SQXTUNv8i8, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128 }, },
13101 { 2804 /* sqxtun2 */, AArch64::SQXTUNv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
13102 { 2804 /* sqxtun2 */, AArch64::SQXTUNv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
13103 { 2804 /* sqxtun2 */, AArch64::SQXTUNv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
13104 { 2812 /* srhadd */, AArch64::SRHADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13105 { 2812 /* srhadd */, AArch64::SRHADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13106 { 2812 /* srhadd */, AArch64::SRHADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13107 { 2812 /* srhadd */, AArch64::SRHADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13108 { 2812 /* srhadd */, AArch64::SRHADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13109 { 2812 /* srhadd */, AArch64::SRHADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13110 { 2819 /* sri */, AArch64::SRId, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
13111 { 2819 /* sri */, AArch64::SRIv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13112 { 2819 /* sri */, AArch64::SRIv2i64_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
13113 { 2819 /* sri */, AArch64::SRIv2i32_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
13114 { 2819 /* sri */, AArch64::SRIv4i16_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
13115 { 2819 /* sri */, AArch64::SRIv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13116 { 2819 /* sri */, AArch64::SRIv8i8_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
13117 { 2819 /* sri */, AArch64::SRIv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13118 { 2823 /* srshl */, AArch64::SRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13119 { 2823 /* srshl */, AArch64::SRSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13120 { 2823 /* srshl */, AArch64::SRSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13121 { 2823 /* srshl */, AArch64::SRSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13122 { 2823 /* srshl */, AArch64::SRSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13123 { 2823 /* srshl */, AArch64::SRSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13124 { 2823 /* srshl */, AArch64::SRSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13125 { 2823 /* srshl */, AArch64::SRSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13126 { 2829 /* srshr */, AArch64::SRSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
13127 { 2829 /* srshr */, AArch64::SRSHRv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13128 { 2829 /* srshr */, AArch64::SRSHRv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
13129 { 2829 /* srshr */, AArch64::SRSHRv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
13130 { 2829 /* srshr */, AArch64::SRSHRv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
13131 { 2829 /* srshr */, AArch64::SRSHRv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13132 { 2829 /* srshr */, AArch64::SRSHRv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
13133 { 2829 /* srshr */, AArch64::SRSHRv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13134 { 2835 /* srsra */, AArch64::SRSRAd, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
13135 { 2835 /* srsra */, AArch64::SRSRAv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13136 { 2835 /* srsra */, AArch64::SRSRAv2i64_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
13137 { 2835 /* srsra */, AArch64::SRSRAv2i32_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
13138 { 2835 /* srsra */, AArch64::SRSRAv4i16_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
13139 { 2835 /* srsra */, AArch64::SRSRAv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13140 { 2835 /* srsra */, AArch64::SRSRAv8i8_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
13141 { 2835 /* srsra */, AArch64::SRSRAv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13142 { 2841 /* sshl */, AArch64::SSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13143 { 2841 /* sshl */, AArch64::SSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13144 { 2841 /* sshl */, AArch64::SSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13145 { 2841 /* sshl */, AArch64::SSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13146 { 2841 /* sshl */, AArch64::SSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13147 { 2841 /* sshl */, AArch64::SSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13148 { 2841 /* sshl */, AArch64::SSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13149 { 2841 /* sshl */, AArch64::SSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13150 { 2846 /* sshll */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_31 }, },
13151 { 2846 /* sshll */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_15 }, },
13152 { 2846 /* sshll */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_7 }, },
13153 { 2852 /* sshll2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
13154 { 2852 /* sshll2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
13155 { 2852 /* sshll2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
13156 { 2859 /* sshr */, AArch64::SSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
13157 { 2859 /* sshr */, AArch64::SSHRv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13158 { 2859 /* sshr */, AArch64::SSHRv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
13159 { 2859 /* sshr */, AArch64::SSHRv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
13160 { 2859 /* sshr */, AArch64::SSHRv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
13161 { 2859 /* sshr */, AArch64::SSHRv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13162 { 2859 /* sshr */, AArch64::SSHRv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
13163 { 2859 /* sshr */, AArch64::SSHRv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13164 { 2864 /* ssra */, AArch64::SSRAd, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
13165 { 2864 /* ssra */, AArch64::SSRAv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
13166 { 2864 /* ssra */, AArch64::SSRAv2i64_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
13167 { 2864 /* ssra */, AArch64::SSRAv2i32_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
13168 { 2864 /* ssra */, AArch64::SSRAv4i16_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
13169 { 2864 /* ssra */, AArch64::SSRAv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
13170 { 2864 /* ssra */, AArch64::SSRAv8i8_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
13171 { 2864 /* ssra */, AArch64::SSRAv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
13172 { 2869 /* ssubl */, AArch64::SSUBLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13173 { 2869 /* ssubl */, AArch64::SSUBLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13174 { 2869 /* ssubl */, AArch64::SSUBLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13175 { 2875 /* ssubl2 */, AArch64::SSUBLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13176 { 2875 /* ssubl2 */, AArch64::SSUBLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13177 { 2875 /* ssubl2 */, AArch64::SSUBLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13178 { 2882 /* ssubw */, AArch64::SSUBWv2i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
13179 { 2882 /* ssubw */, AArch64::SSUBWv4i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
13180 { 2882 /* ssubw */, AArch64::SSUBWv8i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
13181 { 2888 /* ssubw2 */, AArch64::SSUBWv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13182 { 2888 /* ssubw2 */, AArch64::SSUBWv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13183 { 2888 /* ssubw2 */, AArch64::SSUBWv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13184 { 2895 /* st1 */, AArch64::ST1Fourv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13185 { 2895 /* st1 */, AArch64::ST1Fourv1d, Convert__TypedVectorList4_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13186 { 2895 /* st1 */, AArch64::ST1Fourv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13187 { 2895 /* st1 */, AArch64::ST1Fourv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13188 { 2895 /* st1 */, AArch64::ST1Fourv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13189 { 2895 /* st1 */, AArch64::ST1Fourv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13190 { 2895 /* st1 */, AArch64::ST1Fourv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13191 { 2895 /* st1 */, AArch64::ST1Fourv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13192 { 2895 /* st1 */, AArch64::ST1Onev16b, Convert__TypedVectorList1_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13193 { 2895 /* st1 */, AArch64::ST1Onev1d, Convert__TypedVectorList1_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13194 { 2895 /* st1 */, AArch64::ST1Onev2d, Convert__TypedVectorList1_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13195 { 2895 /* st1 */, AArch64::ST1Onev2s, Convert__TypedVectorList1_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13196 { 2895 /* st1 */, AArch64::ST1Onev4h, Convert__TypedVectorList1_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13197 { 2895 /* st1 */, AArch64::ST1Onev4s, Convert__TypedVectorList1_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13198 { 2895 /* st1 */, AArch64::ST1Onev8b, Convert__TypedVectorList1_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13199 { 2895 /* st1 */, AArch64::ST1Onev8h, Convert__TypedVectorList1_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13200 { 2895 /* st1 */, AArch64::ST1Threev16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13201 { 2895 /* st1 */, AArch64::ST1Threev1d, Convert__TypedVectorList3_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13202 { 2895 /* st1 */, AArch64::ST1Threev2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13203 { 2895 /* st1 */, AArch64::ST1Threev2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13204 { 2895 /* st1 */, AArch64::ST1Threev4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13205 { 2895 /* st1 */, AArch64::ST1Threev4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13206 { 2895 /* st1 */, AArch64::ST1Threev8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13207 { 2895 /* st1 */, AArch64::ST1Threev8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13208 { 2895 /* st1 */, AArch64::ST1Twov16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13209 { 2895 /* st1 */, AArch64::ST1Twov1d, Convert__TypedVectorList2_1d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13210 { 2895 /* st1 */, AArch64::ST1Twov2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13211 { 2895 /* st1 */, AArch64::ST1Twov2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13212 { 2895 /* st1 */, AArch64::ST1Twov4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13213 { 2895 /* st1 */, AArch64::ST1Twov4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13214 { 2895 /* st1 */, AArch64::ST1Twov8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13215 { 2895 /* st1 */, AArch64::ST1Twov8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13216 { 2895 /* st1 */, AArch64::ST1Fourv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13217 { 2895 /* st1 */, AArch64::ST1Onev16b, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13218 { 2895 /* st1 */, AArch64::ST1Threev16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13219 { 2895 /* st1 */, AArch64::ST1Twov16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13220 { 2895 /* st1 */, AArch64::ST1Fourv1d, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13221 { 2895 /* st1 */, AArch64::ST1Onev1d, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13222 { 2895 /* st1 */, AArch64::ST1Threev1d, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13223 { 2895 /* st1 */, AArch64::ST1Twov1d, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13224 { 2895 /* st1 */, AArch64::ST1Fourv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13225 { 2895 /* st1 */, AArch64::ST1Onev2d, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13226 { 2895 /* st1 */, AArch64::ST1Threev2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13227 { 2895 /* st1 */, AArch64::ST1Twov2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13228 { 2895 /* st1 */, AArch64::ST1Fourv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13229 { 2895 /* st1 */, AArch64::ST1Onev2s, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13230 { 2895 /* st1 */, AArch64::ST1Threev2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13231 { 2895 /* st1 */, AArch64::ST1Twov2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13232 { 2895 /* st1 */, AArch64::ST1Fourv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13233 { 2895 /* st1 */, AArch64::ST1Onev4h, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13234 { 2895 /* st1 */, AArch64::ST1Threev4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13235 { 2895 /* st1 */, AArch64::ST1Twov4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13236 { 2895 /* st1 */, AArch64::ST1Fourv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13237 { 2895 /* st1 */, AArch64::ST1Onev4s, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13238 { 2895 /* st1 */, AArch64::ST1Threev4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13239 { 2895 /* st1 */, AArch64::ST1Twov4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13240 { 2895 /* st1 */, AArch64::ST1Fourv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13241 { 2895 /* st1 */, AArch64::ST1Onev8b, Convert__VecListOne641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13242 { 2895 /* st1 */, AArch64::ST1Threev8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13243 { 2895 /* st1 */, AArch64::ST1Twov8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13244 { 2895 /* st1 */, AArch64::ST1Fourv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13245 { 2895 /* st1 */, AArch64::ST1Onev8h, Convert__VecListOne1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13246 { 2895 /* st1 */, AArch64::ST1Threev8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13247 { 2895 /* st1 */, AArch64::ST1Twov8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13248 { 2895 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13249 { 2895 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13250 { 2895 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13251 { 2895 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_2__TypedVectorList4_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13252 { 2895 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13253 { 2895 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13254 { 2895 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13255 { 2895 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13256 { 2895 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13257 { 2895 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13258 { 2895 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13259 { 2895 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13260 { 2895 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13261 { 2895 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13262 { 2895 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13263 { 2895 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13264 { 2895 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13265 { 2895 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_2__TypedVectorList1_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13266 { 2895 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13267 { 2895 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_2__TypedVectorList1_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13268 { 2895 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13269 { 2895 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_2__TypedVectorList1_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13270 { 2895 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13271 { 2895 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_2__TypedVectorList1_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13272 { 2895 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13273 { 2895 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_2__TypedVectorList1_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13274 { 2895 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13275 { 2895 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_2__TypedVectorList1_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13276 { 2895 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13277 { 2895 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_2__TypedVectorList1_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13278 { 2895 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13279 { 2895 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_2__TypedVectorList1_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList1_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13280 { 2895 /* st1 */, AArch64::ST1i8, Convert__TypedVectorList1_0b1_0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13281 { 2895 /* st1 */, AArch64::ST1i64, Convert__TypedVectorList1_0d1_0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13282 { 2895 /* st1 */, AArch64::ST1i16, Convert__TypedVectorList1_0h1_0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13283 { 2895 /* st1 */, AArch64::ST1i32, Convert__TypedVectorList1_0s1_0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13284 { 2895 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13285 { 2895 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13286 { 2895 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13287 { 2895 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_2__TypedVectorList3_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13288 { 2895 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13289 { 2895 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13290 { 2895 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13291 { 2895 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13292 { 2895 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13293 { 2895 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13294 { 2895 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13295 { 2895 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13296 { 2895 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13297 { 2895 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13298 { 2895 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13299 { 2895 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13300 { 2895 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13301 { 2895 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13302 { 2895 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13303 { 2895 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_2__TypedVectorList2_1d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_1d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13304 { 2895 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13305 { 2895 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13306 { 2895 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13307 { 2895 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13308 { 2895 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13309 { 2895 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13310 { 2895 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13311 { 2895 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13312 { 2895 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13313 { 2895 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13314 { 2895 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13315 { 2895 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13316 { 2895 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13317 { 2895 /* st1 */, AArch64::ST1Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13318 { 2895 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13319 { 2895 /* st1 */, AArch64::ST1Onev16b_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13320 { 2895 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13321 { 2895 /* st1 */, AArch64::ST1Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13322 { 2895 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13323 { 2895 /* st1 */, AArch64::ST1Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13324 { 2895 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13325 { 2895 /* st1 */, AArch64::ST1Fourv1d_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13326 { 2895 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13327 { 2895 /* st1 */, AArch64::ST1Onev1d_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13328 { 2895 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13329 { 2895 /* st1 */, AArch64::ST1Threev1d_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13330 { 2895 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13331 { 2895 /* st1 */, AArch64::ST1Twov1d_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_1d, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13332 { 2895 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13333 { 2895 /* st1 */, AArch64::ST1Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13334 { 2895 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13335 { 2895 /* st1 */, AArch64::ST1Onev2d_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13336 { 2895 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13337 { 2895 /* st1 */, AArch64::ST1Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13338 { 2895 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13339 { 2895 /* st1 */, AArch64::ST1Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13340 { 2895 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13341 { 2895 /* st1 */, AArch64::ST1Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13342 { 2895 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13343 { 2895 /* st1 */, AArch64::ST1Onev2s_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13344 { 2895 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13345 { 2895 /* st1 */, AArch64::ST1Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13346 { 2895 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13347 { 2895 /* st1 */, AArch64::ST1Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13348 { 2895 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13349 { 2895 /* st1 */, AArch64::ST1Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13350 { 2895 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13351 { 2895 /* st1 */, AArch64::ST1Onev4h_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13352 { 2895 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13353 { 2895 /* st1 */, AArch64::ST1Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13354 { 2895 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13355 { 2895 /* st1 */, AArch64::ST1Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13356 { 2895 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13357 { 2895 /* st1 */, AArch64::ST1Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13358 { 2895 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13359 { 2895 /* st1 */, AArch64::ST1Onev4s_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13360 { 2895 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13361 { 2895 /* st1 */, AArch64::ST1Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13362 { 2895 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13363 { 2895 /* st1 */, AArch64::ST1Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13364 { 2895 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13365 { 2895 /* st1 */, AArch64::ST1Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13366 { 2895 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13367 { 2895 /* st1 */, AArch64::ST1Onev8b_POST, Convert__Reg1_3__VecListOne641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListOne64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13368 { 2895 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13369 { 2895 /* st1 */, AArch64::ST1Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13370 { 2895 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13371 { 2895 /* st1 */, AArch64::ST1Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13372 { 2895 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13373 { 2895 /* st1 */, AArch64::ST1Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13374 { 2895 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13375 { 2895 /* st1 */, AArch64::ST1Onev8h_POST, Convert__Reg1_3__VecListOne1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListOne128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13376 { 2895 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13377 { 2895 /* st1 */, AArch64::ST1Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13378 { 2895 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13379 { 2895 /* st1 */, AArch64::ST1Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13380 { 2895 /* st1 */, AArch64::ST1i8, Convert__VecListOne1281_1__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13381 { 2895 /* st1 */, AArch64::ST1i64, Convert__VecListOne1281_1__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13382 { 2895 /* st1 */, AArch64::ST1i16, Convert__VecListOne1281_1__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13383 { 2895 /* st1 */, AArch64::ST1i32, Convert__VecListOne1281_1__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13384 { 2895 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_3__TypedVectorList1_0b1_0__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
13385 { 2895 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_3__TypedVectorList1_0b1_0__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13386 { 2895 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_3__TypedVectorList1_0d1_0__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13387 { 2895 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_3__TypedVectorList1_0d1_0__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13388 { 2895 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_3__TypedVectorList1_0h1_0__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
13389 { 2895 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_3__TypedVectorList1_0h1_0__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13390 { 2895 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_3__TypedVectorList1_0s1_0__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
13391 { 2895 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_3__TypedVectorList1_0s1_0__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList1_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13392 { 2895 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_1 }, },
13393 { 2895 /* st1 */, AArch64::ST1i8_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListOne128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13394 { 2895 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13395 { 2895 /* st1 */, AArch64::ST1i64_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListOne128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13396 { 2895 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
13397 { 2895 /* st1 */, AArch64::ST1i16_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListOne128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13398 { 2895 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
13399 { 2895 /* st1 */, AArch64::ST1i32_POST, Convert__Reg1_4__VecListOne1281_1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListOne128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13400 { 2899 /* st2 */, AArch64::ST2Twov16b, Convert__TypedVectorList2_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13401 { 2899 /* st2 */, AArch64::ST2Twov2d, Convert__TypedVectorList2_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13402 { 2899 /* st2 */, AArch64::ST2Twov2s, Convert__TypedVectorList2_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13403 { 2899 /* st2 */, AArch64::ST2Twov4h, Convert__TypedVectorList2_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13404 { 2899 /* st2 */, AArch64::ST2Twov4s, Convert__TypedVectorList2_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13405 { 2899 /* st2 */, AArch64::ST2Twov8b, Convert__TypedVectorList2_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13406 { 2899 /* st2 */, AArch64::ST2Twov8h, Convert__TypedVectorList2_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13407 { 2899 /* st2 */, AArch64::ST2Twov16b, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13408 { 2899 /* st2 */, AArch64::ST2Twov2d, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13409 { 2899 /* st2 */, AArch64::ST2Twov2s, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13410 { 2899 /* st2 */, AArch64::ST2Twov4h, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13411 { 2899 /* st2 */, AArch64::ST2Twov4s, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13412 { 2899 /* st2 */, AArch64::ST2Twov8b, Convert__VecListTwo641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13413 { 2899 /* st2 */, AArch64::ST2Twov8h, Convert__VecListTwo1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13414 { 2899 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13415 { 2899 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_2__TypedVectorList2_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13416 { 2899 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13417 { 2899 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_2__TypedVectorList2_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13418 { 2899 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13419 { 2899 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_2__TypedVectorList2_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13420 { 2899 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13421 { 2899 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_2__TypedVectorList2_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13422 { 2899 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13423 { 2899 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_2__TypedVectorList2_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13424 { 2899 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13425 { 2899 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_2__TypedVectorList2_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13426 { 2899 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13427 { 2899 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_2__TypedVectorList2_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList2_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13428 { 2899 /* st2 */, AArch64::ST2i8, Convert__TypedVectorList2_0b1_0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13429 { 2899 /* st2 */, AArch64::ST2i64, Convert__TypedVectorList2_0d1_0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13430 { 2899 /* st2 */, AArch64::ST2i16, Convert__TypedVectorList2_0h1_0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13431 { 2899 /* st2 */, AArch64::ST2i32, Convert__TypedVectorList2_0s1_0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13432 { 2899 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13433 { 2899 /* st2 */, AArch64::ST2Twov16b_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13434 { 2899 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13435 { 2899 /* st2 */, AArch64::ST2Twov2d_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13436 { 2899 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13437 { 2899 /* st2 */, AArch64::ST2Twov2s_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13438 { 2899 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13439 { 2899 /* st2 */, AArch64::ST2Twov4h_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13440 { 2899 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13441 { 2899 /* st2 */, AArch64::ST2Twov4s_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13442 { 2899 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13443 { 2899 /* st2 */, AArch64::ST2Twov8b_POST, Convert__Reg1_3__VecListTwo641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListTwo64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13444 { 2899 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13445 { 2899 /* st2 */, AArch64::ST2Twov8h_POST, Convert__Reg1_3__VecListTwo1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListTwo128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13446 { 2899 /* st2 */, AArch64::ST2i8, Convert__VecListTwo1281_1__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13447 { 2899 /* st2 */, AArch64::ST2i64, Convert__VecListTwo1281_1__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13448 { 2899 /* st2 */, AArch64::ST2i16, Convert__VecListTwo1281_1__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13449 { 2899 /* st2 */, AArch64::ST2i32, Convert__VecListTwo1281_1__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13450 { 2899 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_3__TypedVectorList2_0b1_0__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
13451 { 2899 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_3__TypedVectorList2_0b1_0__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13452 { 2899 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_3__TypedVectorList2_0d1_0__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13453 { 2899 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_3__TypedVectorList2_0d1_0__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13454 { 2899 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_3__TypedVectorList2_0h1_0__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
13455 { 2899 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_3__TypedVectorList2_0h1_0__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13456 { 2899 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_3__TypedVectorList2_0s1_0__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13457 { 2899 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_3__TypedVectorList2_0s1_0__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList2_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13458 { 2899 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_2 }, },
13459 { 2899 /* st2 */, AArch64::ST2i8_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListTwo128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13460 { 2899 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13461 { 2899 /* st2 */, AArch64::ST2i64_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListTwo128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13462 { 2899 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
13463 { 2899 /* st2 */, AArch64::ST2i16_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListTwo128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13464 { 2899 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13465 { 2899 /* st2 */, AArch64::ST2i32_POST, Convert__Reg1_4__VecListTwo1281_1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListTwo128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13466 { 2903 /* st3 */, AArch64::ST3Threev16b, Convert__TypedVectorList3_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13467 { 2903 /* st3 */, AArch64::ST3Threev2d, Convert__TypedVectorList3_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13468 { 2903 /* st3 */, AArch64::ST3Threev2s, Convert__TypedVectorList3_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13469 { 2903 /* st3 */, AArch64::ST3Threev4h, Convert__TypedVectorList3_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13470 { 2903 /* st3 */, AArch64::ST3Threev4s, Convert__TypedVectorList3_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13471 { 2903 /* st3 */, AArch64::ST3Threev8b, Convert__TypedVectorList3_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13472 { 2903 /* st3 */, AArch64::ST3Threev8h, Convert__TypedVectorList3_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13473 { 2903 /* st3 */, AArch64::ST3Threev16b, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13474 { 2903 /* st3 */, AArch64::ST3Threev2d, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13475 { 2903 /* st3 */, AArch64::ST3Threev2s, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13476 { 2903 /* st3 */, AArch64::ST3Threev4h, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13477 { 2903 /* st3 */, AArch64::ST3Threev4s, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13478 { 2903 /* st3 */, AArch64::ST3Threev8b, Convert__VecListThree641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13479 { 2903 /* st3 */, AArch64::ST3Threev8h, Convert__VecListThree1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13480 { 2903 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13481 { 2903 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_2__TypedVectorList3_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13482 { 2903 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13483 { 2903 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_2__TypedVectorList3_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13484 { 2903 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13485 { 2903 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_2__TypedVectorList3_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13486 { 2903 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13487 { 2903 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_2__TypedVectorList3_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13488 { 2903 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13489 { 2903 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_2__TypedVectorList3_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13490 { 2903 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13491 { 2903 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_2__TypedVectorList3_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13492 { 2903 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13493 { 2903 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_2__TypedVectorList3_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList3_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13494 { 2903 /* st3 */, AArch64::ST3i8, Convert__TypedVectorList3_0b1_0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13495 { 2903 /* st3 */, AArch64::ST3i64, Convert__TypedVectorList3_0d1_0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13496 { 2903 /* st3 */, AArch64::ST3i16, Convert__TypedVectorList3_0h1_0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13497 { 2903 /* st3 */, AArch64::ST3i32, Convert__TypedVectorList3_0s1_0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13498 { 2903 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13499 { 2903 /* st3 */, AArch64::ST3Threev16b_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13500 { 2903 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13501 { 2903 /* st3 */, AArch64::ST3Threev2d_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13502 { 2903 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13503 { 2903 /* st3 */, AArch64::ST3Threev2s_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13504 { 2903 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13505 { 2903 /* st3 */, AArch64::ST3Threev4h_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13506 { 2903 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13507 { 2903 /* st3 */, AArch64::ST3Threev4s_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13508 { 2903 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13509 { 2903 /* st3 */, AArch64::ST3Threev8b_POST, Convert__Reg1_3__VecListThree641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListThree64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13510 { 2903 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_48 }, },
13511 { 2903 /* st3 */, AArch64::ST3Threev8h_POST, Convert__Reg1_3__VecListThree1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListThree128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13512 { 2903 /* st3 */, AArch64::ST3i8, Convert__VecListThree1281_1__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13513 { 2903 /* st3 */, AArch64::ST3i64, Convert__VecListThree1281_1__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13514 { 2903 /* st3 */, AArch64::ST3i16, Convert__VecListThree1281_1__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13515 { 2903 /* st3 */, AArch64::ST3i32, Convert__VecListThree1281_1__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13516 { 2903 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_3__TypedVectorList3_0b1_0__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
13517 { 2903 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_3__TypedVectorList3_0b1_0__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13518 { 2903 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_3__TypedVectorList3_0d1_0__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13519 { 2903 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_3__TypedVectorList3_0d1_0__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13520 { 2903 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_3__TypedVectorList3_0h1_0__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
13521 { 2903 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_3__TypedVectorList3_0h1_0__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13522 { 2903 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_3__TypedVectorList3_0s1_0__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
13523 { 2903 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_3__TypedVectorList3_0s1_0__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList3_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13524 { 2903 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_3 }, },
13525 { 2903 /* st3 */, AArch64::ST3i8_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListThree128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13526 { 2903 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_24 }, },
13527 { 2903 /* st3 */, AArch64::ST3i64_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListThree128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13528 { 2903 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_6 }, },
13529 { 2903 /* st3 */, AArch64::ST3i16_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListThree128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13530 { 2903 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_12 }, },
13531 { 2903 /* st3 */, AArch64::ST3i32_POST, Convert__Reg1_4__VecListThree1281_1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListThree128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13532 { 2907 /* st4 */, AArch64::ST4Fourv16b, Convert__TypedVectorList4_16b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13533 { 2907 /* st4 */, AArch64::ST4Fourv2d, Convert__TypedVectorList4_2d1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13534 { 2907 /* st4 */, AArch64::ST4Fourv2s, Convert__TypedVectorList4_2s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13535 { 2907 /* st4 */, AArch64::ST4Fourv4h, Convert__TypedVectorList4_4h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13536 { 2907 /* st4 */, AArch64::ST4Fourv4s, Convert__TypedVectorList4_4s1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13537 { 2907 /* st4 */, AArch64::ST4Fourv8b, Convert__TypedVectorList4_8b1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13538 { 2907 /* st4 */, AArch64::ST4Fourv8h, Convert__TypedVectorList4_8h1_0__Reg1_2, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13539 { 2907 /* st4 */, AArch64::ST4Fourv16b, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13540 { 2907 /* st4 */, AArch64::ST4Fourv2d, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13541 { 2907 /* st4 */, AArch64::ST4Fourv2s, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13542 { 2907 /* st4 */, AArch64::ST4Fourv4h, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13543 { 2907 /* st4 */, AArch64::ST4Fourv4s, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13544 { 2907 /* st4 */, AArch64::ST4Fourv8b, Convert__VecListFour641_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13545 { 2907 /* st4 */, AArch64::ST4Fourv8h, Convert__VecListFour1281_1__Reg1_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13546 { 2907 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13547 { 2907 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_2__TypedVectorList4_16b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_16b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13548 { 2907 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13549 { 2907 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_2__TypedVectorList4_2d1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2d, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13550 { 2907 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13551 { 2907 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_2__TypedVectorList4_2s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_2s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13552 { 2907 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13553 { 2907 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_2__TypedVectorList4_4h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13554 { 2907 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13555 { 2907 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_2__TypedVectorList4_4s1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_4s, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13556 { 2907 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13557 { 2907 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_2__TypedVectorList4_8b1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8b, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13558 { 2907 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13559 { 2907 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_2__TypedVectorList4_8h1_0__Tie0__Reg1_4, Feature_HasNEON, { MCK_TypedVectorList4_8h, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13560 { 2907 /* st4 */, AArch64::ST4i8, Convert__TypedVectorList4_0b1_0__VectorIndexB1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13561 { 2907 /* st4 */, AArch64::ST4i64, Convert__TypedVectorList4_0d1_0__VectorIndexD1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13562 { 2907 /* st4 */, AArch64::ST4i16, Convert__TypedVectorList4_0h1_0__VectorIndexH1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13563 { 2907 /* st4 */, AArch64::ST4i32, Convert__TypedVectorList4_0s1_0__VectorIndexS1_1__Reg1_3, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13564 { 2907 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13565 { 2907 /* st4 */, AArch64::ST4Fourv16b_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_16b, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13566 { 2907 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13567 { 2907 /* st4 */, AArch64::ST4Fourv2d_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2d, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13568 { 2907 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13569 { 2907 /* st4 */, AArch64::ST4Fourv2s_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_2s, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13570 { 2907 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13571 { 2907 /* st4 */, AArch64::ST4Fourv4h_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4h, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13572 { 2907 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13573 { 2907 /* st4 */, AArch64::ST4Fourv4s_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_4s, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13574 { 2907 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13575 { 2907 /* st4 */, AArch64::ST4Fourv8b_POST, Convert__Reg1_3__VecListFour641_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8b, MCK_VecListFour64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13576 { 2907 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_64 }, },
13577 { 2907 /* st4 */, AArch64::ST4Fourv8h_POST, Convert__Reg1_3__VecListFour1281_1__Tie0__Reg1_5, Feature_HasNEON, { MCK__DOT_8h, MCK_VecListFour128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13578 { 2907 /* st4 */, AArch64::ST4i8, Convert__VecListFour1281_1__VectorIndexB1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13579 { 2907 /* st4 */, AArch64::ST4i64, Convert__VecListFour1281_1__VectorIndexD1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13580 { 2907 /* st4 */, AArch64::ST4i16, Convert__VecListFour1281_1__VectorIndexH1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13581 { 2907 /* st4 */, AArch64::ST4i32, Convert__VecListFour1281_1__VectorIndexS1_2__Reg1_4, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13582 { 2907 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_3__TypedVectorList4_0b1_0__VectorIndexB1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
13583 { 2907 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_3__TypedVectorList4_0b1_0__VectorIndexB1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0b, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13584 { 2907 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_3__TypedVectorList4_0d1_0__VectorIndexD1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13585 { 2907 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_3__TypedVectorList4_0d1_0__VectorIndexD1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0d, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13586 { 2907 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_3__TypedVectorList4_0h1_0__VectorIndexH1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13587 { 2907 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_3__TypedVectorList4_0h1_0__VectorIndexH1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0h, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13588 { 2907 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_3__TypedVectorList4_0s1_0__VectorIndexS1_1__Tie0__regXZR, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13589 { 2907 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_3__TypedVectorList4_0s1_0__VectorIndexS1_1__Tie0__Reg1_5, Feature_HasNEON, { MCK_TypedVectorList4_0s, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13590 { 2907 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexB1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_4 }, },
13591 { 2907 /* st4 */, AArch64::ST4i8_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexB1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_b, MCK_VecListFour128, MCK_VectorIndexB, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13592 { 2907 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexD1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_32 }, },
13593 { 2907 /* st4 */, AArch64::ST4i64_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexD1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_d, MCK_VecListFour128, MCK_VectorIndexD, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13594 { 2907 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexH1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_8 }, },
13595 { 2907 /* st4 */, AArch64::ST4i16_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexH1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_h, MCK_VecListFour128, MCK_VectorIndexH, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13596 { 2907 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexS1_2__Tie0__regXZR, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK__35_16 }, },
13597 { 2907 /* st4 */, AArch64::ST4i32_POST, Convert__Reg1_4__VecListFour1281_1__VectorIndexS1_2__Tie0__Reg1_6, Feature_HasNEON, { MCK__DOT_s, MCK_VecListFour128, MCK_VectorIndexS, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_GPR64 }, },
13598 { 2911 /* stadd */, AArch64::LDADDs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13599 { 2911 /* stadd */, AArch64::LDADDd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13600 { 2917 /* staddb */, AArch64::LDADDb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13601 { 2924 /* staddh */, AArch64::LDADDh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13602 { 2931 /* staddl */, AArch64::LDADDLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13603 { 2931 /* staddl */, AArch64::LDADDLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13604 { 2938 /* staddlb */, AArch64::LDADDLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13605 { 2946 /* staddlh */, AArch64::LDADDLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13606 { 2954 /* stclr */, AArch64::LDCLRs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13607 { 2954 /* stclr */, AArch64::LDCLRd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13608 { 2960 /* stclrb */, AArch64::LDCLRb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13609 { 2967 /* stclrh */, AArch64::LDCLRh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13610 { 2974 /* stclrl */, AArch64::LDCLRLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13611 { 2974 /* stclrl */, AArch64::LDCLRLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13612 { 2981 /* stclrlb */, AArch64::LDCLRLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13613 { 2989 /* stclrlh */, AArch64::LDCLRLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13614 { 2997 /* steor */, AArch64::LDEORs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13615 { 2997 /* steor */, AArch64::LDEORd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13616 { 3003 /* steorb */, AArch64::LDEORb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13617 { 3010 /* steorh */, AArch64::LDEORh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13618 { 3017 /* steorl */, AArch64::LDEORLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13619 { 3017 /* steorl */, AArch64::LDEORLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13620 { 3024 /* steorlb */, AArch64::LDEORLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13621 { 3032 /* steorlh */, AArch64::LDEORLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13622 { 3040 /* stllr */, AArch64::STLLRW, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13623 { 3040 /* stllr */, AArch64::STLLRX, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13624 { 3046 /* stllrb */, AArch64::STLLRB, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13625 { 3053 /* stllrh */, AArch64::STLLRH, Convert__Reg1_0__GPR64sp01_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13626 { 3060 /* stlr */, AArch64::STLRW, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13627 { 3060 /* stlr */, AArch64::STLRX, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13628 { 3065 /* stlrb */, AArch64::STLRB, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13629 { 3071 /* stlrh */, AArch64::STLRH, Convert__Reg1_0__GPR64sp01_2, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13630 { 3077 /* stlxp */, AArch64::STLXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13631 { 3077 /* stlxp */, AArch64::STLXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, 0, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13632 { 3083 /* stlxr */, AArch64::STLXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13633 { 3083 /* stlxr */, AArch64::STLXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13634 { 3089 /* stlxrb */, AArch64::STLXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13635 { 3096 /* stlxrh */, AArch64::STLXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13636 { 3103 /* stnp */, AArch64::STNPQi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13637 { 3103 /* stnp */, AArch64::STNPSi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13638 { 3103 /* stnp */, AArch64::STNPDi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13639 { 3103 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13640 { 3103 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13641 { 3103 /* stnp */, AArch64::STNPQi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
13642 { 3103 /* stnp */, AArch64::STNPSi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
13643 { 3103 /* stnp */, AArch64::STNPDi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
13644 { 3103 /* stnp */, AArch64::STNPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
13645 { 3103 /* stnp */, AArch64::STNPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
13646 { 3108 /* stp */, AArch64::STPQi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13647 { 3108 /* stp */, AArch64::STPSi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13648 { 3108 /* stp */, AArch64::STPDi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13649 { 3108 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13650 { 3108 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13651 { 3108 /* stp */, AArch64::STPQpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_5, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s16 }, },
13652 { 3108 /* stp */, AArch64::STPQi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_ }, },
13653 { 3108 /* stp */, AArch64::STPSpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
13654 { 3108 /* stp */, AArch64::STPSi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
13655 { 3108 /* stp */, AArch64::STPDpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
13656 { 3108 /* stp */, AArch64::STPDi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
13657 { 3108 /* stp */, AArch64::STPWpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_5, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s4 }, },
13658 { 3108 /* stp */, AArch64::STPWi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_ }, },
13659 { 3108 /* stp */, AArch64::STPXpost, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_5, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm7s8 }, },
13660 { 3108 /* stp */, AArch64::STPXi, Convert__Reg1_0__Reg1_1__Reg1_3__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_ }, },
13661 { 3108 /* stp */, AArch64::STPQpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s161_4, 0, { MCK_FPR128, MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm7s16, MCK__93_, MCK__EXCLAIM_ }, },
13662 { 3108 /* stp */, AArch64::STPSpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_FPR32, MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
13663 { 3108 /* stp */, AArch64::STPDpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4, 0, { MCK_FPR64, MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
13664 { 3108 /* stp */, AArch64::STPWpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s41_4, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm7s4, MCK__93_, MCK__EXCLAIM_ }, },
13665 { 3108 /* stp */, AArch64::STPXpre, Convert__Reg1_3__Reg1_0__Reg1_1__Tie0__SImm7s81_4, 0, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm7s8, MCK__93_, MCK__EXCLAIM_ }, },
13666 { 3112 /* str */, AArch64::STRQui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13667 { 3112 /* str */, AArch64::STRHui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13668 { 3112 /* str */, AArch64::STRSui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13669 { 3112 /* str */, AArch64::STRDui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13670 { 3112 /* str */, AArch64::STRBui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13671 { 3112 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13672 { 3112 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13673 { 3112 /* str */, AArch64::STRQpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13674 { 3112 /* str */, AArch64::STRQroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13675 { 3112 /* str */, AArch64::STURQi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB1281_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB128, MCK__93_ }, },
13676 { 3112 /* str */, AArch64::STRQui, Convert__Reg1_0__Reg1_2__UImm12Offset161_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset16, MCK__93_ }, },
13677 { 3112 /* str */, AArch64::STRHpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13678 { 3112 /* str */, AArch64::STRHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13679 { 3112 /* str */, AArch64::STURHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
13680 { 3112 /* str */, AArch64::STRHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
13681 { 3112 /* str */, AArch64::STRSpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13682 { 3112 /* str */, AArch64::STRSroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13683 { 3112 /* str */, AArch64::STURSi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
13684 { 3112 /* str */, AArch64::STRSui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
13685 { 3112 /* str */, AArch64::STRDpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13686 { 3112 /* str */, AArch64::STRDroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13687 { 3112 /* str */, AArch64::STURDi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
13688 { 3112 /* str */, AArch64::STRDui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
13689 { 3112 /* str */, AArch64::STRBpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13690 { 3112 /* str */, AArch64::STRBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13691 { 3112 /* str */, AArch64::STURBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
13692 { 3112 /* str */, AArch64::STRBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
13693 { 3112 /* str */, AArch64::STRWpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13694 { 3112 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13695 { 3112 /* str */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB321_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB32, MCK__93_ }, },
13696 { 3112 /* str */, AArch64::STRWui, Convert__Reg1_0__Reg1_2__UImm12Offset41_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset4, MCK__93_ }, },
13697 { 3112 /* str */, AArch64::STRXpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13698 { 3112 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13699 { 3112 /* str */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB641_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB64, MCK__93_ }, },
13700 { 3112 /* str */, AArch64::STRXui, Convert__Reg1_0__Reg1_2__UImm12Offset81_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset8, MCK__93_ }, },
13701 { 3112 /* str */, AArch64::STRQroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend1282_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend128, MCK__93_ }, },
13702 { 3112 /* str */, AArch64::STRQroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend1282_4, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend128, MCK__93_ }, },
13703 { 3112 /* str */, AArch64::STRQpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13704 { 3112 /* str */, AArch64::STRHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
13705 { 3112 /* str */, AArch64::STRHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
13706 { 3112 /* str */, AArch64::STRHpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13707 { 3112 /* str */, AArch64::STRSroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
13708 { 3112 /* str */, AArch64::STRSroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
13709 { 3112 /* str */, AArch64::STRSpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13710 { 3112 /* str */, AArch64::STRDroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
13711 { 3112 /* str */, AArch64::STRDroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
13712 { 3112 /* str */, AArch64::STRDpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13713 { 3112 /* str */, AArch64::STRBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
13714 { 3112 /* str */, AArch64::STRBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
13715 { 3112 /* str */, AArch64::STRBpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13716 { 3112 /* str */, AArch64::STRWroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend322_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend32, MCK__93_ }, },
13717 { 3112 /* str */, AArch64::STRWroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend322_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend32, MCK__93_ }, },
13718 { 3112 /* str */, AArch64::STRWpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13719 { 3112 /* str */, AArch64::STRXroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend642_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend64, MCK__93_ }, },
13720 { 3112 /* str */, AArch64::STRXroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend642_4, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend64, MCK__93_ }, },
13721 { 3112 /* str */, AArch64::STRXpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13722 { 3116 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13723 { 3116 /* strb */, AArch64::STRBBpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13724 { 3116 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13725 { 3116 /* strb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB81_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB8, MCK__93_ }, },
13726 { 3116 /* strb */, AArch64::STRBBui, Convert__Reg1_0__Reg1_2__UImm12Offset11_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset1, MCK__93_ }, },
13727 { 3116 /* strb */, AArch64::STRBBroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend8, MCK__93_ }, },
13728 { 3116 /* strb */, AArch64::STRBBroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend82_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend8, MCK__93_ }, },
13729 { 3116 /* strb */, AArch64::STRBBpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13730 { 3121 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13731 { 3121 /* strh */, AArch64::STRHHpost, Convert__Reg1_2__Reg1_0__Tie0__SImm91_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_, MCK_SImm9 }, },
13732 { 3121 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__imm_95_0__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK__93_ }, },
13733 { 3121 /* strh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm9OffsetFB161_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9OffsetFB16, MCK__93_ }, },
13734 { 3121 /* strh */, AArch64::STRHHui, Convert__Reg1_0__Reg1_2__UImm12Offset21_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_UImm12Offset2, MCK__93_ }, },
13735 { 3121 /* strh */, AArch64::STRHHroW, Convert__Reg1_0__Reg1_2__Reg1_3__MemWExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR32, MCK_MemWExtend16, MCK__93_ }, },
13736 { 3121 /* strh */, AArch64::STRHHroX, Convert__Reg1_0__Reg1_2__Reg1_3__MemXExtend162_4, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_GPR64, MCK_MemXExtend16, MCK__93_ }, },
13737 { 3121 /* strh */, AArch64::STRHHpre, Convert__Reg1_2__Reg1_0__Tie0__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_, MCK__EXCLAIM_ }, },
13738 { 3126 /* stset */, AArch64::LDSETs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13739 { 3126 /* stset */, AArch64::LDSETd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13740 { 3132 /* stsetb */, AArch64::LDSETb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13741 { 3139 /* stseth */, AArch64::LDSETh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13742 { 3146 /* stsetl */, AArch64::LDSETLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13743 { 3146 /* stsetl */, AArch64::LDSETLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13744 { 3153 /* stsetlb */, AArch64::LDSETLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13745 { 3161 /* stsetlh */, AArch64::LDSETLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13746 { 3169 /* stsmax */, AArch64::LDSMAXs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13747 { 3169 /* stsmax */, AArch64::LDSMAXd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13748 { 3176 /* stsmaxb */, AArch64::LDSMAXb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13749 { 3184 /* stsmaxh */, AArch64::LDSMAXh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13750 { 3192 /* stsmaxl */, AArch64::LDSMAXLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13751 { 3192 /* stsmaxl */, AArch64::LDSMAXLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13752 { 3200 /* stsmaxlb */, AArch64::LDSMAXLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13753 { 3209 /* stsmaxlh */, AArch64::LDSMAXLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13754 { 3218 /* stsmin */, AArch64::LDSMINs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13755 { 3218 /* stsmin */, AArch64::LDSMINd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13756 { 3225 /* stsminb */, AArch64::LDSMINb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13757 { 3233 /* stsminh */, AArch64::LDSMINh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13758 { 3241 /* stsminl */, AArch64::LDSMINLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13759 { 3241 /* stsminl */, AArch64::LDSMINLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13760 { 3249 /* stsminlb */, AArch64::LDSMINLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13761 { 3258 /* stsminlh */, AArch64::LDSMINLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13762 { 3267 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13763 { 3267 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13764 { 3267 /* sttr */, AArch64::STTRWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13765 { 3267 /* sttr */, AArch64::STTRXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13766 { 3272 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13767 { 3272 /* sttrb */, AArch64::STTRBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13768 { 3278 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13769 { 3278 /* sttrh */, AArch64::STTRHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13770 { 3284 /* stumax */, AArch64::LDUMAXs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13771 { 3284 /* stumax */, AArch64::LDUMAXd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13772 { 3291 /* stumaxb */, AArch64::LDUMAXb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13773 { 3299 /* stumaxh */, AArch64::LDUMAXh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13774 { 3307 /* stumaxl */, AArch64::LDUMAXLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13775 { 3307 /* stumaxl */, AArch64::LDUMAXLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13776 { 3315 /* stumaxlb */, AArch64::LDUMAXLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13777 { 3324 /* stumaxlh */, AArch64::LDUMAXLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13778 { 3333 /* stumin */, AArch64::LDUMINs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13779 { 3333 /* stumin */, AArch64::LDUMINd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13780 { 3340 /* stuminb */, AArch64::LDUMINb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13781 { 3348 /* stuminh */, AArch64::LDUMINh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13782 { 3356 /* stuminl */, AArch64::LDUMINLs, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13783 { 3356 /* stuminl */, AArch64::LDUMINLd, Convert__regXZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13784 { 3364 /* stuminlb */, AArch64::LDUMINLb, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13785 { 3373 /* stuminlh */, AArch64::LDUMINLh, Convert__regWZR__Reg1_0__Reg1_2, Feature_HasV8_1a, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13786 { 3382 /* stur */, AArch64::STURQi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13787 { 3382 /* stur */, AArch64::STURHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13788 { 3382 /* stur */, AArch64::STURSi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13789 { 3382 /* stur */, AArch64::STURDi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13790 { 3382 /* stur */, AArch64::STURBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13791 { 3382 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13792 { 3382 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13793 { 3382 /* stur */, AArch64::STURQi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR128, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13794 { 3382 /* stur */, AArch64::STURHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR16, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13795 { 3382 /* stur */, AArch64::STURSi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13796 { 3382 /* stur */, AArch64::STURDi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13797 { 3382 /* stur */, AArch64::STURBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_FPR8, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13798 { 3382 /* stur */, AArch64::STURWi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13799 { 3382 /* stur */, AArch64::STURXi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13800 { 3387 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13801 { 3387 /* sturb */, AArch64::STURBBi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13802 { 3393 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13803 { 3393 /* sturh */, AArch64::STURHHi, Convert__Reg1_0__Reg1_2__SImm91_3, 0, { MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK_SImm9, MCK__93_ }, },
13804 { 3399 /* stxp */, AArch64::STXPW, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13805 { 3399 /* stxp */, AArch64::STXPX, Convert__Reg1_0__Reg1_1__Reg1_2__GPR64sp01_4, 0, { MCK_GPR32, MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13806 { 3404 /* stxr */, AArch64::STXRW, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13807 { 3404 /* stxr */, AArch64::STXRX, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR64, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13808 { 3409 /* stxrb */, AArch64::STXRB, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13809 { 3415 /* stxrh */, AArch64::STXRH, Convert__Reg1_0__Reg1_1__GPR64sp01_3, 0, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp0, MCK__93_ }, },
13810 { 3421 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32sponly, MCK_GPR32sp, MCK_GPR32 }, },
13811 { 3421 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64sponly, MCK_GPR64sp, MCK_GPR64 }, },
13812 { 3421 /* sub */, AArch64::SUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
13813 { 3421 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
13814 { 3421 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32sp, MCK_GPR32sponly, MCK_GPR32 }, },
13815 { 3421 /* sub */, AArch64::ADDWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImmNeg }, },
13816 { 3421 /* sub */, AArch64::SUBWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_AddSubImm }, },
13817 { 3421 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
13818 { 3421 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64sp, MCK_GPR64sponly, MCK_GPR64 }, },
13819 { 3421 /* sub */, AArch64::ADDXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImmNeg }, },
13820 { 3421 /* sub */, AArch64::SUBXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_AddSubImm }, },
13821 { 3421 /* sub */, AArch64::SUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13822 { 3421 /* sub */, AArch64::SUBv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13823 { 3421 /* sub */, AArch64::SUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13824 { 3421 /* sub */, AArch64::SUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13825 { 3421 /* sub */, AArch64::SUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13826 { 3421 /* sub */, AArch64::SUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13827 { 3421 /* sub */, AArch64::SUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13828 { 3421 /* sub */, AArch64::SUBWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
13829 { 3421 /* sub */, AArch64::SUBWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR32sp, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
13830 { 3421 /* sub */, AArch64::SUBXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
13831 { 3421 /* sub */, AArch64::SUBXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend641_3, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR32, MCK_Extend64 }, },
13832 { 3421 /* sub */, AArch64::SUBXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, 0, { MCK_GPR64sp, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
13833 { 3425 /* subhn */, AArch64::SUBHNv2i64_v2i32, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
13834 { 3425 /* subhn */, AArch64::SUBHNv4i32_v4i16, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
13835 { 3425 /* subhn */, AArch64::SUBHNv8i16_v8i8, Convert__VectorReg641_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorReg128 }, },
13836 { 3431 /* subhn2 */, AArch64::SUBHNv8i16_v16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13837 { 3431 /* subhn2 */, AArch64::SUBHNv2i64_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13838 { 3431 /* subhn2 */, AArch64::SUBHNv4i32_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13839 { 3438 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_16, 0, { MCK_GPR32, MCK_GPR32sponly, MCK_GPR32 }, },
13840 { 3438 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
13841 { 3438 /* subs */, AArch64::ADDSWri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImmNeg }, },
13842 { 3438 /* subs */, AArch64::SUBSWri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR32, MCK_GPR32sp, MCK_AddSubImm }, },
13843 { 3438 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_24, 0, { MCK_GPR64, MCK_GPR64sponly, MCK_GPR64 }, },
13844 { 3438 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__imm_95_0, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
13845 { 3438 /* subs */, AArch64::ADDSXri, Convert__Reg1_0__Reg1_1__AddSubImmNeg2_2, 0, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImmNeg }, },
13846 { 3438 /* subs */, AArch64::SUBSXri, Convert__Reg1_0__Reg1_1__AddSubImm2_2, 0, { MCK_GPR64, MCK_GPR64sp, MCK_AddSubImm }, },
13847 { 3438 /* subs */, AArch64::SUBSWrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter321_3, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32, MCK_ArithmeticShifter32 }, },
13848 { 3438 /* subs */, AArch64::SUBSWrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR32, MCK_GPR32sp, MCK_GPR32, MCK_Extend }, },
13849 { 3438 /* subs */, AArch64::SUBSXrs, Convert__Reg1_0__Reg1_1__Reg1_2__ArithmeticShifter641_3, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64, MCK_ArithmeticShifter64 }, },
13850 { 3438 /* subs */, AArch64::SUBSXrx, Convert__Reg1_0__Reg1_1__Reg1_2__Extend1_3, 0, { MCK_GPR64, MCK_GPR64sp, MCK_GPR32, MCK_Extend }, },
13851 { 3438 /* subs */, AArch64::SUBSXrx64, Convert__Reg1_0__Reg1_1__Reg1_2__ExtendLSL641_3, 0, { MCK_GPR64, MCK_GPR64sp, MCK_GPR64, MCK_ExtendLSL64 }, },
13852 { 3443 /* suqadd */, AArch64::SUQADDv1i16, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
13853 { 3443 /* suqadd */, AArch64::SUQADDv1i32, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
13854 { 3443 /* suqadd */, AArch64::SUQADDv1i64, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
13855 { 3443 /* suqadd */, AArch64::SUQADDv1i8, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
13856 { 3443 /* suqadd */, AArch64::SUQADDv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
13857 { 3443 /* suqadd */, AArch64::SUQADDv2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
13858 { 3443 /* suqadd */, AArch64::SUQADDv2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
13859 { 3443 /* suqadd */, AArch64::SUQADDv4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
13860 { 3443 /* suqadd */, AArch64::SUQADDv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
13861 { 3443 /* suqadd */, AArch64::SUQADDv8i8, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
13862 { 3443 /* suqadd */, AArch64::SUQADDv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
13863 { 3450 /* svc */, AArch64::SVC, Convert__Imm0_655351_0, 0, { MCK_Imm0_65535 }, },
13864 { 3454 /* swp */, AArch64::SWPs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13865 { 3454 /* swp */, AArch64::SWPd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13866 { 3458 /* swpa */, AArch64::SWPAs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13867 { 3458 /* swpa */, AArch64::SWPAd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13868 { 3463 /* swpab */, AArch64::SWPAb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13869 { 3469 /* swpah */, AArch64::SWPAh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13870 { 3475 /* swpal */, AArch64::SWPALs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13871 { 3475 /* swpal */, AArch64::SWPALd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13872 { 3481 /* swpalb */, AArch64::SWPALb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13873 { 3488 /* swpalh */, AArch64::SWPALh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13874 { 3495 /* swpb */, AArch64::SWPb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13875 { 3500 /* swph */, AArch64::SWPh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13876 { 3505 /* swpl */, AArch64::SWPLs, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13877 { 3505 /* swpl */, AArch64::SWPLd, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR64, MCK_GPR64, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13878 { 3510 /* swplb */, AArch64::SWPLb, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13879 { 3516 /* swplh */, AArch64::SWPLh, Convert__Reg1_1__Reg1_0__Reg1_3, Feature_HasV8_1a, { MCK_GPR32, MCK_GPR32, MCK__91_, MCK_GPR64sp, MCK__93_ }, },
13880 { 3522 /* sxtb */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, 0, { MCK_GPR32, MCK_GPR32 }, },
13881 { 3522 /* sxtb */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, 0, { MCK_GPR64, MCK_GPR64 }, },
13882 { 3527 /* sxth */, AArch64::SBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, 0, { MCK_GPR32, MCK_GPR32 }, },
13883 { 3527 /* sxth */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, 0, { MCK_GPR64, MCK_GPR64 }, },
13884 { 3532 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
13885 { 3532 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
13886 { 3532 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
13887 { 3532 /* sxtl */, AArch64::SSHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
13888 { 3532 /* sxtl */, AArch64::SSHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
13889 { 3532 /* sxtl */, AArch64::SSHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
13890 { 3537 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
13891 { 3537 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
13892 { 3537 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
13893 { 3537 /* sxtl2 */, AArch64::SSHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
13894 { 3537 /* sxtl2 */, AArch64::SSHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
13895 { 3537 /* sxtl2 */, AArch64::SSHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
13896 { 3543 /* sxtw */, AArch64::SBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, 0, { MCK_GPR64, MCK_GPR64 }, },
13897 { 3548 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__regXZR, 0, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
13898 { 3548 /* sys */, AArch64::SYSxt, Convert__Imm0_71_0__SysCR1_1__SysCR1_2__Imm0_71_3__Reg1_4, 0, { MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7, MCK_GPR64 }, },
13899 { 3552 /* sysl */, AArch64::SYSLxt, Convert__Reg1_0__Imm0_71_1__SysCR1_2__SysCR1_3__Imm0_71_4, 0, { MCK_GPR64, MCK_Imm0_7, MCK_SysCR, MCK_SysCR, MCK_Imm0_7 }, },
13900 { 3557 /* tbl */, AArch64::TBLv16i8Four, Convert__VectorReg1281_1__VecListFour1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListFour128, MCK_VectorReg128 }, },
13901 { 3557 /* tbl */, AArch64::TBLv16i8One, Convert__VectorReg1281_1__VecListOne1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListOne128, MCK_VectorReg128 }, },
13902 { 3557 /* tbl */, AArch64::TBLv16i8Three, Convert__VectorReg1281_1__VecListThree1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListThree128, MCK_VectorReg128 }, },
13903 { 3557 /* tbl */, AArch64::TBLv16i8Two, Convert__VectorReg1281_1__VecListTwo1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListTwo128, MCK_VectorReg128 }, },
13904 { 3557 /* tbl */, AArch64::TBLv8i8Four, Convert__VectorReg641_1__VecListFour1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListFour128, MCK_VectorReg64 }, },
13905 { 3557 /* tbl */, AArch64::TBLv8i8One, Convert__VectorReg641_1__VecListOne1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListOne128, MCK_VectorReg64 }, },
13906 { 3557 /* tbl */, AArch64::TBLv8i8Three, Convert__VectorReg641_1__VecListThree1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListThree128, MCK_VectorReg64 }, },
13907 { 3557 /* tbl */, AArch64::TBLv8i8Two, Convert__VectorReg641_1__VecListTwo1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListTwo128, MCK_VectorReg64 }, },
13908 { 3557 /* tbl */, AArch64::TBLv16i8Four, Convert__VectorReg1281_0__TypedVectorList4_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList4_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13909 { 3557 /* tbl */, AArch64::TBLv16i8One, Convert__VectorReg1281_0__TypedVectorList1_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList1_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13910 { 3557 /* tbl */, AArch64::TBLv16i8Three, Convert__VectorReg1281_0__TypedVectorList3_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList3_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13911 { 3557 /* tbl */, AArch64::TBLv16i8Two, Convert__VectorReg1281_0__TypedVectorList2_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList2_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13912 { 3557 /* tbl */, AArch64::TBLv8i8Four, Convert__VectorReg641_0__TypedVectorList4_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList4_16b, MCK_VectorReg64, MCK__DOT_8b }, },
13913 { 3557 /* tbl */, AArch64::TBLv8i8One, Convert__VectorReg641_0__TypedVectorList1_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList1_16b, MCK_VectorReg64, MCK__DOT_8b }, },
13914 { 3557 /* tbl */, AArch64::TBLv8i8Three, Convert__VectorReg641_0__TypedVectorList3_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList3_16b, MCK_VectorReg64, MCK__DOT_8b }, },
13915 { 3557 /* tbl */, AArch64::TBLv8i8Two, Convert__VectorReg641_0__TypedVectorList2_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList2_16b, MCK_VectorReg64, MCK__DOT_8b }, },
13916 { 3561 /* tbnz */, AArch64::TBNZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, 0, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
13917 { 3561 /* tbnz */, AArch64::TBNZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, 0, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
13918 { 3561 /* tbnz */, AArch64::TBNZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, 0, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
13919 { 3566 /* tbx */, AArch64::TBXv16i8Four, Convert__VectorReg1281_1__Tie0__VecListFour1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListFour128, MCK_VectorReg128 }, },
13920 { 3566 /* tbx */, AArch64::TBXv16i8One, Convert__VectorReg1281_1__Tie0__VecListOne1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListOne128, MCK_VectorReg128 }, },
13921 { 3566 /* tbx */, AArch64::TBXv16i8Three, Convert__VectorReg1281_1__Tie0__VecListThree1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListThree128, MCK_VectorReg128 }, },
13922 { 3566 /* tbx */, AArch64::TBXv16i8Two, Convert__VectorReg1281_1__Tie0__VecListTwo1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VecListTwo128, MCK_VectorReg128 }, },
13923 { 3566 /* tbx */, AArch64::TBXv8i8Four, Convert__VectorReg641_1__Tie0__VecListFour1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListFour128, MCK_VectorReg64 }, },
13924 { 3566 /* tbx */, AArch64::TBXv8i8One, Convert__VectorReg641_1__Tie0__VecListOne1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListOne128, MCK_VectorReg64 }, },
13925 { 3566 /* tbx */, AArch64::TBXv8i8Three, Convert__VectorReg641_1__Tie0__VecListThree1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListThree128, MCK_VectorReg64 }, },
13926 { 3566 /* tbx */, AArch64::TBXv8i8Two, Convert__VectorReg641_1__Tie0__VecListTwo1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VecListTwo128, MCK_VectorReg64 }, },
13927 { 3566 /* tbx */, AArch64::TBXv16i8Four, Convert__VectorReg1281_0__Tie0__TypedVectorList4_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList4_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13928 { 3566 /* tbx */, AArch64::TBXv16i8One, Convert__VectorReg1281_0__Tie0__TypedVectorList1_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList1_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13929 { 3566 /* tbx */, AArch64::TBXv16i8Three, Convert__VectorReg1281_0__Tie0__TypedVectorList3_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList3_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13930 { 3566 /* tbx */, AArch64::TBXv16i8Two, Convert__VectorReg1281_0__Tie0__TypedVectorList2_16b1_2__VectorReg1281_3, Feature_HasNEON, { MCK_VectorReg128, MCK__DOT_16b, MCK_TypedVectorList2_16b, MCK_VectorReg128, MCK__DOT_16b }, },
13931 { 3566 /* tbx */, AArch64::TBXv8i8Four, Convert__VectorReg641_0__Tie0__TypedVectorList4_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList4_16b, MCK_VectorReg64, MCK__DOT_8b }, },
13932 { 3566 /* tbx */, AArch64::TBXv8i8One, Convert__VectorReg641_0__Tie0__TypedVectorList1_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList1_16b, MCK_VectorReg64, MCK__DOT_8b }, },
13933 { 3566 /* tbx */, AArch64::TBXv8i8Three, Convert__VectorReg641_0__Tie0__TypedVectorList3_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList3_16b, MCK_VectorReg64, MCK__DOT_8b }, },
13934 { 3566 /* tbx */, AArch64::TBXv8i8Two, Convert__VectorReg641_0__Tie0__TypedVectorList2_16b1_2__VectorReg641_3, Feature_HasNEON, { MCK_VectorReg64, MCK__DOT_8b, MCK_TypedVectorList2_16b, MCK_VectorReg64, MCK__DOT_8b }, },
13935 { 3570 /* tbz */, AArch64::TBZW, Convert__Reg1_0__Imm0_311_1__BranchTarget141_2, 0, { MCK_GPR32, MCK_Imm0_31, MCK_BranchTarget14 }, },
13936 { 3570 /* tbz */, AArch64::TBZX, Convert__Reg1_0__Imm32_631_1__BranchTarget141_2, 0, { MCK_GPR64, MCK_Imm32_63, MCK_BranchTarget14 }, },
13937 { 3570 /* tbz */, AArch64::TBZW, Convert__GPR32as641_0__TBZImm0_311_1__BranchTarget141_2, 0, { MCK_GPR32as64, MCK_TBZImm0_31, MCK_BranchTarget14 }, },
13938 { 3574 /* trn1 */, AArch64::TRN1v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13939 { 3574 /* trn1 */, AArch64::TRN1v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13940 { 3574 /* trn1 */, AArch64::TRN1v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13941 { 3574 /* trn1 */, AArch64::TRN1v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13942 { 3574 /* trn1 */, AArch64::TRN1v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13943 { 3574 /* trn1 */, AArch64::TRN1v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13944 { 3574 /* trn1 */, AArch64::TRN1v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13945 { 3579 /* trn2 */, AArch64::TRN2v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13946 { 3579 /* trn2 */, AArch64::TRN2v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13947 { 3579 /* trn2 */, AArch64::TRN2v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13948 { 3579 /* trn2 */, AArch64::TRN2v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13949 { 3579 /* trn2 */, AArch64::TRN2v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13950 { 3579 /* trn2 */, AArch64::TRN2v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13951 { 3579 /* trn2 */, AArch64::TRN2v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13952 { 3584 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR32, MCK_GPR32 }, },
13953 { 3584 /* tst */, AArch64::ANDSWri, Convert__regWZR__Reg1_0__LogicalImm321_1, 0, { MCK_GPR32, MCK_LogicalImm32 }, },
13954 { 3584 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__imm_95_0, 0, { MCK_GPR64, MCK_GPR64 }, },
13955 { 3584 /* tst */, AArch64::ANDSXri, Convert__regXZR__Reg1_0__LogicalImm641_1, 0, { MCK_GPR64, MCK_LogicalImm64 }, },
13956 { 3584 /* tst */, AArch64::ANDSWrs, Convert__regWZR__Reg1_0__Reg1_1__LogicalShifter321_2, 0, { MCK_GPR32, MCK_GPR32, MCK_LogicalShifter32 }, },
13957 { 3584 /* tst */, AArch64::ANDSXrs, Convert__regXZR__Reg1_0__Reg1_1__LogicalShifter641_2, 0, { MCK_GPR64, MCK_GPR64, MCK_LogicalShifter64 }, },
13958 { 3588 /* uaba */, AArch64::UABAv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13959 { 3588 /* uaba */, AArch64::UABAv2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13960 { 3588 /* uaba */, AArch64::UABAv4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13961 { 3588 /* uaba */, AArch64::UABAv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13962 { 3588 /* uaba */, AArch64::UABAv8i8, Convert__VectorReg641_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13963 { 3588 /* uaba */, AArch64::UABAv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13964 { 3593 /* uabal */, AArch64::UABALv2i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13965 { 3593 /* uabal */, AArch64::UABALv4i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13966 { 3593 /* uabal */, AArch64::UABALv8i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13967 { 3599 /* uabal2 */, AArch64::UABALv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13968 { 3599 /* uabal2 */, AArch64::UABALv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13969 { 3599 /* uabal2 */, AArch64::UABALv16i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13970 { 3606 /* uabd */, AArch64::UABDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13971 { 3606 /* uabd */, AArch64::UABDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13972 { 3606 /* uabd */, AArch64::UABDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13973 { 3606 /* uabd */, AArch64::UABDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13974 { 3606 /* uabd */, AArch64::UABDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
13975 { 3606 /* uabd */, AArch64::UABDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13976 { 3611 /* uabdl */, AArch64::UABDLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13977 { 3611 /* uabdl */, AArch64::UABDLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13978 { 3611 /* uabdl */, AArch64::UABDLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13979 { 3617 /* uabdl2 */, AArch64::UABDLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13980 { 3617 /* uabdl2 */, AArch64::UABDLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13981 { 3617 /* uabdl2 */, AArch64::UABDLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13982 { 3624 /* uadalp */, AArch64::UADALPv2i32_v1i64, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
13983 { 3624 /* uadalp */, AArch64::UADALPv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
13984 { 3624 /* uadalp */, AArch64::UADALPv4i16_v2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
13985 { 3624 /* uadalp */, AArch64::UADALPv8i8_v4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
13986 { 3624 /* uadalp */, AArch64::UADALPv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
13987 { 3624 /* uadalp */, AArch64::UADALPv16i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
13988 { 3631 /* uaddl */, AArch64::UADDLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13989 { 3631 /* uaddl */, AArch64::UADDLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13990 { 3631 /* uaddl */, AArch64::UADDLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
13991 { 3637 /* uaddl2 */, AArch64::UADDLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13992 { 3637 /* uaddl2 */, AArch64::UADDLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13993 { 3637 /* uaddl2 */, AArch64::UADDLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
13994 { 3644 /* uaddlp */, AArch64::UADDLPv2i32_v1i64, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_1d, MCK_VectorReg64, MCK_VectorReg64 }, },
13995 { 3644 /* uaddlp */, AArch64::UADDLPv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
13996 { 3644 /* uaddlp */, AArch64::UADDLPv4i16_v2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
13997 { 3644 /* uaddlp */, AArch64::UADDLPv8i8_v4i16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
13998 { 3644 /* uaddlp */, AArch64::UADDLPv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
13999 { 3644 /* uaddlp */, AArch64::UADDLPv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
14000 { 3651 /* uaddlv */, AArch64::UADDLVv16i8v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_FPR16, MCK_VectorReg128 }, },
14001 { 3651 /* uaddlv */, AArch64::UADDLVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_FPR32, MCK_VectorReg64 }, },
14002 { 3651 /* uaddlv */, AArch64::UADDLVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR64, MCK_VectorReg128 }, },
14003 { 3651 /* uaddlv */, AArch64::UADDLVv8i8v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_FPR16, MCK_VectorReg64 }, },
14004 { 3651 /* uaddlv */, AArch64::UADDLVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_FPR32, MCK_VectorReg128 }, },
14005 { 3658 /* uaddw */, AArch64::UADDWv2i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
14006 { 3658 /* uaddw */, AArch64::UADDWv4i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
14007 { 3658 /* uaddw */, AArch64::UADDWv8i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
14008 { 3664 /* uaddw2 */, AArch64::UADDWv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14009 { 3664 /* uaddw2 */, AArch64::UADDWv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14010 { 3664 /* uaddw2 */, AArch64::UADDWv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14011 { 3671 /* ubfm */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__Imm0_311_2__Imm0_311_3, 0, { MCK_GPR32, MCK_GPR32, MCK_Imm0_31, MCK_Imm0_31 }, },
14012 { 3671 /* ubfm */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__Imm0_631_2__Imm0_631_3, 0, { MCK_GPR64, MCK_GPR64, MCK_Imm0_63, MCK_Imm0_63 }, },
14013 { 3676 /* ucvtf */, AArch64::UCVTFv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16 }, },
14014 { 3676 /* ucvtf */, AArch64::UCVTFUWHri, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32 }, },
14015 { 3676 /* ucvtf */, AArch64::UCVTFUXHri, Convert__Reg1_0__Reg1_1, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64 }, },
14016 { 3676 /* ucvtf */, AArch64::UCVTFv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
14017 { 3676 /* ucvtf */, AArch64::UCVTFUWSri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32 }, },
14018 { 3676 /* ucvtf */, AArch64::UCVTFUXSri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR64 }, },
14019 { 3676 /* ucvtf */, AArch64::UCVTFv1i64, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
14020 { 3676 /* ucvtf */, AArch64::UCVTFUWDri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR32 }, },
14021 { 3676 /* ucvtf */, AArch64::UCVTFUXDri, Convert__Reg1_0__Reg1_1, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64 }, },
14022 { 3676 /* ucvtf */, AArch64::UCVTFv2f64, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
14023 { 3676 /* ucvtf */, AArch64::UCVTFv2f32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
14024 { 3676 /* ucvtf */, AArch64::UCVTFv4f16, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
14025 { 3676 /* ucvtf */, AArch64::UCVTFv4f32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
14026 { 3676 /* ucvtf */, AArch64::UCVTFv8f16, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
14027 { 3676 /* ucvtf */, AArch64::UCVTFh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON|Feature_HasFullFP16, { MCK_FPR16, MCK_FPR16, MCK_Imm1_16 }, },
14028 { 3676 /* ucvtf */, AArch64::UCVTFSWHri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR32, MCK_Imm1_32 }, },
14029 { 3676 /* ucvtf */, AArch64::UCVTFSXHri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFullFP16, { MCK_FPR16, MCK_GPR64, MCK_Imm1_64 }, },
14030 { 3676 /* ucvtf */, AArch64::UCVTFs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm1_32 }, },
14031 { 3676 /* ucvtf */, AArch64::UCVTFSWSri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR32, MCK_Imm1_32 }, },
14032 { 3676 /* ucvtf */, AArch64::UCVTFSXSri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_FPR32, MCK_GPR64, MCK_Imm1_64 }, },
14033 { 3676 /* ucvtf */, AArch64::UCVTFd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
14034 { 3676 /* ucvtf */, AArch64::UCVTFSWDri, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR32, MCK_Imm1_32 }, },
14035 { 3676 /* ucvtf */, AArch64::UCVTFSXDri, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasFPARMv8, { MCK_FPR64, MCK_GPR64, MCK_Imm1_64 }, },
14036 { 3676 /* ucvtf */, AArch64::UCVTFv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
14037 { 3676 /* ucvtf */, AArch64::UCVTFv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
14038 { 3676 /* ucvtf */, AArch64::UCVTFv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
14039 { 3676 /* ucvtf */, AArch64::UCVTFv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
14040 { 3676 /* ucvtf */, AArch64::UCVTFv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON|Feature_HasFullFP16, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
14041 { 3682 /* udiv */, AArch64::UDIVWr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR32, MCK_GPR32, MCK_GPR32 }, },
14042 { 3682 /* udiv */, AArch64::UDIVXr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
14043 { 3687 /* uhadd */, AArch64::UHADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14044 { 3687 /* uhadd */, AArch64::UHADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14045 { 3687 /* uhadd */, AArch64::UHADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14046 { 3687 /* uhadd */, AArch64::UHADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14047 { 3687 /* uhadd */, AArch64::UHADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14048 { 3687 /* uhadd */, AArch64::UHADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14049 { 3693 /* uhsub */, AArch64::UHSUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14050 { 3693 /* uhsub */, AArch64::UHSUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14051 { 3693 /* uhsub */, AArch64::UHSUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14052 { 3693 /* uhsub */, AArch64::UHSUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14053 { 3693 /* uhsub */, AArch64::UHSUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14054 { 3693 /* uhsub */, AArch64::UHSUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14055 { 3699 /* umaddl */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
14056 { 3706 /* umax */, AArch64::UMAXv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14057 { 3706 /* umax */, AArch64::UMAXv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14058 { 3706 /* umax */, AArch64::UMAXv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14059 { 3706 /* umax */, AArch64::UMAXv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14060 { 3706 /* umax */, AArch64::UMAXv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14061 { 3706 /* umax */, AArch64::UMAXv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14062 { 3711 /* umaxp */, AArch64::UMAXPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14063 { 3711 /* umaxp */, AArch64::UMAXPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14064 { 3711 /* umaxp */, AArch64::UMAXPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14065 { 3711 /* umaxp */, AArch64::UMAXPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14066 { 3711 /* umaxp */, AArch64::UMAXPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14067 { 3711 /* umaxp */, AArch64::UMAXPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14068 { 3717 /* umaxv */, AArch64::UMAXVv16i8v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
14069 { 3717 /* umaxv */, AArch64::UMAXVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
14070 { 3717 /* umaxv */, AArch64::UMAXVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
14071 { 3717 /* umaxv */, AArch64::UMAXVv8i8v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
14072 { 3717 /* umaxv */, AArch64::UMAXVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
14073 { 3723 /* umin */, AArch64::UMINv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14074 { 3723 /* umin */, AArch64::UMINv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14075 { 3723 /* umin */, AArch64::UMINv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14076 { 3723 /* umin */, AArch64::UMINv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14077 { 3723 /* umin */, AArch64::UMINv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14078 { 3723 /* umin */, AArch64::UMINv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14079 { 3728 /* uminp */, AArch64::UMINPv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14080 { 3728 /* uminp */, AArch64::UMINPv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14081 { 3728 /* uminp */, AArch64::UMINPv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14082 { 3728 /* uminp */, AArch64::UMINPv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14083 { 3728 /* uminp */, AArch64::UMINPv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14084 { 3728 /* uminp */, AArch64::UMINPv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14085 { 3734 /* uminv */, AArch64::UMINVv16i8v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_FPR8, MCK_VectorReg128 }, },
14086 { 3734 /* uminv */, AArch64::UMINVv4i16v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_FPR16, MCK_VectorReg64 }, },
14087 { 3734 /* uminv */, AArch64::UMINVv4i32v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_FPR32, MCK_VectorReg128 }, },
14088 { 3734 /* uminv */, AArch64::UMINVv8i8v, Convert__Reg1_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_FPR8, MCK_VectorReg64 }, },
14089 { 3734 /* uminv */, AArch64::UMINVv8i16v, Convert__Reg1_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_FPR16, MCK_VectorReg128 }, },
14090 { 3740 /* umlal */, AArch64::UMLALv2i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14091 { 3740 /* umlal */, AArch64::UMLALv4i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14092 { 3740 /* umlal */, AArch64::UMLALv8i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14093 { 3740 /* umlal */, AArch64::UMLALv2i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
14094 { 3740 /* umlal */, AArch64::UMLALv4i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
14095 { 3746 /* umlal2 */, AArch64::UMLALv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14096 { 3746 /* umlal2 */, AArch64::UMLALv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14097 { 3746 /* umlal2 */, AArch64::UMLALv16i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14098 { 3746 /* umlal2 */, AArch64::UMLALv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
14099 { 3746 /* umlal2 */, AArch64::UMLALv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
14100 { 3753 /* umlsl */, AArch64::UMLSLv2i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14101 { 3753 /* umlsl */, AArch64::UMLSLv4i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14102 { 3753 /* umlsl */, AArch64::UMLSLv8i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14103 { 3753 /* umlsl */, AArch64::UMLSLv2i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
14104 { 3753 /* umlsl */, AArch64::UMLSLv4i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
14105 { 3759 /* umlsl2 */, AArch64::UMLSLv4i32_v2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14106 { 3759 /* umlsl2 */, AArch64::UMLSLv8i16_v4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14107 { 3759 /* umlsl2 */, AArch64::UMLSLv16i8_v8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14108 { 3759 /* umlsl2 */, AArch64::UMLSLv4i32_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
14109 { 3759 /* umlsl2 */, AArch64::UMLSLv8i16_indexed, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
14110 { 3766 /* umnegl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
14111 { 3773 /* umov */, AArch64::UMOVvi8, Convert__Reg1_1__VectorReg1281_2__VectorIndexB1_3, Feature_HasNEON, { MCK__DOT_b, MCK_GPR32, MCK_VectorReg128, MCK_VectorIndexB }, },
14112 { 3773 /* umov */, AArch64::UMOVvi64, Convert__Reg1_1__VectorReg1281_2__VectorIndexD1_3, Feature_HasNEON, { MCK__DOT_d, MCK_GPR64, MCK_VectorReg128, MCK_VectorIndexD }, },
14113 { 3773 /* umov */, AArch64::UMOVvi16, Convert__Reg1_1__VectorReg1281_2__VectorIndexH1_3, Feature_HasNEON, { MCK__DOT_h, MCK_GPR32, MCK_VectorReg128, MCK_VectorIndexH }, },
14114 { 3773 /* umov */, AArch64::UMOVvi32, Convert__Reg1_1__VectorReg1281_2__VectorIndexS1_3, Feature_HasNEON, { MCK__DOT_s, MCK_GPR32, MCK_VectorReg128, MCK_VectorIndexS }, },
14115 { 3778 /* umsubl */, AArch64::UMSUBLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__Reg1_3, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32, MCK_GPR64 }, },
14116 { 3785 /* umulh */, AArch64::UMULHrr, Convert__Reg1_0__Reg1_1__Reg1_2, 0, { MCK_GPR64, MCK_GPR64, MCK_GPR64 }, },
14117 { 3791 /* umull */, AArch64::UMADDLrrr, Convert__Reg1_0__Reg1_1__Reg1_2__regXZR, 0, { MCK_GPR64, MCK_GPR32, MCK_GPR32 }, },
14118 { 3791 /* umull */, AArch64::UMULLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14119 { 3791 /* umull */, AArch64::UMULLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14120 { 3791 /* umull */, AArch64::UMULLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14121 { 3791 /* umull */, AArch64::UMULLv2i32_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg128, MCK_VectorIndexS }, },
14122 { 3791 /* umull */, AArch64::UMULLv4i16_indexed, Convert__VectorReg1281_1__VectorReg641_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorRegLo, MCK_VectorIndexH }, },
14123 { 3797 /* umull2 */, AArch64::UMULLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14124 { 3797 /* umull2 */, AArch64::UMULLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14125 { 3797 /* umull2 */, AArch64::UMULLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14126 { 3797 /* umull2 */, AArch64::UMULLv4i32_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3__VectorIndexS1_4, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorIndexS }, },
14127 { 3797 /* umull2 */, AArch64::UMULLv8i16_indexed, Convert__VectorReg1281_1__VectorReg1281_2__VectorRegLo1_3__VectorIndexH1_4, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorRegLo, MCK_VectorIndexH }, },
14128 { 3804 /* uqadd */, AArch64::UQADDv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
14129 { 3804 /* uqadd */, AArch64::UQADDv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
14130 { 3804 /* uqadd */, AArch64::UQADDv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
14131 { 3804 /* uqadd */, AArch64::UQADDv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
14132 { 3804 /* uqadd */, AArch64::UQADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14133 { 3804 /* uqadd */, AArch64::UQADDv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14134 { 3804 /* uqadd */, AArch64::UQADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14135 { 3804 /* uqadd */, AArch64::UQADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14136 { 3804 /* uqadd */, AArch64::UQADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14137 { 3804 /* uqadd */, AArch64::UQADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14138 { 3804 /* uqadd */, AArch64::UQADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14139 { 3810 /* uqrshl */, AArch64::UQRSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
14140 { 3810 /* uqrshl */, AArch64::UQRSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
14141 { 3810 /* uqrshl */, AArch64::UQRSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
14142 { 3810 /* uqrshl */, AArch64::UQRSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
14143 { 3810 /* uqrshl */, AArch64::UQRSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14144 { 3810 /* uqrshl */, AArch64::UQRSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14145 { 3810 /* uqrshl */, AArch64::UQRSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14146 { 3810 /* uqrshl */, AArch64::UQRSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14147 { 3810 /* uqrshl */, AArch64::UQRSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14148 { 3810 /* uqrshl */, AArch64::UQRSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14149 { 3810 /* uqrshl */, AArch64::UQRSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14150 { 3817 /* uqrshrn */, AArch64::UQRSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
14151 { 3817 /* uqrshrn */, AArch64::UQRSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
14152 { 3817 /* uqrshrn */, AArch64::UQRSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
14153 { 3817 /* uqrshrn */, AArch64::UQRSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
14154 { 3817 /* uqrshrn */, AArch64::UQRSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
14155 { 3817 /* uqrshrn */, AArch64::UQRSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
14156 { 3825 /* uqrshrn2 */, AArch64::UQRSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
14157 { 3825 /* uqrshrn2 */, AArch64::UQRSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
14158 { 3825 /* uqrshrn2 */, AArch64::UQRSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
14159 { 3834 /* uqshl */, AArch64::UQSHLv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
14160 { 3834 /* uqshl */, AArch64::UQSHLh, Convert__Reg1_0__Reg1_1__Imm0_151_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_Imm0_15 }, },
14161 { 3834 /* uqshl */, AArch64::UQSHLv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
14162 { 3834 /* uqshl */, AArch64::UQSHLs, Convert__Reg1_0__Reg1_1__Imm0_311_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_Imm0_31 }, },
14163 { 3834 /* uqshl */, AArch64::UQSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
14164 { 3834 /* uqshl */, AArch64::UQSHLd, Convert__Reg1_0__Reg1_1__Imm0_631_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm0_63 }, },
14165 { 3834 /* uqshl */, AArch64::UQSHLv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
14166 { 3834 /* uqshl */, AArch64::UQSHLb, Convert__Reg1_0__Reg1_1__Imm0_71_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_Imm0_7 }, },
14167 { 3834 /* uqshl */, AArch64::UQSHLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
14168 { 3834 /* uqshl */, AArch64::UQSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14169 { 3834 /* uqshl */, AArch64::UQSHLv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_631_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_63 }, },
14170 { 3834 /* uqshl */, AArch64::UQSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14171 { 3834 /* uqshl */, AArch64::UQSHLv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_31 }, },
14172 { 3834 /* uqshl */, AArch64::UQSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14173 { 3834 /* uqshl */, AArch64::UQSHLv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_15 }, },
14174 { 3834 /* uqshl */, AArch64::UQSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14175 { 3834 /* uqshl */, AArch64::UQSHLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
14176 { 3834 /* uqshl */, AArch64::UQSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14177 { 3834 /* uqshl */, AArch64::UQSHLv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm0_7 }, },
14178 { 3834 /* uqshl */, AArch64::UQSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14179 { 3834 /* uqshl */, AArch64::UQSHLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
14180 { 3834 /* uqshl */, AArch64::UQSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14181 { 3840 /* uqshrn */, AArch64::UQSHRNh, Convert__Reg1_0__Reg1_1__Imm1_161_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR32, MCK_Imm1_16 }, },
14182 { 3840 /* uqshrn */, AArch64::UQSHRNs, Convert__Reg1_0__Reg1_1__Imm1_321_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR64, MCK_Imm1_32 }, },
14183 { 3840 /* uqshrn */, AArch64::UQSHRNb, Convert__Reg1_0__Reg1_1__Imm1_81_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR16, MCK_Imm1_8 }, },
14184 { 3840 /* uqshrn */, AArch64::UQSHRNv2i32_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_32 }, },
14185 { 3840 /* uqshrn */, AArch64::UQSHRNv4i16_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_16 }, },
14186 { 3840 /* uqshrn */, AArch64::UQSHRNv8i8_shift, Convert__VectorReg641_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128, MCK_Imm1_8 }, },
14187 { 3847 /* uqshrn2 */, AArch64::UQSHRNv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
14188 { 3847 /* uqshrn2 */, AArch64::UQSHRNv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
14189 { 3847 /* uqshrn2 */, AArch64::UQSHRNv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
14190 { 3855 /* uqsub */, AArch64::UQSUBv1i16, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR16, MCK_FPR16, MCK_FPR16 }, },
14191 { 3855 /* uqsub */, AArch64::UQSUBv1i32, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR32, MCK_FPR32, MCK_FPR32 }, },
14192 { 3855 /* uqsub */, AArch64::UQSUBv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
14193 { 3855 /* uqsub */, AArch64::UQSUBv1i8, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR8, MCK_FPR8, MCK_FPR8 }, },
14194 { 3855 /* uqsub */, AArch64::UQSUBv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14195 { 3855 /* uqsub */, AArch64::UQSUBv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14196 { 3855 /* uqsub */, AArch64::UQSUBv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14197 { 3855 /* uqsub */, AArch64::UQSUBv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14198 { 3855 /* uqsub */, AArch64::UQSUBv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14199 { 3855 /* uqsub */, AArch64::UQSUBv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14200 { 3855 /* uqsub */, AArch64::UQSUBv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14201 { 3861 /* uqxtn */, AArch64::UQXTNv1i16, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR32 }, },
14202 { 3861 /* uqxtn */, AArch64::UQXTNv1i32, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR64 }, },
14203 { 3861 /* uqxtn */, AArch64::UQXTNv1i8, Convert__Reg1_0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR16 }, },
14204 { 3861 /* uqxtn */, AArch64::UQXTNv2i32, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128 }, },
14205 { 3861 /* uqxtn */, AArch64::UQXTNv4i16, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128 }, },
14206 { 3861 /* uqxtn */, AArch64::UQXTNv8i8, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128 }, },
14207 { 3867 /* uqxtn2 */, AArch64::UQXTNv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
14208 { 3867 /* uqxtn2 */, AArch64::UQXTNv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
14209 { 3867 /* uqxtn2 */, AArch64::UQXTNv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
14210 { 3874 /* urecpe */, AArch64::URECPEv2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
14211 { 3874 /* urecpe */, AArch64::URECPEv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
14212 { 3881 /* urhadd */, AArch64::URHADDv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14213 { 3881 /* urhadd */, AArch64::URHADDv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14214 { 3881 /* urhadd */, AArch64::URHADDv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14215 { 3881 /* urhadd */, AArch64::URHADDv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14216 { 3881 /* urhadd */, AArch64::URHADDv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14217 { 3881 /* urhadd */, AArch64::URHADDv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14218 { 3888 /* urshl */, AArch64::URSHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
14219 { 3888 /* urshl */, AArch64::URSHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14220 { 3888 /* urshl */, AArch64::URSHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14221 { 3888 /* urshl */, AArch64::URSHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14222 { 3888 /* urshl */, AArch64::URSHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14223 { 3888 /* urshl */, AArch64::URSHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14224 { 3888 /* urshl */, AArch64::URSHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14225 { 3888 /* urshl */, AArch64::URSHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14226 { 3894 /* urshr */, AArch64::URSHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
14227 { 3894 /* urshr */, AArch64::URSHRv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
14228 { 3894 /* urshr */, AArch64::URSHRv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
14229 { 3894 /* urshr */, AArch64::URSHRv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
14230 { 3894 /* urshr */, AArch64::URSHRv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
14231 { 3894 /* urshr */, AArch64::URSHRv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
14232 { 3894 /* urshr */, AArch64::URSHRv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
14233 { 3894 /* urshr */, AArch64::URSHRv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
14234 { 3900 /* ursqrte */, AArch64::URSQRTEv2i32, Convert__VectorReg641_1__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
14235 { 3900 /* ursqrte */, AArch64::URSQRTEv4i32, Convert__VectorReg1281_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
14236 { 3908 /* ursra */, AArch64::URSRAd, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
14237 { 3908 /* ursra */, AArch64::URSRAv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
14238 { 3908 /* ursra */, AArch64::URSRAv2i64_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
14239 { 3908 /* ursra */, AArch64::URSRAv2i32_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
14240 { 3908 /* ursra */, AArch64::URSRAv4i16_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
14241 { 3908 /* ursra */, AArch64::URSRAv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
14242 { 3908 /* ursra */, AArch64::URSRAv8i8_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
14243 { 3908 /* ursra */, AArch64::URSRAv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
14244 { 3914 /* ushl */, AArch64::USHLv1i64, Convert__Reg1_0__Reg1_1__Reg1_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_FPR64 }, },
14245 { 3914 /* ushl */, AArch64::USHLv16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14246 { 3914 /* ushl */, AArch64::USHLv2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14247 { 3914 /* ushl */, AArch64::USHLv2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14248 { 3914 /* ushl */, AArch64::USHLv4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14249 { 3914 /* ushl */, AArch64::USHLv4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14250 { 3914 /* ushl */, AArch64::USHLv8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14251 { 3914 /* ushl */, AArch64::USHLv8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14252 { 3919 /* ushll */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_31 }, },
14253 { 3919 /* ushll */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_15 }, },
14254 { 3919 /* ushll */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_Imm0_7 }, },
14255 { 3925 /* ushll2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_311_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_31 }, },
14256 { 3925 /* ushll2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_151_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_15 }, },
14257 { 3925 /* ushll2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm0_71_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm0_7 }, },
14258 { 3932 /* ushr */, AArch64::USHRd, Convert__Reg1_0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
14259 { 3932 /* ushr */, AArch64::USHRv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
14260 { 3932 /* ushr */, AArch64::USHRv2i64_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
14261 { 3932 /* ushr */, AArch64::USHRv2i32_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
14262 { 3932 /* ushr */, AArch64::USHRv4i16_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
14263 { 3932 /* ushr */, AArch64::USHRv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
14264 { 3932 /* ushr */, AArch64::USHRv8i8_shift, Convert__VectorReg641_1__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
14265 { 3932 /* ushr */, AArch64::USHRv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
14266 { 3937 /* usqadd */, AArch64::USQADDv1i16, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR16, MCK_FPR16 }, },
14267 { 3937 /* usqadd */, AArch64::USQADDv1i32, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR32, MCK_FPR32 }, },
14268 { 3937 /* usqadd */, AArch64::USQADDv1i64, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR64, MCK_FPR64 }, },
14269 { 3937 /* usqadd */, AArch64::USQADDv1i8, Convert__Reg1_0__Tie0__Reg1_1, Feature_HasNEON, { MCK_FPR8, MCK_FPR8 }, },
14270 { 3937 /* usqadd */, AArch64::USQADDv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
14271 { 3937 /* usqadd */, AArch64::USQADDv2i64, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
14272 { 3937 /* usqadd */, AArch64::USQADDv2i32, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64 }, },
14273 { 3937 /* usqadd */, AArch64::USQADDv4i16, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64 }, },
14274 { 3937 /* usqadd */, AArch64::USQADDv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
14275 { 3937 /* usqadd */, AArch64::USQADDv8i8, Convert__VectorReg641_1__Tie0__VectorReg641_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64 }, },
14276 { 3937 /* usqadd */, AArch64::USQADDv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
14277 { 3944 /* usra */, AArch64::USRAd, Convert__Reg1_0__Tie0__Reg1_1__Imm1_641_2, Feature_HasNEON, { MCK_FPR64, MCK_FPR64, MCK_Imm1_64 }, },
14278 { 3944 /* usra */, AArch64::USRAv16i8_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_8 }, },
14279 { 3944 /* usra */, AArch64::USRAv2i64_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_64 }, },
14280 { 3944 /* usra */, AArch64::USRAv2i32_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_32 }, },
14281 { 3944 /* usra */, AArch64::USRAv4i16_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_16 }, },
14282 { 3944 /* usra */, AArch64::USRAv4i32_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_321_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_32 }, },
14283 { 3944 /* usra */, AArch64::USRAv8i8_shift, Convert__VectorReg641_1__Tie0__VectorReg641_2__Imm1_81_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_Imm1_8 }, },
14284 { 3944 /* usra */, AArch64::USRAv8i16_shift, Convert__VectorReg1281_1__Tie0__VectorReg1281_2__Imm1_161_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_Imm1_16 }, },
14285 { 3949 /* usubl */, AArch64::USUBLv2i32_v2i64, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14286 { 3949 /* usubl */, AArch64::USUBLv4i16_v4i32, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14287 { 3949 /* usubl */, AArch64::USUBLv8i8_v8i16, Convert__VectorReg1281_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64, MCK_VectorReg64 }, },
14288 { 3955 /* usubl2 */, AArch64::USUBLv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14289 { 3955 /* usubl2 */, AArch64::USUBLv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14290 { 3955 /* usubl2 */, AArch64::USUBLv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14291 { 3962 /* usubw */, AArch64::USUBWv2i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
14292 { 3962 /* usubw */, AArch64::USUBWv4i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
14293 { 3962 /* usubw */, AArch64::USUBWv8i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg64 }, },
14294 { 3968 /* usubw2 */, AArch64::USUBWv4i32_v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14295 { 3968 /* usubw2 */, AArch64::USUBWv8i16_v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14296 { 3968 /* usubw2 */, AArch64::USUBWv16i8_v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14297 { 3975 /* uxtb */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, 0, { MCK_GPR32, MCK_GPR32 }, },
14298 { 3975 /* uxtb */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_7, 0, { MCK_GPR64, MCK_GPR64 }, },
14299 { 3980 /* uxth */, AArch64::UBFMWri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, 0, { MCK_GPR32, MCK_GPR32 }, },
14300 { 3980 /* uxth */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_15, 0, { MCK_GPR64, MCK_GPR64 }, },
14301 { 3985 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg64 }, },
14302 { 3985 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg64 }, },
14303 { 3985 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_1__VectorReg641_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg64 }, },
14304 { 3985 /* uxtl */, AArch64::USHLLv2i32_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg64, MCK__DOT_2s }, },
14305 { 3985 /* uxtl */, AArch64::USHLLv4i16_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg64, MCK__DOT_4h }, },
14306 { 3985 /* uxtl */, AArch64::USHLLv8i8_shift, Convert__VectorReg1281_0__VectorReg641_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg64, MCK__DOT_8b }, },
14307 { 3990 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128 }, },
14308 { 3990 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
14309 { 3990 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_1__VectorReg1281_2__imm_95_0, 0, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
14310 { 3990 /* uxtl2 */, AArch64::USHLLv4i32_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_2d, MCK_VectorReg128, MCK__DOT_4s }, },
14311 { 3990 /* uxtl2 */, AArch64::USHLLv8i16_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_4s, MCK_VectorReg128, MCK__DOT_8h }, },
14312 { 3990 /* uxtl2 */, AArch64::USHLLv16i8_shift, Convert__VectorReg1281_0__VectorReg1281_2__imm_95_0, 0, { MCK_VectorReg128, MCK__DOT_8h, MCK_VectorReg128, MCK__DOT_16b }, },
14313 { 3996 /* uxtw */, AArch64::UBFMXri, Convert__Reg1_0__Reg1_1__imm_95_0__imm_95_31, 0, { MCK_GPR64, MCK_GPR64 }, },
14314 { 4001 /* uzp1 */, AArch64::UZP1v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14315 { 4001 /* uzp1 */, AArch64::UZP1v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14316 { 4001 /* uzp1 */, AArch64::UZP1v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14317 { 4001 /* uzp1 */, AArch64::UZP1v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14318 { 4001 /* uzp1 */, AArch64::UZP1v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14319 { 4001 /* uzp1 */, AArch64::UZP1v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14320 { 4001 /* uzp1 */, AArch64::UZP1v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14321 { 4006 /* uzp2 */, AArch64::UZP2v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14322 { 4006 /* uzp2 */, AArch64::UZP2v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14323 { 4006 /* uzp2 */, AArch64::UZP2v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14324 { 4006 /* uzp2 */, AArch64::UZP2v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14325 { 4006 /* uzp2 */, AArch64::UZP2v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14326 { 4006 /* uzp2 */, AArch64::UZP2v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14327 { 4006 /* uzp2 */, AArch64::UZP2v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14328 { 4011 /* wfe */, AArch64::HINT, Convert__imm_95_2, 0, { }, },
14329 { 4015 /* wfi */, AArch64::HINT, Convert__imm_95_3, 0, { }, },
14330 { 4019 /* xtn */, AArch64::XTNv2i32, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg128 }, },
14331 { 4019 /* xtn */, AArch64::XTNv4i16, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg128 }, },
14332 { 4019 /* xtn */, AArch64::XTNv8i8, Convert__VectorReg641_1__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg128 }, },
14333 { 4023 /* xtn2 */, AArch64::XTNv16i8, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128 }, },
14334 { 4023 /* xtn2 */, AArch64::XTNv4i32, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128 }, },
14335 { 4023 /* xtn2 */, AArch64::XTNv8i16, Convert__VectorReg1281_1__Tie0__VectorReg1281_2, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128 }, },
14336 { 4028 /* yield */, AArch64::HINT, Convert__imm_95_1, 0, { }, },
14337 { 4034 /* zip1 */, AArch64::ZIP1v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14338 { 4034 /* zip1 */, AArch64::ZIP1v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14339 { 4034 /* zip1 */, AArch64::ZIP1v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14340 { 4034 /* zip1 */, AArch64::ZIP1v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14341 { 4034 /* zip1 */, AArch64::ZIP1v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14342 { 4034 /* zip1 */, AArch64::ZIP1v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14343 { 4034 /* zip1 */, AArch64::ZIP1v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14344 { 4039 /* zip2 */, AArch64::ZIP2v16i8, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_16b, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14345 { 4039 /* zip2 */, AArch64::ZIP2v2i64, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_2d, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14346 { 4039 /* zip2 */, AArch64::ZIP2v2i32, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_2s, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14347 { 4039 /* zip2 */, AArch64::ZIP2v4i16, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_4h, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14348 { 4039 /* zip2 */, AArch64::ZIP2v4i32, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_4s, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14349 { 4039 /* zip2 */, AArch64::ZIP2v8i8, Convert__VectorReg641_1__VectorReg641_2__VectorReg641_3, Feature_HasNEON, { MCK__DOT_8b, MCK_VectorReg64, MCK_VectorReg64, MCK_VectorReg64 }, },
14350 { 4039 /* zip2 */, AArch64::ZIP2v8i16, Convert__VectorReg1281_1__VectorReg1281_2__VectorReg1281_3, Feature_HasNEON, { MCK__DOT_8h, MCK_VectorReg128, MCK_VectorReg128, MCK_VectorReg128 }, },
14351};
14352
14353bool AArch64AsmParser::
14354mnemonicIsValid(StringRef Mnemonic, unsigned VariantID) {
14355 // Find the appropriate table for this asm variant.
14356 const MatchEntry *Start, *End;
14357 switch (VariantID) {
14358 default: llvm_unreachable("invalid variant!")::llvm::llvm_unreachable_internal("invalid variant!", "/tmp/buildd/llvm-toolchain-snapshot-3.9~svn271203/build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc"
, 14358)
;
14359 case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
14360 case 1: Start = std::begin(MatchTable1); End = std::end(MatchTable1); break;
14361 }
14362 // Search the table.
14363 auto MnemonicRange = std::equal_range(Start, End, Mnemonic, LessOpcode());
14364 return MnemonicRange.first != MnemonicRange.second;
14365}
14366
14367unsigned AArch64AsmParser::
14368MatchInstructionImpl(const OperandVector &Operands,
14369 MCInst &Inst, uint64_t &ErrorInfo,
14370 bool matchingInlineAsm, unsigned VariantID) {
14371 // Eliminate obvious mismatches.
14372 if (Operands.size() > 8) {
14373 ErrorInfo = 8;
14374 return Match_InvalidOperand;
14375 }
14376
14377 // Get the current feature set.
14378 uint64_t AvailableFeatures = getAvailableFeatures();
14379
14380 // Get the instruction mnemonic, which is the first token.
14381 StringRef Mnemonic = ((AArch64Operand&)*Operands[0]).getToken();
14382
14383 // Some state to try to produce better error messages.
14384 bool HadMatchOtherThanFeatures = false;
14385 bool HadMatchOtherThanPredicate = false;
14386 unsigned RetCode = Match_InvalidOperand;
14387 uint64_t MissingFeatures = ~0ULL;
14388 // Set ErrorInfo to the operand that mismatches if it is
14389 // wrong for all instances of the instruction.
14390 ErrorInfo = ~0ULL;
14391 // Find the appropriate table for this asm variant.
14392 const MatchEntry *Start, *End;
14393 switch (VariantID) {
14394 default: llvm_unreachable("invalid variant!")::llvm::llvm_unreachable_internal("invalid variant!", "/tmp/buildd/llvm-toolchain-snapshot-3.9~svn271203/build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc"
, 14394)
;
14395 case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
14396 case 1: Start = std::begin(MatchTable1); End = std::end(MatchTable1); break;
14397 }
14398 // Search the table.
14399 auto MnemonicRange = std::equal_range(Start, End, Mnemonic, LessOpcode());
14400
14401 // Return a more specific error code if no mnemonics match.
14402 if (MnemonicRange.first == MnemonicRange.second)
14403 return Match_MnemonicFail;
14404
14405 for (const MatchEntry *it = MnemonicRange.first, *ie = MnemonicRange.second;
14406 it != ie; ++it) {
14407 // equal_range guarantees that instruction mnemonic matches.
14408 assert(Mnemonic == it->getMnemonic())((Mnemonic == it->getMnemonic()) ? static_cast<void>
(0) : __assert_fail ("Mnemonic == it->getMnemonic()", "/tmp/buildd/llvm-toolchain-snapshot-3.9~svn271203/build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc"
, 14408, __PRETTY_FUNCTION__))
;
14409 bool OperandsValid = true;
14410 for (unsigned FormalIdx = 0, ActualIdx = 1; FormalIdx != 7; ++FormalIdx) {
14411 auto Formal = static_cast<MatchClassKind>(it->Classes[FormalIdx]);
14412 if (ActualIdx >= Operands.size()) {
14413 OperandsValid = (Formal == InvalidMatchClass) || isSubclass(Formal, OptionalMatchClass);
14414 if (!OperandsValid) ErrorInfo = ActualIdx;
14415 break;
14416 }
14417 MCParsedAsmOperand &Actual = *Operands[ActualIdx];
14418 unsigned Diag = validateOperandClass(Actual, Formal);
14419 if (Diag == Match_Success) {
14420 ++ActualIdx;
14421 continue;
14422 }
14423 // If the generic handler indicates an invalid operand
14424 // failure, check for a special case.
14425 if (Diag == Match_InvalidOperand) {
14426 Diag = validateTargetOperandClass(Actual, Formal);
14427 if (Diag == Match_Success) {
14428 ++ActualIdx;
14429 continue;
14430 }
14431 }
14432 // If current formal operand wasn't matched and it is optional
14433 // then try to match next formal operand
14434 if (Diag == Match_InvalidOperand && isSubclass(Formal, OptionalMatchClass)) {
14435 continue;
14436 }
14437 // If this operand is broken for all of the instances of this
14438 // mnemonic, keep track of it so we can report loc info.
14439 // If we already had a match that only failed due to a
14440 // target predicate, that diagnostic is preferred.
14441 if (!HadMatchOtherThanPredicate &&
14442 (it == MnemonicRange.first || ErrorInfo <= ActualIdx)) {
14443 ErrorInfo = ActualIdx;
14444 // InvalidOperand is the default. Prefer specificity.
14445 if (Diag != Match_InvalidOperand)
14446 RetCode = Diag;
14447 }
14448 // Otherwise, just reject this instance of the mnemonic.
14449 OperandsValid = false;
14450 break;
14451 }
14452
14453 if (!OperandsValid) continue;
14454 if ((AvailableFeatures & it->RequiredFeatures) != it->RequiredFeatures) {
14455 HadMatchOtherThanFeatures = true;
14456 uint64_t NewMissingFeatures = it->RequiredFeatures & ~AvailableFeatures;
14457 if (countPopulation(NewMissingFeatures) <=
14458 countPopulation(MissingFeatures))
14459 MissingFeatures = NewMissingFeatures;
14460 continue;
14461 }
14462
14463 Inst.clear();
14464
14465 if (matchingInlineAsm) {
14466 Inst.setOpcode(it->Opcode);
14467 convertToMapAndConstraints(it->ConvertFn, Operands);
14468 return Match_Success;
14469 }
14470
14471 // We have selected a definite instruction, convert the parsed
14472 // operands into the appropriate MCInst.
14473 convertToMCInst(it->ConvertFn, Inst, it->Opcode, Operands);
14474
14475 // We have a potential match. Check the target predicate to
14476 // handle any context sensitive constraints.
14477 unsigned MatchResult;
14478 if ((MatchResult = checkTargetMatchPredicate(Inst)) != Match_Success) {
14479 Inst.clear();
14480 RetCode = MatchResult;
14481 HadMatchOtherThanPredicate = true;
14482 continue;
14483 }
14484
14485 return Match_Success;
14486 }
14487
14488 // Okay, we had no match. Try to return a useful error code.
14489 if (HadMatchOtherThanPredicate || !HadMatchOtherThanFeatures)
14490 return RetCode;
14491
14492 // Missing feature matches return which features were missing
14493 ErrorInfo = MissingFeatures;
14494 return Match_MissingFeature;
14495}
14496
14497namespace {
14498 struct OperandMatchEntry {
Excessive padding in 'struct (anonymous namespace)::OperandMatchEntry' (2 padding bytes, where 0 is optimal). Consider reordering the fields or adding explicit padding members
14499 uint8_t RequiredFeatures;
14500 uint16_t Mnemonic;
14501 uint16_t Class;
14502 uint8_t OperandMask;
14503
14504 StringRef getMnemonic() const {
14505 return StringRef(MnemonicTable + Mnemonic + 1,
14506 MnemonicTable[Mnemonic]);
14507 }
14508 };
14509
14510 // Predicate for searching for an opcode.
14511 struct LessOpcodeOperand {
14512 bool operator()(const OperandMatchEntry &LHS, StringRef RHS) {
14513 return LHS.getMnemonic() < RHS;
14514 }
14515 bool operator()(StringRef LHS, const OperandMatchEntry &RHS) {
14516 return LHS < RHS.getMnemonic();
14517 }
14518 bool operator()(const OperandMatchEntry &LHS, const OperandMatchEntry &RHS) {
14519 return LHS.getMnemonic() < RHS.getMnemonic();
14520 }
14521 };
14522} // end anonymous namespace.
14523
14524static const OperandMatchEntry OperandMatchTable[202] = {
14525 /* Operand List Mask, Mnemonic, Operand Class, Features */
14526 { 0, 13 /* add */, MCK_AddSubImmNeg, 4 /* 2 */ },
14527 { 0, 13 /* add */, MCK_AddSubImmNeg, 4 /* 2 */ },
14528 { 0, 13 /* add */, MCK_AddSubImm, 4 /* 2 */ },
14529 { 0, 13 /* add */, MCK_AddSubImm, 4 /* 2 */ },
14530 { 0, 13 /* add */, MCK_AddSubImmNeg, 4 /* 2 */ },
14531 { 0, 13 /* add */, MCK_AddSubImmNeg, 4 /* 2 */ },
14532 { 0, 13 /* add */, MCK_AddSubImm, 4 /* 2 */ },
14533 { 0, 13 /* add */, MCK_AddSubImm, 4 /* 2 */ },
14534 { 0, 35 /* adds */, MCK_AddSubImmNeg, 4 /* 2 */ },
14535 { 0, 35 /* adds */, MCK_AddSubImmNeg, 4 /* 2 */ },
14536 { 0, 35 /* adds */, MCK_AddSubImm, 4 /* 2 */ },
14537 { 0, 35 /* adds */, MCK_AddSubImm, 4 /* 2 */ },
14538 { 0, 35 /* adds */, MCK_AddSubImmNeg, 4 /* 2 */ },
14539 { 0, 35 /* adds */, MCK_AddSubImmNeg, 4 /* 2 */ },
14540 { 0, 35 /* adds */, MCK_AddSubImm, 4 /* 2 */ },
14541 { 0, 35 /* adds */, MCK_AddSubImm, 4 /* 2 */ },
14542 { 0, 45 /* adr */, MCK_AdrLabel, 2 /* 1 */ },
14543 { 0, 45 /* adr */, MCK_AdrLabel, 2 /* 1 */ },
14544 { 0, 49 /* adrp */, MCK_AdrpLabel, 2 /* 1 */ },
14545 { 0, 49 /* adrp */, MCK_AdrpLabel, 2 /* 1 */ },
14546 { Feature_HasV8_1a, 204 /* casp */, MCK_WSeqPair, 3 /* 0, 1 */ },
14547 { Feature_HasV8_1a, 204 /* casp */, MCK_WSeqPair, 3 /* 0, 1 */ },
14548 { Feature_HasV8_1a, 204 /* casp */, MCK_XSeqPair, 3 /* 0, 1 */ },
14549 { Feature_HasV8_1a, 204 /* casp */, MCK_XSeqPair, 3 /* 0, 1 */ },
14550 { Feature_HasV8_1a, 209 /* caspa */, MCK_WSeqPair, 3 /* 0, 1 */ },
14551 { Feature_HasV8_1a, 209 /* caspa */, MCK_WSeqPair, 3 /* 0, 1 */ },
14552 { Feature_HasV8_1a, 209 /* caspa */, MCK_XSeqPair, 3 /* 0, 1 */ },
14553 { Feature_HasV8_1a, 209 /* caspa */, MCK_XSeqPair, 3 /* 0, 1 */ },
14554 { Feature_HasV8_1a, 215 /* caspal */, MCK_WSeqPair, 3 /* 0, 1 */ },
14555 { Feature_HasV8_1a, 215 /* caspal */, MCK_WSeqPair, 3 /* 0, 1 */ },
14556 { Feature_HasV8_1a, 215 /* caspal */, MCK_XSeqPair, 3 /* 0, 1 */ },
14557 { Feature_HasV8_1a, 215 /* caspal */, MCK_XSeqPair, 3 /* 0, 1 */ },
14558 { Feature_HasV8_1a, 222 /* caspl */, MCK_WSeqPair, 3 /* 0, 1 */ },
14559 { Feature_HasV8_1a, 222 /* caspl */, MCK_WSeqPair, 3 /* 0, 1 */ },
14560 { Feature_HasV8_1a, 222 /* caspl */, MCK_XSeqPair, 3 /* 0, 1 */ },
14561 { Feature_HasV8_1a, 222 /* caspl */, MCK_XSeqPair, 3 /* 0, 1 */ },
14562 { 0, 316 /* cmn */, MCK_AddSubImmNeg, 2 /* 1 */ },
14563 { 0, 316 /* cmn */, MCK_AddSubImmNeg, 2 /* 1 */ },
14564 { 0, 316 /* cmn */, MCK_AddSubImm, 2 /* 1 */ },
14565 { 0, 316 /* cmn */, MCK_AddSubImm, 2 /* 1 */ },
14566 { 0, 316 /* cmn */, MCK_AddSubImmNeg, 2 /* 1 */ },
14567 { 0, 316 /* cmn */, MCK_AddSubImmNeg, 2 /* 1 */ },
14568 { 0, 316 /* cmn */, MCK_AddSubImm, 2 /* 1 */ },
14569 { 0, 316 /* cmn */, MCK_AddSubImm, 2 /* 1 */ },
14570 { 0, 320 /* cmp */, MCK_AddSubImmNeg, 2 /* 1 */ },
14571 { 0, 320 /* cmp */, MCK_AddSubImmNeg, 2 /* 1 */ },
14572 { 0, 320 /* cmp */, MCK_AddSubImm, 2 /* 1 */ },
14573 { 0, 320 /* cmp */, MCK_AddSubImm, 2 /* 1 */ },
14574 { 0, 320 /* cmp */, MCK_AddSubImmNeg, 2 /* 1 */ },
14575 { 0, 320 /* cmp */, MCK_AddSubImmNeg, 2 /* 1 */ },
14576 { 0, 320 /* cmp */, MCK_AddSubImm, 2 /* 1 */ },
14577 { 0, 320 /* cmp */, MCK_AddSubImm, 2 /* 1 */ },
14578 { 0, 451 /* dmb */, MCK_Barrier, 1 /* 0 */ },
14579 { 0, 451 /* dmb */, MCK_Barrier, 1 /* 0 */ },
14580 { 0, 460 /* dsb */, MCK_Barrier, 1 /* 0 */ },
14581 { 0, 460 /* dsb */, MCK_Barrier, 1 /* 0 */ },
14582 { Feature_HasFullFP16, 812 /* fmov */, MCK_FPImm, 2 /* 1 */ },
14583 { Feature_HasFullFP16, 812 /* fmov */, MCK_FPImm, 2 /* 1 */ },
14584 { Feature_HasFPARMv8, 812 /* fmov */, MCK_FPImm, 2 /* 1 */ },
14585 { Feature_HasFPARMv8, 812 /* fmov */, MCK_FPImm, 2 /* 1 */ },
14586 { Feature_HasFPARMv8, 812 /* fmov */, MCK_FPImm, 2 /* 1 */ },
14587 { Feature_HasFPARMv8, 812 /* fmov */, MCK_FPImm, 2 /* 1 */ },
14588 { Feature_HasNEON, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14589 { Feature_HasNEON, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14590 { Feature_HasNEON|Feature_HasFullFP16, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14591 { Feature_HasNEON, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14592 { Feature_HasNEON|Feature_HasFullFP16, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14593 { Feature_HasNEON, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14594 { Feature_HasNEON, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14595 { Feature_HasNEON|Feature_HasFullFP16, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14596 { Feature_HasNEON, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14597 { Feature_HasNEON|Feature_HasFullFP16, 812 /* fmov */, MCK_FPImm, 4 /* 2 */ },
14598 { 0, 973 /* isb */, MCK_Barrier, 1 /* 0 */ },
14599 { 0, 973 /* isb */, MCK_Barrier, 1 /* 0 */ },
14600 { 0, 1105 /* ldar */, MCK_GPR64sp0, 4 /* 2 */ },
14601 { 0, 1105 /* ldar */, MCK_GPR64sp0, 4 /* 2 */ },
14602 { 0, 1105 /* ldar */, MCK_GPR64sp0, 4 /* 2 */ },
14603 { 0, 1105 /* ldar */, MCK_GPR64sp0, 4 /* 2 */ },
14604 { 0, 1110 /* ldarb */, MCK_GPR64sp0, 4 /* 2 */ },
14605 { 0, 1110 /* ldarb */, MCK_GPR64sp0, 4 /* 2 */ },
14606 { 0, 1116 /* ldarh */, MCK_GPR64sp0, 4 /* 2 */ },
14607 { 0, 1116 /* ldarh */, MCK_GPR64sp0, 4 /* 2 */ },
14608 { 0, 1122 /* ldaxp */, MCK_GPR64sp0, 8 /* 3 */ },
14609 { 0, 1122 /* ldaxp */, MCK_GPR64sp0, 8 /* 3 */ },
14610 { 0, 1122 /* ldaxp */, MCK_GPR64sp0, 8 /* 3 */ },
14611 { 0, 1122 /* ldaxp */, MCK_GPR64sp0, 8 /* 3 */ },
14612 { 0, 1128 /* ldaxr */, MCK_GPR64sp0, 4 /* 2 */ },
14613 { 0, 1128 /* ldaxr */, MCK_GPR64sp0, 4 /* 2 */ },
14614 { 0, 1128 /* ldaxr */, MCK_GPR64sp0, 4 /* 2 */ },
14615 { 0, 1128 /* ldaxr */, MCK_GPR64sp0, 4 /* 2 */ },
14616 { 0, 1134 /* ldaxrb */, MCK_GPR64sp0, 4 /* 2 */ },
14617 { 0, 1134 /* ldaxrb */, MCK_GPR64sp0, 4 /* 2 */ },
14618 { 0, 1141 /* ldaxrh */, MCK_GPR64sp0, 4 /* 2 */ },
14619 { 0, 1141 /* ldaxrh */, MCK_GPR64sp0, 4 /* 2 */ },
14620 { Feature_HasV8_1a, 1332 /* ldlar */, MCK_GPR64sp0, 4 /* 2 */ },
14621 { Feature_HasV8_1a, 1332 /* ldlar */, MCK_GPR64sp0, 4 /* 2 */ },
14622 { Feature_HasV8_1a, 1332 /* ldlar */, MCK_GPR64sp0, 4 /* 2 */ },
14623 { Feature_HasV8_1a, 1332 /* ldlar */, MCK_GPR64sp0, 4 /* 2 */ },
14624 { Feature_HasV8_1a, 1338 /* ldlarb */, MCK_GPR64sp0, 4 /* 2 */ },
14625 { Feature_HasV8_1a, 1338 /* ldlarb */, MCK_GPR64sp0, 4 /* 2 */ },
14626 { Feature_HasV8_1a, 1345 /* ldlarh */, MCK_GPR64sp0, 4 /* 2 */ },
14627 { Feature_HasV8_1a, 1345 /* ldlarh */, MCK_GPR64sp0, 4 /* 2 */ },
14628 { 0, 1983 /* ldxp */, MCK_GPR64sp0, 8 /* 3 */ },
14629 { 0, 1983 /* ldxp */, MCK_GPR64sp0, 8 /* 3 */ },
14630 { 0, 1983 /* ldxp */, MCK_GPR64sp0, 8 /* 3 */ },
14631 { 0, 1983 /* ldxp */, MCK_GPR64sp0, 8 /* 3 */ },
14632 { 0, 1988 /* ldxr */, MCK_GPR64sp0, 4 /* 2 */ },
14633 { 0, 1988 /* ldxr */, MCK_GPR64sp0, 4 /* 2 */ },
14634 { 0, 1988 /* ldxr */, MCK_GPR64sp0, 4 /* 2 */ },
14635 { 0, 1988 /* ldxr */, MCK_GPR64sp0, 4 /* 2 */ },
14636 { 0, 1993 /* ldxrb */, MCK_GPR64sp0, 4 /* 2 */ },
14637 { 0, 1993 /* ldxrb */, MCK_GPR64sp0, 4 /* 2 */ },
14638 { 0, 1999 /* ldxrh */, MCK_GPR64sp0, 4 /* 2 */ },
14639 { 0, 1999 /* ldxrh */, MCK_GPR64sp0, 4 /* 2 */ },
14640 { 0, 2065 /* mrs */, MCK_MRSSystemRegister, 2 /* 1 */ },
14641 { 0, 2065 /* mrs */, MCK_MRSSystemRegister, 2 /* 1 */ },
14642 { 0, 2069 /* msr */, MCK_MSRSystemRegister, 1 /* 0 */ },
14643 { 0, 2069 /* msr */, MCK_MSRSystemRegister, 1 /* 0 */ },
14644 { 0, 2069 /* msr */, MCK_SystemPStateFieldWithImm0_15, 1 /* 0 */ },
14645 { 0, 2069 /* msr */, MCK_SystemPStateFieldWithImm0_15, 1 /* 0 */ },
14646 { 0, 2069 /* msr */, MCK_SystemPStateFieldWithImm0_1, 1 /* 0 */ },
14647 { 0, 2069 /* msr */, MCK_SystemPStateFieldWithImm0_1, 1 /* 0 */ },
14648 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14649 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14650 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14651 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14652 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14653 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14654 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14655 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14656 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14657 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14658 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14659 { 0, 2143 /* prfm */, MCK_Prefetch, 1 /* 0 */ },
14660 { 0, 2148 /* prfum */, MCK_Prefetch, 1 /* 0 */ },
14661 { 0, 2148 /* prfum */, MCK_Prefetch, 1 /* 0 */ },
14662 { 0, 2148 /* prfum */, MCK_Prefetch, 1 /* 0 */ },
14663 { 0, 2148 /* prfum */, MCK_Prefetch, 1 /* 0 */ },
14664 { Feature_HasSPE, 2154 /* psb */, MCK_PSBHint, 1 /* 0 */ },
14665 { Feature_HasSPE, 2154 /* psb */, MCK_PSBHint, 1 /* 0 */ },
14666 { Feature_HasV8_1a, 3040 /* stllr */, MCK_GPR64sp0, 4 /* 2 */ },
14667 { Feature_HasV8_1a, 3040 /* stllr */, MCK_GPR64sp0, 4 /* 2 */ },
14668 { Feature_HasV8_1a, 3040 /* stllr */, MCK_GPR64sp0, 4 /* 2 */ },
14669 { Feature_HasV8_1a, 3040 /* stllr */, MCK_GPR64sp0, 4 /* 2 */ },
14670 { Feature_HasV8_1a, 3046 /* stllrb */, MCK_GPR64sp0, 4 /* 2 */ },
14671 { Feature_HasV8_1a, 3046 /* stllrb */, MCK_GPR64sp0, 4 /* 2 */ },
14672 { Feature_HasV8_1a, 3053 /* stllrh */, MCK_GPR64sp0, 4 /* 2 */ },
14673 { Feature_HasV8_1a, 3053 /* stllrh */, MCK_GPR64sp0, 4 /* 2 */ },
14674 { 0, 3060 /* stlr */, MCK_GPR64sp0, 4 /* 2 */ },
14675 { 0, 3060 /* stlr */, MCK_GPR64sp0, 4 /* 2 */ },
14676 { 0, 3060 /* stlr */, MCK_GPR64sp0, 4 /* 2 */ },
14677 { 0, 3060 /* stlr */, MCK_GPR64sp0, 4 /* 2 */ },
14678 { 0, 3065 /* stlrb */, MCK_GPR64sp0, 4 /* 2 */ },
14679 { 0, 3065 /* stlrb */, MCK_GPR64sp0, 4 /* 2 */ },
14680 { 0, 3071 /* stlrh */, MCK_GPR64sp0, 4 /* 2 */ },
14681 { 0, 3071 /* stlrh */, MCK_GPR64sp0, 4 /* 2 */ },
14682 { 0, 3077 /* stlxp */, MCK_GPR64sp0, 16 /* 4 */ },
14683 { 0, 3077 /* stlxp */, MCK_GPR64sp0, 16 /* 4 */ },
14684 { 0, 3077 /* stlxp */, MCK_GPR64sp0, 16 /* 4 */ },
14685 { 0, 3077 /* stlxp */, MCK_GPR64sp0, 16 /* 4 */ },
14686 { 0, 3083 /* stlxr */, MCK_GPR64sp0, 8 /* 3 */ },
14687 { 0, 3083 /* stlxr */, MCK_GPR64sp0, 8 /* 3 */ },
14688 { 0, 3083 /* stlxr */, MCK_GPR64sp0, 8 /* 3 */ },
14689 { 0, 3083 /* stlxr */, MCK_GPR64sp0, 8 /* 3 */ },
14690 { 0, 3089 /* stlxrb */, MCK_GPR64sp0, 8 /* 3 */ },
14691 { 0, 3089 /* stlxrb */, MCK_GPR64sp0, 8 /* 3 */ },
14692 { 0, 3096 /* stlxrh */, MCK_GPR64sp0, 8 /* 3 */ },
14693 { 0, 3096 /* stlxrh */, MCK_GPR64sp0, 8 /* 3 */ },
14694 { 0, 3399 /* stxp */, MCK_GPR64sp0, 16 /* 4 */ },
14695 { 0, 3399 /* stxp */, MCK_GPR64sp0, 16 /* 4 */ },
14696 { 0, 3399 /* stxp */, MCK_GPR64sp0, 16 /* 4 */ },
14697 { 0, 3399 /* stxp */, MCK_GPR64sp0, 16 /* 4 */ },
14698 { 0, 3404 /* stxr */, MCK_GPR64sp0, 8 /* 3 */ },
14699 { 0, 3404 /* stxr */, MCK_GPR64sp0, 8 /* 3 */ },
14700 { 0, 3404 /* stxr */, MCK_GPR64sp0, 8 /* 3 */ },
14701 { 0, 3404 /* stxr */, MCK_GPR64sp0, 8 /* 3 */ },
14702 { 0, 3409 /* stxrb */, MCK_GPR64sp0, 8 /* 3 */ },
14703 { 0, 3409 /* stxrb */, MCK_GPR64sp0, 8 /* 3 */ },
14704 { 0, 3415 /* stxrh */, MCK_GPR64sp0, 8 /* 3 */ },
14705 { 0, 3415 /* stxrh */, MCK_GPR64sp0, 8 /* 3 */ },
14706 { 0, 3421 /* sub */, MCK_AddSubImmNeg, 4 /* 2 */ },
14707 { 0, 3421 /* sub */, MCK_AddSubImmNeg, 4 /* 2 */ },
14708 { 0, 3421 /* sub */, MCK_AddSubImm, 4 /* 2 */ },
14709 { 0, 3421 /* sub */, MCK_AddSubImm, 4 /* 2 */ },
14710 { 0, 3421 /* sub */, MCK_AddSubImmNeg, 4 /* 2 */ },
14711 { 0, 3421 /* sub */, MCK_AddSubImmNeg, 4 /* 2 */ },
14712 { 0, 3421 /* sub */, MCK_AddSubImm, 4 /* 2 */ },
14713 { 0, 3421 /* sub */, MCK_AddSubImm, 4 /* 2 */ },
14714 { 0, 3438 /* subs */, MCK_AddSubImmNeg, 4 /* 2 */ },
14715 { 0, 3438 /* subs */, MCK_AddSubImmNeg, 4 /* 2 */ },
14716 { 0, 3438 /* subs */, MCK_AddSubImm, 4 /* 2 */ },
14717 { 0, 3438 /* subs */, MCK_AddSubImm, 4 /* 2 */ },
14718 { 0, 3438 /* subs */, MCK_AddSubImmNeg, 4 /* 2 */ },
14719 { 0, 3438 /* subs */, MCK_AddSubImmNeg, 4 /* 2 */ },
14720 { 0, 3438 /* subs */, MCK_AddSubImm, 4 /* 2 */ },
14721 { 0, 3438 /* subs */, MCK_AddSubImm, 4 /* 2 */ },
14722 { 0, 3548 /* sys */, MCK_SysCR, 6 /* 1, 2 */ },
14723 { 0, 3548 /* sys */, MCK_SysCR, 6 /* 1, 2 */ },
14724 { 0, 3548 /* sys */, MCK_SysCR, 6 /* 1, 2 */ },
14725 { 0, 3548 /* sys */, MCK_SysCR, 6 /* 1, 2 */ },
14726 { 0, 3552 /* sysl */, MCK_SysCR, 12 /* 2, 3 */ },
14727 { 0, 3552 /* sysl */, MCK_SysCR, 12 /* 2, 3 */ },
14728};
14729
14730AArch64AsmParser::OperandMatchResultTy AArch64AsmParser::
14731tryCustomParseOperand(OperandVector &Operands,
14732 unsigned MCK) {
14733
14734 switch(MCK) {
14735 case MCK_AddSubImmNeg:
14736 return tryParseAddSubImm(Operands);
14737 case MCK_AddSubImm:
14738 return tryParseAddSubImm(Operands);
14739 case MCK_AdrLabel:
14740 return tryParseAdrLabel(Operands);
14741 case MCK_AdrpLabel:
14742 return tryParseAdrpLabel(Operands);
14743 case MCK_Barrier:
14744 return tryParseBarrierOperand(Operands);
14745 case MCK_FPImm:
14746 return tryParseFPImm(Operands);
14747 case MCK_GPR64sp0:
14748 return tryParseGPR64sp0Operand(Operands);
14749 case MCK_MRSSystemRegister:
14750 return tryParseSysReg(Operands);
14751 case MCK_MSRSystemRegister:
14752 return tryParseSysReg(Operands);
14753 case MCK_PSBHint:
14754 return tryParsePSBHint(Operands);
14755 case MCK_Prefetch:
14756 return tryParsePrefetch(Operands);
14757 case MCK_SysCR:
14758 return tryParseSysCROperand(Operands);
14759 case MCK_SystemPStateFieldWithImm0_15:
14760 return tryParseSysReg(Operands);
14761 case MCK_SystemPStateFieldWithImm0_1:
14762 return tryParseSysReg(Operands);
14763 case MCK_WSeqPair:
14764 return tryParseGPRSeqPair(Operands);
14765 case MCK_XSeqPair:
14766 return tryParseGPRSeqPair(Operands);
14767 default:
14768 return MatchOperand_NoMatch;
14769 }
14770 return MatchOperand_NoMatch;
14771}
14772
14773AArch64AsmParser::OperandMatchResultTy AArch64AsmParser::
14774MatchOperandParserImpl(OperandVector &Operands,
14775 StringRef Mnemonic) {
14776 // Get the current feature set.
14777 uint64_t AvailableFeatures = getAvailableFeatures();
14778
14779 // Get the next operand index.
14780 unsigned NextOpNum = Operands.size() - 1;
14781 // Search the table.
14782 auto MnemonicRange =
14783 std::equal_range(std::begin(OperandMatchTable), std::end(OperandMatchTable),
14784 Mnemonic, LessOpcodeOperand());
14785
14786 if (MnemonicRange.first == MnemonicRange.second)
14787 return MatchOperand_NoMatch;
14788
14789 for (const OperandMatchEntry *it = MnemonicRange.first,
14790 *ie = MnemonicRange.second; it != ie; ++it) {
14791 // equal_range guarantees that instruction mnemonic matches.
14792 assert(Mnemonic == it->getMnemonic())((Mnemonic == it->getMnemonic()) ? static_cast<void>
(0) : __assert_fail ("Mnemonic == it->getMnemonic()", "/tmp/buildd/llvm-toolchain-snapshot-3.9~svn271203/build-llvm/lib/Target/AArch64/AArch64GenAsmMatcher.inc"
, 14792, __PRETTY_FUNCTION__))
;
14793
14794 // check if the available features match
14795 if ((AvailableFeatures & it->RequiredFeatures) != it->RequiredFeatures) {
14796 continue;
14797 }
14798
14799 // check if the operand in question has a custom parser.
14800 if (!(it->OperandMask & (1 << NextOpNum)))
14801 continue;
14802
14803 // call custom parse method to handle the operand
14804 OperandMatchResultTy Result = tryCustomParseOperand(Operands, it->Class);
14805 if (Result != MatchOperand_NoMatch)
14806 return Result;
14807 }
14808
14809 // Okay, we had no match.
14810 return MatchOperand_NoMatch;
14811}
14812
14813#endif // GET_MATCHER_IMPLEMENTATION
14814