LCOV - code coverage report
Current view: top level - build-llvm/lib/Target/ARM - ARMGenAsmWriter.inc (source / functions) Hit Total Coverage
Test: llvm-toolchain.info Lines: 1528 1590 96.1 %
Date: 2018-10-20 13:21:21 Functions: 4 4 100.0 %
Legend: Lines: hit not hit

          Line data    Source code
       1             : /*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
       2             : |*                                                                            *|
       3             : |* Assembly Writer Source Fragment                                            *|
       4             : |*                                                                            *|
       5             : |* Automatically generated file, do not edit!                                 *|
       6             : |*                                                                            *|
       7             : \*===----------------------------------------------------------------------===*/
       8             : 
       9             : /// printInstruction - This method is automatically generated by tablegen
      10             : /// from the instruction set description.
      11      148562 : void ARMInstPrinter::printInstruction(const MCInst *MI, const MCSubtargetInfo &STI, raw_ostream &O) {
      12             :   static const char AsmStrs[] = {
      13             :   /* 0 */ 's', 'h', 'a', '1', 's', 'u', '0', '.', '3', '2', 9, 0,
      14             :   /* 12 */ 's', 'h', 'a', '2', '5', '6', 's', 'u', '0', '.', '3', '2', 9, 0,
      15             :   /* 26 */ 's', 'h', 'a', '1', 's', 'u', '1', '.', '3', '2', 9, 0,
      16             :   /* 38 */ 's', 'h', 'a', '2', '5', '6', 's', 'u', '1', '.', '3', '2', 9, 0,
      17             :   /* 52 */ 's', 'h', 'a', '2', '5', '6', 'h', '2', '.', '3', '2', 9, 0,
      18             :   /* 65 */ 's', 'h', 'a', '1', 'c', '.', '3', '2', 9, 0,
      19             :   /* 75 */ 's', 'h', 'a', '1', 'h', '.', '3', '2', 9, 0,
      20             :   /* 85 */ 's', 'h', 'a', '2', '5', '6', 'h', '.', '3', '2', 9, 0,
      21             :   /* 97 */ 's', 'h', 'a', '1', 'm', '.', '3', '2', 9, 0,
      22             :   /* 107 */ 's', 'h', 'a', '1', 'p', '.', '3', '2', 9, 0,
      23             :   /* 117 */ 'v', 'c', 'v', 't', 'a', '.', 's', '3', '2', '.', 'f', '3', '2', 9, 0,
      24             :   /* 132 */ 'v', 'c', 'v', 't', 'm', '.', 's', '3', '2', '.', 'f', '3', '2', 9, 0,
      25             :   /* 147 */ 'v', 'c', 'v', 't', 'n', '.', 's', '3', '2', '.', 'f', '3', '2', 9, 0,
      26             :   /* 162 */ 'v', 'c', 'v', 't', 'p', '.', 's', '3', '2', '.', 'f', '3', '2', 9, 0,
      27             :   /* 177 */ 'v', 'c', 'v', 't', 'a', '.', 'u', '3', '2', '.', 'f', '3', '2', 9, 0,
      28             :   /* 192 */ 'v', 'c', 'v', 't', 'm', '.', 'u', '3', '2', '.', 'f', '3', '2', 9, 0,
      29             :   /* 207 */ 'v', 'c', 'v', 't', 'n', '.', 'u', '3', '2', '.', 'f', '3', '2', 9, 0,
      30             :   /* 222 */ 'v', 'c', 'v', 't', 'p', '.', 'u', '3', '2', '.', 'f', '3', '2', 9, 0,
      31             :   /* 237 */ 'v', 'c', 'm', 'l', 'a', '.', 'f', '3', '2', 9, 0,
      32             :   /* 248 */ 'v', 'r', 'i', 'n', 't', 'a', '.', 'f', '3', '2', 9, 0,
      33             :   /* 260 */ 'v', 'c', 'a', 'd', 'd', '.', 'f', '3', '2', 9, 0,
      34             :   /* 271 */ 'v', 's', 'e', 'l', 'g', 'e', '.', 'f', '3', '2', 9, 0,
      35             :   /* 283 */ 'v', 'm', 'i', 'n', 'n', 'm', '.', 'f', '3', '2', 9, 0,
      36             :   /* 295 */ 'v', 'm', 'a', 'x', 'n', 'm', '.', 'f', '3', '2', 9, 0,
      37             :   /* 307 */ 'v', 'r', 'i', 'n', 't', 'm', '.', 'f', '3', '2', 9, 0,
      38             :   /* 319 */ 'v', 'r', 'i', 'n', 't', 'n', '.', 'f', '3', '2', 9, 0,
      39             :   /* 331 */ 'v', 'r', 'i', 'n', 't', 'p', '.', 'f', '3', '2', 9, 0,
      40             :   /* 343 */ 'v', 's', 'e', 'l', 'e', 'q', '.', 'f', '3', '2', 9, 0,
      41             :   /* 355 */ 'v', 's', 'e', 'l', 'v', 's', '.', 'f', '3', '2', 9, 0,
      42             :   /* 367 */ 'v', 's', 'e', 'l', 'g', 't', '.', 'f', '3', '2', 9, 0,
      43             :   /* 379 */ 'v', 'r', 'i', 'n', 't', 'x', '.', 'f', '3', '2', 9, 0,
      44             :   /* 391 */ 'v', 'r', 'i', 'n', 't', 'z', '.', 'f', '3', '2', 9, 0,
      45             :   /* 403 */ 'l', 'd', 'c', '2', 9, 0,
      46             :   /* 409 */ 'm', 'r', 'c', '2', 9, 0,
      47             :   /* 415 */ 'm', 'r', 'r', 'c', '2', 9, 0,
      48             :   /* 422 */ 's', 't', 'c', '2', 9, 0,
      49             :   /* 428 */ 'c', 'd', 'p', '2', 9, 0,
      50             :   /* 434 */ 'm', 'c', 'r', '2', 9, 0,
      51             :   /* 440 */ 'm', 'c', 'r', 'r', '2', 9, 0,
      52             :   /* 447 */ 'v', 'c', 'v', 't', 'a', '.', 's', '3', '2', '.', 'f', '6', '4', 9, 0,
      53             :   /* 462 */ 'v', 'c', 'v', 't', 'm', '.', 's', '3', '2', '.', 'f', '6', '4', 9, 0,
      54             :   /* 477 */ 'v', 'c', 'v', 't', 'n', '.', 's', '3', '2', '.', 'f', '6', '4', 9, 0,
      55             :   /* 492 */ 'v', 'c', 'v', 't', 'p', '.', 's', '3', '2', '.', 'f', '6', '4', 9, 0,
      56             :   /* 507 */ 'v', 'c', 'v', 't', 'a', '.', 'u', '3', '2', '.', 'f', '6', '4', 9, 0,
      57             :   /* 522 */ 'v', 'c', 'v', 't', 'm', '.', 'u', '3', '2', '.', 'f', '6', '4', 9, 0,
      58             :   /* 537 */ 'v', 'c', 'v', 't', 'n', '.', 'u', '3', '2', '.', 'f', '6', '4', 9, 0,
      59             :   /* 552 */ 'v', 'c', 'v', 't', 'p', '.', 'u', '3', '2', '.', 'f', '6', '4', 9, 0,
      60             :   /* 567 */ 'v', 'r', 'i', 'n', 't', 'a', '.', 'f', '6', '4', 9, 0,
      61             :   /* 579 */ 'v', 's', 'e', 'l', 'g', 'e', '.', 'f', '6', '4', 9, 0,
      62             :   /* 591 */ 'v', 'm', 'i', 'n', 'n', 'm', '.', 'f', '6', '4', 9, 0,
      63             :   /* 603 */ 'v', 'm', 'a', 'x', 'n', 'm', '.', 'f', '6', '4', 9, 0,
      64             :   /* 615 */ 'v', 'r', 'i', 'n', 't', 'm', '.', 'f', '6', '4', 9, 0,
      65             :   /* 627 */ 'v', 'r', 'i', 'n', 't', 'n', '.', 'f', '6', '4', 9, 0,
      66             :   /* 639 */ 'v', 'r', 'i', 'n', 't', 'p', '.', 'f', '6', '4', 9, 0,
      67             :   /* 651 */ 'v', 's', 'e', 'l', 'e', 'q', '.', 'f', '6', '4', 9, 0,
      68             :   /* 663 */ 'v', 's', 'e', 'l', 'v', 's', '.', 'f', '6', '4', 9, 0,
      69             :   /* 675 */ 'v', 's', 'e', 'l', 'g', 't', '.', 'f', '6', '4', 9, 0,
      70             :   /* 687 */ 'v', 'm', 'u', 'l', 'l', '.', 'p', '6', '4', 9, 0,
      71             :   /* 698 */ 'v', 'c', 'v', 't', 'a', '.', 's', '3', '2', '.', 'f', '1', '6', 9, 0,
      72             :   /* 713 */ 'v', 'c', 'v', 't', 'm', '.', 's', '3', '2', '.', 'f', '1', '6', 9, 0,
      73             :   /* 728 */ 'v', 'c', 'v', 't', 'n', '.', 's', '3', '2', '.', 'f', '1', '6', 9, 0,
      74             :   /* 743 */ 'v', 'c', 'v', 't', 'p', '.', 's', '3', '2', '.', 'f', '1', '6', 9, 0,
      75             :   /* 758 */ 'v', 'c', 'v', 't', 'a', '.', 'u', '3', '2', '.', 'f', '1', '6', 9, 0,
      76             :   /* 773 */ 'v', 'c', 'v', 't', 'm', '.', 'u', '3', '2', '.', 'f', '1', '6', 9, 0,
      77             :   /* 788 */ 'v', 'c', 'v', 't', 'n', '.', 'u', '3', '2', '.', 'f', '1', '6', 9, 0,
      78             :   /* 803 */ 'v', 'c', 'v', 't', 'p', '.', 'u', '3', '2', '.', 'f', '1', '6', 9, 0,
      79             :   /* 818 */ 'v', 'c', 'v', 't', 'a', '.', 's', '1', '6', '.', 'f', '1', '6', 9, 0,
      80             :   /* 833 */ 'v', 'c', 'v', 't', 'm', '.', 's', '1', '6', '.', 'f', '1', '6', 9, 0,
      81             :   /* 848 */ 'v', 'c', 'v', 't', 'n', '.', 's', '1', '6', '.', 'f', '1', '6', 9, 0,
      82             :   /* 863 */ 'v', 'c', 'v', 't', 'p', '.', 's', '1', '6', '.', 'f', '1', '6', 9, 0,
      83             :   /* 878 */ 'v', 'c', 'v', 't', 'a', '.', 'u', '1', '6', '.', 'f', '1', '6', 9, 0,
      84             :   /* 893 */ 'v', 'c', 'v', 't', 'm', '.', 'u', '1', '6', '.', 'f', '1', '6', 9, 0,
      85             :   /* 908 */ 'v', 'c', 'v', 't', 'n', '.', 'u', '1', '6', '.', 'f', '1', '6', 9, 0,
      86             :   /* 923 */ 'v', 'c', 'v', 't', 'p', '.', 'u', '1', '6', '.', 'f', '1', '6', 9, 0,
      87             :   /* 938 */ 'v', 'c', 'm', 'l', 'a', '.', 'f', '1', '6', 9, 0,
      88             :   /* 949 */ 'v', 'r', 'i', 'n', 't', 'a', '.', 'f', '1', '6', 9, 0,
      89             :   /* 961 */ 'v', 'c', 'a', 'd', 'd', '.', 'f', '1', '6', 9, 0,
      90             :   /* 972 */ 'v', 's', 'e', 'l', 'g', 'e', '.', 'f', '1', '6', 9, 0,
      91             :   /* 984 */ 'v', 'f', 'm', 'a', 'l', '.', 'f', '1', '6', 9, 0,
      92             :   /* 995 */ 'v', 'f', 'm', 's', 'l', '.', 'f', '1', '6', 9, 0,
      93             :   /* 1006 */ 'v', 'm', 'i', 'n', 'n', 'm', '.', 'f', '1', '6', 9, 0,
      94             :   /* 1018 */ 'v', 'm', 'a', 'x', 'n', 'm', '.', 'f', '1', '6', 9, 0,
      95             :   /* 1030 */ 'v', 'r', 'i', 'n', 't', 'm', '.', 'f', '1', '6', 9, 0,
      96             :   /* 1042 */ 'v', 'r', 'i', 'n', 't', 'n', '.', 'f', '1', '6', 9, 0,
      97             :   /* 1054 */ 'v', 'r', 'i', 'n', 't', 'p', '.', 'f', '1', '6', 9, 0,
      98             :   /* 1066 */ 'v', 's', 'e', 'l', 'e', 'q', '.', 'f', '1', '6', 9, 0,
      99             :   /* 1078 */ 'v', 'i', 'n', 's', '.', 'f', '1', '6', 9, 0,
     100             :   /* 1088 */ 'v', 's', 'e', 'l', 'v', 's', '.', 'f', '1', '6', 9, 0,
     101             :   /* 1100 */ 'v', 's', 'e', 'l', 'g', 't', '.', 'f', '1', '6', 9, 0,
     102             :   /* 1112 */ 'v', 'r', 'i', 'n', 't', 'x', '.', 'f', '1', '6', 9, 0,
     103             :   /* 1124 */ 'v', 'm', 'o', 'v', 'x', '.', 'f', '1', '6', 9, 0,
     104             :   /* 1135 */ 'v', 'r', 'i', 'n', 't', 'z', '.', 'f', '1', '6', 9, 0,
     105             :   /* 1147 */ 'a', 'e', 's', 'i', 'm', 'c', '.', '8', 9, 0,
     106             :   /* 1157 */ 'a', 'e', 's', 'm', 'c', '.', '8', 9, 0,
     107             :   /* 1166 */ 'a', 'e', 's', 'd', '.', '8', 9, 0,
     108             :   /* 1174 */ 'a', 'e', 's', 'e', '.', '8', 9, 0,
     109             :   /* 1182 */ 'v', 's', 'd', 'o', 't', '.', 's', '8', 9, 0,
     110             :   /* 1192 */ 'v', 'u', 'd', 'o', 't', '.', 'u', '8', 9, 0,
     111             :   /* 1202 */ 'r', 'f', 'e', 'd', 'a', 9, 0,
     112             :   /* 1209 */ 'r', 'f', 'e', 'i', 'a', 9, 0,
     113             :   /* 1216 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
     114             :   /* 1224 */ 'c', 'r', 'c', '3', '2', 'c', 'b', 9, 0,
     115             :   /* 1233 */ 'r', 'f', 'e', 'd', 'b', 9, 0,
     116             :   /* 1240 */ 'r', 'f', 'e', 'i', 'b', 9, 0,
     117             :   /* 1247 */ 'd', 'm', 'b', 9, 0,
     118             :   /* 1252 */ 'd', 's', 'b', 9, 0,
     119             :   /* 1257 */ 'i', 's', 'b', 9, 0,
     120             :   /* 1262 */ 't', 's', 'b', 9, 0,
     121             :   /* 1267 */ 'h', 'v', 'c', 9, 0,
     122             :   /* 1272 */ 'p', 'l', 'd', 9, 0,
     123             :   /* 1277 */ 's', 'e', 't', 'e', 'n', 'd', 9, 0,
     124             :   /* 1285 */ 'u', 'd', 'f', 9, 0,
     125             :   /* 1290 */ 'c', 'r', 'c', '3', '2', 'h', 9, 0,
     126             :   /* 1298 */ 'c', 'r', 'c', '3', '2', 'c', 'h', 9, 0,
     127             :   /* 1307 */ 'p', 'l', 'i', 9, 0,
     128             :   /* 1312 */ 'l', 'd', 'c', '2', 'l', 9, 0,
     129             :   /* 1319 */ 's', 't', 'c', '2', 'l', 9, 0,
     130             :   /* 1326 */ 'b', 'l', 9, 0,
     131             :   /* 1330 */ 's', 'e', 't', 'p', 'a', 'n', 9, 0,
     132             :   /* 1338 */ 'c', 'p', 's', 9, 0,
     133             :   /* 1343 */ 'm', 'o', 'v', 's', 9, 0,
     134             :   /* 1349 */ 'h', 'l', 't', 9, 0,
     135             :   /* 1354 */ 'b', 'k', 'p', 't', 9, 0,
     136             :   /* 1360 */ 'h', 'v', 'c', '.', 'w', 9, 0,
     137             :   /* 1367 */ 'u', 'd', 'f', '.', 'w', 9, 0,
     138             :   /* 1374 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
     139             :   /* 1382 */ 'c', 'r', 'c', '3', '2', 'c', 'w', 9, 0,
     140             :   /* 1391 */ 'p', 'l', 'd', 'w', 9, 0,
     141             :   /* 1397 */ 'b', 'x', 9, 0,
     142             :   /* 1401 */ 'b', 'l', 'x', 9, 0,
     143             :   /* 1406 */ 'c', 'b', 'z', 9, 0,
     144             :   /* 1411 */ 'c', 'b', 'n', 'z', 9, 0,
     145             :   /* 1417 */ 's', 'r', 's', 'd', 'a', 9, 's', 'p', '!', ',', 32, 0,
     146             :   /* 1429 */ 's', 'r', 's', 'i', 'a', 9, 's', 'p', '!', ',', 32, 0,
     147             :   /* 1441 */ 's', 'r', 's', 'd', 'b', 9, 's', 'p', '!', ',', 32, 0,
     148             :   /* 1453 */ 's', 'r', 's', 'i', 'b', 9, 's', 'p', '!', ',', 32, 0,
     149             :   /* 1465 */ 's', 'r', 's', 'd', 'a', 9, 's', 'p', ',', 32, 0,
     150             :   /* 1476 */ 's', 'r', 's', 'i', 'a', 9, 's', 'p', ',', 32, 0,
     151             :   /* 1487 */ 's', 'r', 's', 'd', 'b', 9, 's', 'p', ',', 32, 0,
     152             :   /* 1498 */ 's', 'r', 's', 'i', 'b', 9, 's', 'p', ',', 32, 0,
     153             :   /* 1509 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'P', 'a', 't', 'c', 'h', 'a', 'b', 'l', 'e', 32, 'R', 'E', 'T', '.', 0,
     154             :   /* 1540 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'T', 'y', 'p', 'e', 'd', 32, 'E', 'v', 'e', 'n', 't', 32, 'L', 'o', 'g', '.', 0,
     155             :   /* 1564 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'C', 'u', 's', 't', 'o', 'm', 32, 'E', 'v', 'e', 'n', 't', 32, 'L', 'o', 'g', '.', 0,
     156             :   /* 1589 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'E', 'n', 't', 'e', 'r', '.', 0,
     157             :   /* 1612 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'T', 'a', 'i', 'l', 32, 'C', 'a', 'l', 'l', 32, 'E', 'x', 'i', 't', '.', 0,
     158             :   /* 1635 */ '#', 32, 'X', 'R', 'a', 'y', 32, 'F', 'u', 'n', 'c', 't', 'i', 'o', 'n', 32, 'E', 'x', 'i', 't', '.', 0,
     159             :   /* 1657 */ '_', '_', 'b', 'r', 'k', 'd', 'i', 'v', '0', 0,
     160             :   /* 1667 */ 'v', 'l', 'd', '1', 0,
     161             :   /* 1672 */ 'd', 'c', 'p', 's', '1', 0,
     162             :   /* 1678 */ 'v', 's', 't', '1', 0,
     163             :   /* 1683 */ 'v', 'r', 'e', 'v', '3', '2', 0,
     164             :   /* 1690 */ 'l', 'd', 'c', '2', 0,
     165             :   /* 1695 */ 'm', 'r', 'c', '2', 0,
     166             :   /* 1700 */ 'm', 'r', 'r', 'c', '2', 0,
     167             :   /* 1706 */ 's', 't', 'c', '2', 0,
     168             :   /* 1711 */ 'v', 'l', 'd', '2', 0,
     169             :   /* 1716 */ 'c', 'd', 'p', '2', 0,
     170             :   /* 1721 */ 'm', 'c', 'r', '2', 0,
     171             :   /* 1726 */ 'm', 'c', 'r', 'r', '2', 0,
     172             :   /* 1732 */ 'd', 'c', 'p', 's', '2', 0,
     173             :   /* 1738 */ 'v', 's', 't', '2', 0,
     174             :   /* 1743 */ 'v', 'l', 'd', '3', 0,
     175             :   /* 1748 */ 'd', 'c', 'p', 's', '3', 0,
     176             :   /* 1754 */ 'v', 's', 't', '3', 0,
     177             :   /* 1759 */ 'v', 'r', 'e', 'v', '6', '4', 0,
     178             :   /* 1766 */ 'v', 'l', 'd', '4', 0,
     179             :   /* 1771 */ 'v', 's', 't', '4', 0,
     180             :   /* 1776 */ 's', 'x', 't', 'a', 'b', '1', '6', 0,
     181             :   /* 1784 */ 'u', 'x', 't', 'a', 'b', '1', '6', 0,
     182             :   /* 1792 */ 's', 'x', 't', 'b', '1', '6', 0,
     183             :   /* 1799 */ 'u', 'x', 't', 'b', '1', '6', 0,
     184             :   /* 1806 */ 's', 'h', 's', 'u', 'b', '1', '6', 0,
     185             :   /* 1814 */ 'u', 'h', 's', 'u', 'b', '1', '6', 0,
     186             :   /* 1822 */ 'u', 'q', 's', 'u', 'b', '1', '6', 0,
     187             :   /* 1830 */ 's', 's', 'u', 'b', '1', '6', 0,
     188             :   /* 1837 */ 'u', 's', 'u', 'b', '1', '6', 0,
     189             :   /* 1844 */ 's', 'h', 'a', 'd', 'd', '1', '6', 0,
     190             :   /* 1852 */ 'u', 'h', 'a', 'd', 'd', '1', '6', 0,
     191             :   /* 1860 */ 'u', 'q', 'a', 'd', 'd', '1', '6', 0,
     192             :   /* 1868 */ 's', 'a', 'd', 'd', '1', '6', 0,
     193             :   /* 1875 */ 'u', 'a', 'd', 'd', '1', '6', 0,
     194             :   /* 1882 */ 's', 's', 'a', 't', '1', '6', 0,
     195             :   /* 1889 */ 'u', 's', 'a', 't', '1', '6', 0,
     196             :   /* 1896 */ 'v', 'r', 'e', 'v', '1', '6', 0,
     197             :   /* 1903 */ 'u', 's', 'a', 'd', 'a', '8', 0,
     198             :   /* 1910 */ 's', 'h', 's', 'u', 'b', '8', 0,
     199             :   /* 1917 */ 'u', 'h', 's', 'u', 'b', '8', 0,
     200             :   /* 1924 */ 'u', 'q', 's', 'u', 'b', '8', 0,
     201             :   /* 1931 */ 's', 's', 'u', 'b', '8', 0,
     202             :   /* 1937 */ 'u', 's', 'u', 'b', '8', 0,
     203             :   /* 1943 */ 'u', 's', 'a', 'd', '8', 0,
     204             :   /* 1949 */ 's', 'h', 'a', 'd', 'd', '8', 0,
     205             :   /* 1956 */ 'u', 'h', 'a', 'd', 'd', '8', 0,
     206             :   /* 1963 */ 'u', 'q', 'a', 'd', 'd', '8', 0,
     207             :   /* 1970 */ 's', 'a', 'd', 'd', '8', 0,
     208             :   /* 1976 */ 'u', 'a', 'd', 'd', '8', 0,
     209             :   /* 1982 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
     210             :   /* 1995 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
     211             :   /* 2002 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
     212             :   /* 2012 */ 'D', 'B', 'G', '_', 'L', 'A', 'B', 'E', 'L', 0,
     213             :   /* 2022 */ '@', 32, 'C', 'O', 'M', 'P', 'I', 'L', 'E', 'R', 32, 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
     214             :   /* 2041 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
     215             :   /* 2056 */ 'v', 'a', 'b', 'a', 0,
     216             :   /* 2061 */ 'l', 'd', 'a', 0,
     217             :   /* 2065 */ 'l', 'd', 'm', 'd', 'a', 0,
     218             :   /* 2071 */ 's', 't', 'm', 'd', 'a', 0,
     219             :   /* 2077 */ 'r', 'f', 'e', 'i', 'a', 0,
     220             :   /* 2083 */ 'v', 'l', 'd', 'm', 'i', 'a', 0,
     221             :   /* 2090 */ 'v', 's', 't', 'm', 'i', 'a', 0,
     222             :   /* 2097 */ 's', 'r', 's', 'i', 'a', 0,
     223             :   /* 2103 */ 's', 'm', 'm', 'l', 'a', 0,
     224             :   /* 2109 */ 'v', 'n', 'm', 'l', 'a', 0,
     225             :   /* 2115 */ 'v', 'm', 'l', 'a', 0,
     226             :   /* 2120 */ 'v', 'f', 'm', 'a', 0,
     227             :   /* 2125 */ 'v', 'f', 'n', 'm', 'a', 0,
     228             :   /* 2131 */ 'v', 'r', 's', 'r', 'a', 0,
     229             :   /* 2137 */ 'v', 's', 'r', 'a', 0,
     230             :   /* 2142 */ 't', 't', 'a', 0,
     231             :   /* 2146 */ 'l', 'd', 'a', 'b', 0,
     232             :   /* 2151 */ 's', 'x', 't', 'a', 'b', 0,
     233             :   /* 2157 */ 'u', 'x', 't', 'a', 'b', 0,
     234             :   /* 2163 */ 's', 'm', 'l', 'a', 'b', 'b', 0,
     235             :   /* 2170 */ 's', 'm', 'l', 'a', 'l', 'b', 'b', 0,
     236             :   /* 2178 */ 's', 'm', 'u', 'l', 'b', 'b', 0,
     237             :   /* 2185 */ 't', 'b', 'b', 0,
     238             :   /* 2189 */ 'r', 'f', 'e', 'd', 'b', 0,
     239             :   /* 2195 */ 'v', 'l', 'd', 'm', 'd', 'b', 0,
     240             :   /* 2202 */ 'v', 's', 't', 'm', 'd', 'b', 0,
     241             :   /* 2209 */ 's', 'r', 's', 'd', 'b', 0,
     242             :   /* 2215 */ 'l', 'd', 'm', 'i', 'b', 0,
     243             :   /* 2221 */ 's', 't', 'm', 'i', 'b', 0,
     244             :   /* 2227 */ 's', 't', 'l', 'b', 0,
     245             :   /* 2232 */ 'd', 'm', 'b', 0,
     246             :   /* 2236 */ 's', 'w', 'p', 'b', 0,
     247             :   /* 2241 */ 'l', 'd', 'r', 'b', 0,
     248             :   /* 2246 */ 's', 't', 'r', 'b', 0,
     249             :   /* 2251 */ 'd', 's', 'b', 0,
     250             :   /* 2255 */ 'i', 's', 'b', 0,
     251             :   /* 2259 */ 'l', 'd', 'r', 's', 'b', 0,
     252             :   /* 2265 */ 't', 's', 'b', 0,
     253             :   /* 2269 */ 's', 'm', 'l', 'a', 't', 'b', 0,
     254             :   /* 2276 */ 'p', 'k', 'h', 't', 'b', 0,
     255             :   /* 2282 */ 's', 'm', 'l', 'a', 'l', 't', 'b', 0,
     256             :   /* 2290 */ 's', 'm', 'u', 'l', 't', 'b', 0,
     257             :   /* 2297 */ 'v', 'c', 'v', 't', 'b', 0,
     258             :   /* 2303 */ 's', 'x', 't', 'b', 0,
     259             :   /* 2308 */ 'u', 'x', 't', 'b', 0,
     260             :   /* 2313 */ 'q', 'd', 's', 'u', 'b', 0,
     261             :   /* 2319 */ 'v', 'h', 's', 'u', 'b', 0,
     262             :   /* 2325 */ 'v', 'q', 's', 'u', 'b', 0,
     263             :   /* 2331 */ 'v', 's', 'u', 'b', 0,
     264             :   /* 2336 */ 's', 'm', 'l', 'a', 'w', 'b', 0,
     265             :   /* 2343 */ 's', 'm', 'u', 'l', 'w', 'b', 0,
     266             :   /* 2350 */ 'l', 'd', 'a', 'e', 'x', 'b', 0,
     267             :   /* 2357 */ 's', 't', 'l', 'e', 'x', 'b', 0,
     268             :   /* 2364 */ 'l', 'd', 'r', 'e', 'x', 'b', 0,
     269             :   /* 2371 */ 's', 't', 'r', 'e', 'x', 'b', 0,
     270             :   /* 2378 */ 's', 'b', 'c', 0,
     271             :   /* 2382 */ 'a', 'd', 'c', 0,
     272             :   /* 2386 */ 'l', 'd', 'c', 0,
     273             :   /* 2390 */ 'b', 'f', 'c', 0,
     274             :   /* 2394 */ 'v', 'b', 'i', 'c', 0,
     275             :   /* 2399 */ 's', 'm', 'c', 0,
     276             :   /* 2403 */ 'm', 'r', 'c', 0,
     277             :   /* 2407 */ 'm', 'r', 'r', 'c', 0,
     278             :   /* 2412 */ 'r', 's', 'c', 0,
     279             :   /* 2416 */ 's', 't', 'c', 0,
     280             :   /* 2420 */ 's', 'v', 'c', 0,
     281             :   /* 2424 */ 's', 'm', 'l', 'a', 'd', 0,
     282             :   /* 2430 */ 's', 'm', 'u', 'a', 'd', 0,
     283             :   /* 2436 */ 'v', 'a', 'b', 'd', 0,
     284             :   /* 2441 */ 'q', 'd', 'a', 'd', 'd', 0,
     285             :   /* 2447 */ 'v', 'r', 'h', 'a', 'd', 'd', 0,
     286             :   /* 2454 */ 'v', 'h', 'a', 'd', 'd', 0,
     287             :   /* 2460 */ 'v', 'p', 'a', 'd', 'd', 0,
     288             :   /* 2466 */ 'v', 'q', 'a', 'd', 'd', 0,
     289             :   /* 2472 */ 'v', 'a', 'd', 'd', 0,
     290             :   /* 2477 */ 's', 'm', 'l', 'a', 'l', 'd', 0,
     291             :   /* 2484 */ 'p', 'l', 'd', 0,
     292             :   /* 2488 */ 's', 'm', 'l', 's', 'l', 'd', 0,
     293             :   /* 2495 */ 'v', 'a', 'n', 'd', 0,
     294             :   /* 2500 */ 'l', 'd', 'r', 'd', 0,
     295             :   /* 2505 */ 's', 't', 'r', 'd', 0,
     296             :   /* 2510 */ 's', 'm', 'l', 's', 'd', 0,
     297             :   /* 2516 */ 's', 'm', 'u', 's', 'd', 0,
     298             :   /* 2522 */ 'l', 'd', 'a', 'e', 'x', 'd', 0,
     299             :   /* 2529 */ 's', 't', 'l', 'e', 'x', 'd', 0,
     300             :   /* 2536 */ 'l', 'd', 'r', 'e', 'x', 'd', 0,
     301             :   /* 2543 */ 's', 't', 'r', 'e', 'x', 'd', 0,
     302             :   /* 2550 */ 'v', 'a', 'c', 'g', 'e', 0,
     303             :   /* 2556 */ 'v', 'c', 'g', 'e', 0,
     304             :   /* 2561 */ 'v', 'c', 'l', 'e', 0,
     305             :   /* 2566 */ 'v', 'r', 'e', 'c', 'p', 'e', 0,
     306             :   /* 2573 */ 'v', 'c', 'm', 'p', 'e', 0,
     307             :   /* 2579 */ 'v', 'r', 's', 'q', 'r', 't', 'e', 0,
     308             :   /* 2587 */ 'v', 'b', 'i', 'f', 0,
     309             :   /* 2592 */ 'd', 'b', 'g', 0,
     310             :   /* 2596 */ 'v', 'q', 'n', 'e', 'g', 0,
     311             :   /* 2602 */ 'v', 'n', 'e', 'g', 0,
     312             :   /* 2607 */ 's', 'g', 0,
     313             :   /* 2610 */ 'l', 'd', 'a', 'h', 0,
     314             :   /* 2615 */ 'v', 'q', 'r', 'd', 'm', 'l', 'a', 'h', 0,
     315             :   /* 2624 */ 's', 'x', 't', 'a', 'h', 0,
     316             :   /* 2630 */ 'u', 'x', 't', 'a', 'h', 0,
     317             :   /* 2636 */ 't', 'b', 'h', 0,
     318             :   /* 2640 */ 's', 't', 'l', 'h', 0,
     319             :   /* 2645 */ 'v', 'q', 'd', 'm', 'u', 'l', 'h', 0,
     320             :   /* 2653 */ 'v', 'q', 'r', 'd', 'm', 'u', 'l', 'h', 0,
     321             :   /* 2662 */ 'l', 'd', 'r', 'h', 0,
     322             :   /* 2667 */ 's', 't', 'r', 'h', 0,
     323             :   /* 2672 */ 'v', 'q', 'r', 'd', 'm', 'l', 's', 'h', 0,
     324             :   /* 2681 */ 'l', 'd', 'r', 's', 'h', 0,
     325             :   /* 2687 */ 'p', 'u', 's', 'h', 0,
     326             :   /* 2692 */ 'r', 'e', 'v', 's', 'h', 0,
     327             :   /* 2698 */ 's', 'x', 't', 'h', 0,
     328             :   /* 2703 */ 'u', 'x', 't', 'h', 0,
     329             :   /* 2708 */ 'l', 'd', 'a', 'e', 'x', 'h', 0,
     330             :   /* 2715 */ 's', 't', 'l', 'e', 'x', 'h', 0,
     331             :   /* 2722 */ 'l', 'd', 'r', 'e', 'x', 'h', 0,
     332             :   /* 2729 */ 's', 't', 'r', 'e', 'x', 'h', 0,
     333             :   /* 2736 */ 'b', 'f', 'i', 0,
     334             :   /* 2740 */ 'p', 'l', 'i', 0,
     335             :   /* 2744 */ 'v', 's', 'l', 'i', 0,
     336             :   /* 2749 */ 'v', 's', 'r', 'i', 0,
     337             :   /* 2754 */ 'b', 'x', 'j', 0,
     338             :   /* 2758 */ 'l', 'd', 'c', '2', 'l', 0,
     339             :   /* 2764 */ 's', 't', 'c', '2', 'l', 0,
     340             :   /* 2770 */ 'u', 'm', 'a', 'a', 'l', 0,
     341             :   /* 2776 */ 'v', 'a', 'b', 'a', 'l', 0,
     342             :   /* 2782 */ 'v', 'p', 'a', 'd', 'a', 'l', 0,
     343             :   /* 2789 */ 'v', 'q', 'd', 'm', 'l', 'a', 'l', 0,
     344             :   /* 2797 */ 's', 'm', 'l', 'a', 'l', 0,
     345             :   /* 2803 */ 'u', 'm', 'l', 'a', 'l', 0,
     346             :   /* 2809 */ 'v', 'm', 'l', 'a', 'l', 0,
     347             :   /* 2815 */ 'v', 't', 'b', 'l', 0,
     348             :   /* 2820 */ 'v', 's', 'u', 'b', 'l', 0,
     349             :   /* 2826 */ 'l', 'd', 'c', 'l', 0,
     350             :   /* 2831 */ 's', 't', 'c', 'l', 0,
     351             :   /* 2836 */ 'v', 'a', 'b', 'd', 'l', 0,
     352             :   /* 2842 */ 'v', 'p', 'a', 'd', 'd', 'l', 0,
     353             :   /* 2849 */ 'v', 'a', 'd', 'd', 'l', 0,
     354             :   /* 2855 */ 's', 'e', 'l', 0,
     355             :   /* 2859 */ 'v', 'q', 's', 'h', 'l', 0,
     356             :   /* 2865 */ 'v', 'q', 'r', 's', 'h', 'l', 0,
     357             :   /* 2872 */ 'v', 'r', 's', 'h', 'l', 0,
     358             :   /* 2878 */ 'v', 's', 'h', 'l', 0,
     359             :   /* 2883 */ '#', 32, 'F', 'E', 'n', 't', 'r', 'y', 32, 'c', 'a', 'l', 'l', 0,
     360             :   /* 2897 */ 'v', 's', 'h', 'l', 'l', 0,
     361             :   /* 2903 */ 'v', 'q', 'd', 'm', 'u', 'l', 'l', 0,
     362             :   /* 2911 */ 's', 'm', 'u', 'l', 'l', 0,
     363             :   /* 2917 */ 'u', 'm', 'u', 'l', 'l', 0,
     364             :   /* 2923 */ 'v', 'm', 'u', 'l', 'l', 0,
     365             :   /* 2929 */ 'v', 'b', 's', 'l', 0,
     366             :   /* 2934 */ 'v', 'q', 'd', 'm', 'l', 's', 'l', 0,
     367             :   /* 2942 */ 'v', 'm', 'l', 's', 'l', 0,
     368             :   /* 2948 */ 's', 't', 'l', 0,
     369             :   /* 2952 */ 's', 'm', 'm', 'u', 'l', 0,
     370             :   /* 2958 */ 'v', 'n', 'm', 'u', 'l', 0,
     371             :   /* 2964 */ 'v', 'm', 'u', 'l', 0,
     372             :   /* 2969 */ 'v', 'm', 'o', 'v', 'l', 0,
     373             :   /* 2975 */ 'v', 'l', 'l', 'd', 'm', 0,
     374             :   /* 2981 */ 'v', 'l', 's', 't', 'm', 0,
     375             :   /* 2987 */ 'v', 'r', 's', 'u', 'b', 'h', 'n', 0,
     376             :   /* 2995 */ 'v', 's', 'u', 'b', 'h', 'n', 0,
     377             :   /* 3002 */ 'v', 'r', 'a', 'd', 'd', 'h', 'n', 0,
     378             :   /* 3010 */ 'v', 'a', 'd', 'd', 'h', 'n', 0,
     379             :   /* 3017 */ 'v', 'p', 'm', 'i', 'n', 0,
     380             :   /* 3023 */ 'v', 'm', 'i', 'n', 0,
     381             :   /* 3028 */ 'c', 'm', 'n', 0,
     382             :   /* 3032 */ 'v', 'q', 's', 'h', 'r', 'n', 0,
     383             :   /* 3039 */ 'v', 'q', 'r', 's', 'h', 'r', 'n', 0,
     384             :   /* 3047 */ 'v', 'r', 's', 'h', 'r', 'n', 0,
     385             :   /* 3054 */ 'v', 's', 'h', 'r', 'n', 0,
     386             :   /* 3060 */ 'v', 'o', 'r', 'n', 0,
     387             :   /* 3065 */ 'v', 't', 'r', 'n', 0,
     388             :   /* 3070 */ 'v', 'q', 's', 'h', 'r', 'u', 'n', 0,
     389             :   /* 3078 */ 'v', 'q', 'r', 's', 'h', 'r', 'u', 'n', 0,
     390             :   /* 3087 */ 'v', 'q', 'm', 'o', 'v', 'u', 'n', 0,
     391             :   /* 3095 */ 'v', 'm', 'v', 'n', 0,
     392             :   /* 3100 */ 'v', 'q', 'm', 'o', 'v', 'n', 0,
     393             :   /* 3107 */ 'v', 'm', 'o', 'v', 'n', 0,
     394             :   /* 3113 */ 't', 'r', 'a', 'p', 0,
     395             :   /* 3118 */ 'c', 'd', 'p', 0,
     396             :   /* 3122 */ 'v', 'z', 'i', 'p', 0,
     397             :   /* 3127 */ 'v', 'c', 'm', 'p', 0,
     398             :   /* 3132 */ 'p', 'o', 'p', 0,
     399             :   /* 3136 */ 'v', 'd', 'u', 'p', 0,
     400             :   /* 3141 */ 'v', 's', 'w', 'p', 0,
     401             :   /* 3146 */ 'v', 'u', 'z', 'p', 0,
     402             :   /* 3151 */ 'v', 'c', 'e', 'q', 0,
     403             :   /* 3156 */ 't', 'e', 'q', 0,
     404             :   /* 3160 */ 's', 'm', 'm', 'l', 'a', 'r', 0,
     405             :   /* 3167 */ 'm', 'c', 'r', 0,
     406             :   /* 3171 */ 'a', 'd', 'r', 0,
     407             :   /* 3175 */ 'v', 'l', 'd', 'r', 0,
     408             :   /* 3180 */ 'v', 'r', 's', 'h', 'r', 0,
     409             :   /* 3186 */ 'v', 's', 'h', 'r', 0,
     410             :   /* 3191 */ 's', 'm', 'm', 'u', 'l', 'r', 0,
     411             :   /* 3198 */ 'v', 'e', 'o', 'r', 0,
     412             :   /* 3203 */ 'r', 'o', 'r', 0,
     413             :   /* 3207 */ 'm', 'c', 'r', 'r', 0,
     414             :   /* 3212 */ 'v', 'o', 'r', 'r', 0,
     415             :   /* 3217 */ 'a', 's', 'r', 0,
     416             :   /* 3221 */ 's', 'm', 'm', 'l', 's', 'r', 0,
     417             :   /* 3228 */ 'v', 'm', 's', 'r', 0,
     418             :   /* 3233 */ 'v', 'r', 'i', 'n', 't', 'r', 0,
     419             :   /* 3240 */ 'v', 's', 't', 'r', 0,
     420             :   /* 3245 */ 'v', 'c', 'v', 't', 'r', 0,
     421             :   /* 3251 */ 'v', 'q', 'a', 'b', 's', 0,
     422             :   /* 3257 */ 'v', 'a', 'b', 's', 0,
     423             :   /* 3262 */ 's', 'u', 'b', 's', 0,
     424             :   /* 3267 */ 'v', 'c', 'l', 's', 0,
     425             :   /* 3272 */ 's', 'm', 'm', 'l', 's', 0,
     426             :   /* 3278 */ 'v', 'n', 'm', 'l', 's', 0,
     427             :   /* 3284 */ 'v', 'm', 'l', 's', 0,
     428             :   /* 3289 */ 'v', 'f', 'm', 's', 0,
     429             :   /* 3294 */ 'v', 'f', 'n', 'm', 's', 0,
     430             :   /* 3300 */ 'b', 'x', 'n', 's', 0,
     431             :   /* 3305 */ 'b', 'l', 'x', 'n', 's', 0,
     432             :   /* 3311 */ 'v', 'r', 'e', 'c', 'p', 's', 0,
     433             :   /* 3318 */ 'v', 'm', 'r', 's', 0,
     434             :   /* 3323 */ 'a', 's', 'r', 's', 0,
     435             :   /* 3328 */ 'l', 's', 'r', 's', 0,
     436             :   /* 3333 */ 'v', 'r', 's', 'q', 'r', 't', 's', 0,
     437             :   /* 3341 */ 'm', 'o', 'v', 's', 0,
     438             :   /* 3346 */ 's', 's', 'a', 't', 0,
     439             :   /* 3351 */ 'u', 's', 'a', 't', 0,
     440             :   /* 3356 */ 't', 't', 'a', 't', 0,
     441             :   /* 3361 */ 's', 'm', 'l', 'a', 'b', 't', 0,
     442             :   /* 3368 */ 'p', 'k', 'h', 'b', 't', 0,
     443             :   /* 3374 */ 's', 'm', 'l', 'a', 'l', 'b', 't', 0,
     444             :   /* 3382 */ 's', 'm', 'u', 'l', 'b', 't', 0,
     445             :   /* 3389 */ 'l', 'd', 'r', 'b', 't', 0,
     446             :   /* 3395 */ 's', 't', 'r', 'b', 't', 0,
     447             :   /* 3401 */ 'l', 'd', 'r', 's', 'b', 't', 0,
     448             :   /* 3408 */ 'e', 'r', 'e', 't', 0,
     449             :   /* 3413 */ 'v', 'a', 'c', 'g', 't', 0,
     450             :   /* 3419 */ 'v', 'c', 'g', 't', 0,
     451             :   /* 3424 */ 'l', 'd', 'r', 'h', 't', 0,
     452             :   /* 3430 */ 's', 't', 'r', 'h', 't', 0,
     453             :   /* 3436 */ 'l', 'd', 'r', 's', 'h', 't', 0,
     454             :   /* 3443 */ 'r', 'b', 'i', 't', 0,
     455             :   /* 3448 */ 'v', 'b', 'i', 't', 0,
     456             :   /* 3453 */ 'v', 'c', 'l', 't', 0,
     457             :   /* 3458 */ 'v', 'c', 'n', 't', 0,
     458             :   /* 3463 */ 'h', 'i', 'n', 't', 0,
     459             :   /* 3468 */ 'l', 'd', 'r', 't', 0,
     460             :   /* 3473 */ 'v', 's', 'q', 'r', 't', 0,
     461             :   /* 3479 */ 's', 't', 'r', 't', 0,
     462             :   /* 3484 */ 'v', 't', 's', 't', 0,
     463             :   /* 3489 */ 's', 'm', 'l', 'a', 't', 't', 0,
     464             :   /* 3496 */ 's', 'm', 'l', 'a', 'l', 't', 't', 0,
     465             :   /* 3504 */ 's', 'm', 'u', 'l', 't', 't', 0,
     466             :   /* 3511 */ 't', 't', 't', 0,
     467             :   /* 3515 */ 'v', 'c', 'v', 't', 't', 0,
     468             :   /* 3521 */ 'v', 'j', 'c', 'v', 't', 0,
     469             :   /* 3527 */ 'v', 'c', 'v', 't', 0,
     470             :   /* 3532 */ 'm', 'o', 'v', 't', 0,
     471             :   /* 3537 */ 's', 'm', 'l', 'a', 'w', 't', 0,
     472             :   /* 3544 */ 's', 'm', 'u', 'l', 'w', 't', 0,
     473             :   /* 3551 */ 'v', 'e', 'x', 't', 0,
     474             :   /* 3556 */ 'v', 'q', 's', 'h', 'l', 'u', 0,
     475             :   /* 3563 */ 'r', 'e', 'v', 0,
     476             :   /* 3567 */ 's', 'd', 'i', 'v', 0,
     477             :   /* 3572 */ 'u', 'd', 'i', 'v', 0,
     478             :   /* 3577 */ 'v', 'd', 'i', 'v', 0,
     479             :   /* 3582 */ 'v', 'm', 'o', 'v', 0,
     480             :   /* 3587 */ 'v', 's', 'u', 'b', 'w', 0,
     481             :   /* 3593 */ 'v', 'a', 'd', 'd', 'w', 0,
     482             :   /* 3599 */ 'p', 'l', 'd', 'w', 0,
     483             :   /* 3604 */ 'm', 'o', 'v', 'w', 0,
     484             :   /* 3609 */ 'f', 'l', 'd', 'm', 'i', 'a', 'x', 0,
     485             :   /* 3617 */ 'f', 's', 't', 'm', 'i', 'a', 'x', 0,
     486             :   /* 3625 */ 'v', 'p', 'm', 'a', 'x', 0,
     487             :   /* 3631 */ 'v', 'm', 'a', 'x', 0,
     488             :   /* 3636 */ 's', 'h', 's', 'a', 'x', 0,
     489             :   /* 3642 */ 'u', 'h', 's', 'a', 'x', 0,
     490             :   /* 3648 */ 'u', 'q', 's', 'a', 'x', 0,
     491             :   /* 3654 */ 's', 's', 'a', 'x', 0,
     492             :   /* 3659 */ 'u', 's', 'a', 'x', 0,
     493             :   /* 3664 */ 'f', 'l', 'd', 'm', 'd', 'b', 'x', 0,
     494             :   /* 3672 */ 'f', 's', 't', 'm', 'd', 'b', 'x', 0,
     495             :   /* 3680 */ 'v', 't', 'b', 'x', 0,
     496             :   /* 3685 */ 's', 'm', 'l', 'a', 'd', 'x', 0,
     497             :   /* 3692 */ 's', 'm', 'u', 'a', 'd', 'x', 0,
     498             :   /* 3699 */ 's', 'm', 'l', 'a', 'l', 'd', 'x', 0,
     499             :   /* 3707 */ 's', 'm', 'l', 's', 'l', 'd', 'x', 0,
     500             :   /* 3715 */ 's', 'm', 'l', 's', 'd', 'x', 0,
     501             :   /* 3722 */ 's', 'm', 'u', 's', 'd', 'x', 0,
     502             :   /* 3729 */ 'l', 'd', 'a', 'e', 'x', 0,
     503             :   /* 3735 */ 's', 't', 'l', 'e', 'x', 0,
     504             :   /* 3741 */ 'l', 'd', 'r', 'e', 'x', 0,
     505             :   /* 3747 */ 'c', 'l', 'r', 'e', 'x', 0,
     506             :   /* 3753 */ 's', 't', 'r', 'e', 'x', 0,
     507             :   /* 3759 */ 's', 'b', 'f', 'x', 0,
     508             :   /* 3764 */ 'u', 'b', 'f', 'x', 0,
     509             :   /* 3769 */ 'b', 'l', 'x', 0,
     510             :   /* 3773 */ 'r', 'r', 'x', 0,
     511             :   /* 3777 */ 's', 'h', 'a', 's', 'x', 0,
     512             :   /* 3783 */ 'u', 'h', 'a', 's', 'x', 0,
     513             :   /* 3789 */ 'u', 'q', 'a', 's', 'x', 0,
     514             :   /* 3795 */ 's', 'a', 's', 'x', 0,
     515             :   /* 3800 */ 'u', 'a', 's', 'x', 0,
     516             :   /* 3805 */ 'v', 'r', 'i', 'n', 't', 'x', 0,
     517             :   /* 3812 */ 'v', 'c', 'l', 'z', 0,
     518             :   /* 3817 */ 'v', 'r', 'i', 'n', 't', 'z', 0,
     519             :   };
     520             : 
     521             :   static const uint32_t OpInfo0[] = {
     522             :     0U, // PHI
     523             :     0U, // INLINEASM
     524             :     0U, // CFI_INSTRUCTION
     525             :     0U, // EH_LABEL
     526             :     0U, // GC_LABEL
     527             :     0U, // ANNOTATION_LABEL
     528             :     0U, // KILL
     529             :     0U, // EXTRACT_SUBREG
     530             :     0U, // INSERT_SUBREG
     531             :     0U, // IMPLICIT_DEF
     532             :     0U, // SUBREG_TO_REG
     533             :     0U, // COPY_TO_REGCLASS
     534             :     2003U,      // DBG_VALUE
     535             :     2013U,      // DBG_LABEL
     536             :     0U, // REG_SEQUENCE
     537             :     0U, // COPY
     538             :     1996U,      // BUNDLE
     539             :     2042U,      // LIFETIME_START
     540             :     1983U,      // LIFETIME_END
     541             :     0U, // STACKMAP
     542             :     2884U,      // FENTRY_CALL
     543             :     0U, // PATCHPOINT
     544             :     0U, // LOAD_STACK_GUARD
     545             :     0U, // STATEPOINT
     546             :     0U, // LOCAL_ESCAPE
     547             :     0U, // FAULTING_OP
     548             :     0U, // PATCHABLE_OP
     549             :     1590U,      // PATCHABLE_FUNCTION_ENTER
     550             :     1510U,      // PATCHABLE_RET
     551             :     1636U,      // PATCHABLE_FUNCTION_EXIT
     552             :     1613U,      // PATCHABLE_TAIL_CALL
     553             :     1565U,      // PATCHABLE_EVENT_CALL
     554             :     1541U,      // PATCHABLE_TYPED_EVENT_CALL
     555             :     0U, // ICALL_BRANCH_FUNNEL
     556             :     0U, // G_ADD
     557             :     0U, // G_SUB
     558             :     0U, // G_MUL
     559             :     0U, // G_SDIV
     560             :     0U, // G_UDIV
     561             :     0U, // G_SREM
     562             :     0U, // G_UREM
     563             :     0U, // G_AND
     564             :     0U, // G_OR
     565             :     0U, // G_XOR
     566             :     0U, // G_IMPLICIT_DEF
     567             :     0U, // G_PHI
     568             :     0U, // G_FRAME_INDEX
     569             :     0U, // G_GLOBAL_VALUE
     570             :     0U, // G_EXTRACT
     571             :     0U, // G_UNMERGE_VALUES
     572             :     0U, // G_INSERT
     573             :     0U, // G_MERGE_VALUES
     574             :     0U, // G_PTRTOINT
     575             :     0U, // G_INTTOPTR
     576             :     0U, // G_BITCAST
     577             :     0U, // G_INTRINSIC_TRUNC
     578             :     0U, // G_INTRINSIC_ROUND
     579             :     0U, // G_LOAD
     580             :     0U, // G_SEXTLOAD
     581             :     0U, // G_ZEXTLOAD
     582             :     0U, // G_STORE
     583             :     0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
     584             :     0U, // G_ATOMIC_CMPXCHG
     585             :     0U, // G_ATOMICRMW_XCHG
     586             :     0U, // G_ATOMICRMW_ADD
     587             :     0U, // G_ATOMICRMW_SUB
     588             :     0U, // G_ATOMICRMW_AND
     589             :     0U, // G_ATOMICRMW_NAND
     590             :     0U, // G_ATOMICRMW_OR
     591             :     0U, // G_ATOMICRMW_XOR
     592             :     0U, // G_ATOMICRMW_MAX
     593             :     0U, // G_ATOMICRMW_MIN
     594             :     0U, // G_ATOMICRMW_UMAX
     595             :     0U, // G_ATOMICRMW_UMIN
     596             :     0U, // G_BRCOND
     597             :     0U, // G_BRINDIRECT
     598             :     0U, // G_INTRINSIC
     599             :     0U, // G_INTRINSIC_W_SIDE_EFFECTS
     600             :     0U, // G_ANYEXT
     601             :     0U, // G_TRUNC
     602             :     0U, // G_CONSTANT
     603             :     0U, // G_FCONSTANT
     604             :     0U, // G_VASTART
     605             :     0U, // G_VAARG
     606             :     0U, // G_SEXT
     607             :     0U, // G_ZEXT
     608             :     0U, // G_SHL
     609             :     0U, // G_LSHR
     610             :     0U, // G_ASHR
     611             :     0U, // G_ICMP
     612             :     0U, // G_FCMP
     613             :     0U, // G_SELECT
     614             :     0U, // G_UADDO
     615             :     0U, // G_UADDE
     616             :     0U, // G_USUBO
     617             :     0U, // G_USUBE
     618             :     0U, // G_SADDO
     619             :     0U, // G_SADDE
     620             :     0U, // G_SSUBO
     621             :     0U, // G_SSUBE
     622             :     0U, // G_UMULO
     623             :     0U, // G_SMULO
     624             :     0U, // G_UMULH
     625             :     0U, // G_SMULH
     626             :     0U, // G_FADD
     627             :     0U, // G_FSUB
     628             :     0U, // G_FMUL
     629             :     0U, // G_FMA
     630             :     0U, // G_FDIV
     631             :     0U, // G_FREM
     632             :     0U, // G_FPOW
     633             :     0U, // G_FEXP
     634             :     0U, // G_FEXP2
     635             :     0U, // G_FLOG
     636             :     0U, // G_FLOG2
     637             :     0U, // G_FNEG
     638             :     0U, // G_FPEXT
     639             :     0U, // G_FPTRUNC
     640             :     0U, // G_FPTOSI
     641             :     0U, // G_FPTOUI
     642             :     0U, // G_SITOFP
     643             :     0U, // G_UITOFP
     644             :     0U, // G_FABS
     645             :     0U, // G_GEP
     646             :     0U, // G_PTR_MASK
     647             :     0U, // G_BR
     648             :     0U, // G_INSERT_VECTOR_ELT
     649             :     0U, // G_EXTRACT_VECTOR_ELT
     650             :     0U, // G_SHUFFLE_VECTOR
     651             :     0U, // G_CTTZ
     652             :     0U, // G_CTTZ_ZERO_UNDEF
     653             :     0U, // G_CTLZ
     654             :     0U, // G_CTLZ_ZERO_UNDEF
     655             :     0U, // G_CTPOP
     656             :     0U, // G_BSWAP
     657             :     0U, // G_ADDRSPACE_CAST
     658             :     0U, // G_BLOCK_ADDR
     659             :     0U, // ABS
     660             :     0U, // ADDSri
     661             :     0U, // ADDSrr
     662             :     0U, // ADDSrsi
     663             :     0U, // ADDSrsr
     664             :     0U, // ADJCALLSTACKDOWN
     665             :     0U, // ADJCALLSTACKUP
     666             :     7314U,      // ASRi
     667             :     7314U,      // ASRr
     668             :     0U, // B
     669             :     0U, // BCCZi64
     670             :     0U, // BCCi64
     671             :     0U, // BMOVPCB_CALL
     672             :     0U, // BMOVPCRX_CALL
     673             :     0U, // BR_JTadd
     674             :     0U, // BR_JTm_i12
     675             :     0U, // BR_JTm_rs
     676             :     0U, // BR_JTr
     677             :     0U, // BX_CALL
     678             :     0U, // CMP_SWAP_16
     679             :     0U, // CMP_SWAP_32
     680             :     0U, // CMP_SWAP_64
     681             :     0U, // CMP_SWAP_8
     682             :     0U, // CONSTPOOL_ENTRY
     683             :     0U, // COPY_STRUCT_BYVAL_I32
     684             :     2023U,      // CompilerBarrier
     685             :     16788854U,  // ITasm
     686             :     0U, // Int_eh_sjlj_dispatchsetup
     687             :     0U, // Int_eh_sjlj_longjmp
     688             :     0U, // Int_eh_sjlj_setjmp
     689             :     0U, // Int_eh_sjlj_setjmp_nofp
     690             :     0U, // Int_eh_sjlj_setup_dispatch
     691             :     0U, // JUMPTABLE_ADDRS
     692             :     0U, // JUMPTABLE_INSTS
     693             :     0U, // JUMPTABLE_TBB
     694             :     0U, // JUMPTABLE_TBH
     695             :     0U, // LDMIA_RET
     696             :     15678U,     // LDRBT_POST
     697             :     15465U,     // LDRConstPool
     698             :     0U, // LDRLIT_ga_abs
     699             :     0U, // LDRLIT_ga_pcrel
     700             :     0U, // LDRLIT_ga_pcrel_ldr
     701             :     15757U,     // LDRT_POST
     702             :     0U, // LEApcrel
     703             :     0U, // LEApcrelJT
     704             :     7035U,      // LSLi
     705             :     7035U,      // LSLr
     706             :     7321U,      // LSRi
     707             :     7321U,      // LSRr
     708             :     0U, // MEMCPY
     709             :     0U, // MLAv5
     710             :     0U, // MOVCCi
     711             :     0U, // MOVCCi16
     712             :     0U, // MOVCCi32imm
     713             :     0U, // MOVCCr
     714             :     0U, // MOVCCsi
     715             :     0U, // MOVCCsr
     716             :     0U, // MOVPCRX
     717             :     0U, // MOVTi16_ga_pcrel
     718             :     0U, // MOV_ga_pcrel
     719             :     0U, // MOV_ga_pcrel_ldr
     720             :     0U, // MOVi16_ga_pcrel
     721             :     0U, // MOVi32imm
     722             :     0U, // MOVsra_flag
     723             :     0U, // MOVsrl_flag
     724             :     0U, // MULv5
     725             :     0U, // MVNCCi
     726             :     0U, // PICADD
     727             :     0U, // PICLDR
     728             :     0U, // PICLDRB
     729             :     0U, // PICLDRH
     730             :     0U, // PICLDRSB
     731             :     0U, // PICLDRSH
     732             :     0U, // PICSTR
     733             :     0U, // PICSTRB
     734             :     0U, // PICSTRH
     735             :     7300U,      // RORi
     736             :     7300U,      // RORr
     737             :     0U, // RRX
     738             :     20158U,     // RRXi
     739             :     0U, // RSBSri
     740             :     0U, // RSBSrsi
     741             :     0U, // RSBSrsr
     742             :     0U, // SMLALv5
     743             :     0U, // SMULLv5
     744             :     0U, // SPACE
     745             :     15684U,     // STRBT_POST
     746             :     0U, // STRBi_preidx
     747             :     0U, // STRBr_preidx
     748             :     0U, // STRH_preidx
     749             :     15768U,     // STRT_POST
     750             :     0U, // STRi_preidx
     751             :     0U, // STRr_preidx
     752             :     0U, // SUBS_PC_LR
     753             :     0U, // SUBSri
     754             :     0U, // SUBSrr
     755             :     0U, // SUBSrsi
     756             :     0U, // SUBSrsr
     757             :     0U, // TAILJMPd
     758             :     0U, // TAILJMPr
     759             :     0U, // TAILJMPr4
     760             :     0U, // TCRETURNdi
     761             :     0U, // TCRETURNri
     762             :     0U, // TPsoft
     763             :     0U, // UMLALv5
     764             :     0U, // UMULLv5
     765             :     153220U,    // VLD1LNdAsm_16
     766             :     284292U,    // VLD1LNdAsm_32
     767             :     415364U,    // VLD1LNdAsm_8
     768             :     153220U,    // VLD1LNdWB_fixed_Asm_16
     769             :     284292U,    // VLD1LNdWB_fixed_Asm_32
     770             :     415364U,    // VLD1LNdWB_fixed_Asm_8
     771             :     157316U,    // VLD1LNdWB_register_Asm_16
     772             :     288388U,    // VLD1LNdWB_register_Asm_32
     773             :     419460U,    // VLD1LNdWB_register_Asm_8
     774             :     153264U,    // VLD2LNdAsm_16
     775             :     284336U,    // VLD2LNdAsm_32
     776             :     415408U,    // VLD2LNdAsm_8
     777             :     153264U,    // VLD2LNdWB_fixed_Asm_16
     778             :     284336U,    // VLD2LNdWB_fixed_Asm_32
     779             :     415408U,    // VLD2LNdWB_fixed_Asm_8
     780             :     157360U,    // VLD2LNdWB_register_Asm_16
     781             :     288432U,    // VLD2LNdWB_register_Asm_32
     782             :     419504U,    // VLD2LNdWB_register_Asm_8
     783             :     153264U,    // VLD2LNqAsm_16
     784             :     284336U,    // VLD2LNqAsm_32
     785             :     153264U,    // VLD2LNqWB_fixed_Asm_16
     786             :     284336U,    // VLD2LNqWB_fixed_Asm_32
     787             :     157360U,    // VLD2LNqWB_register_Asm_16
     788             :     288432U,    // VLD2LNqWB_register_Asm_32
     789             :     1107457744U,        // VLD3DUPdAsm_16
     790             :     1107588816U,        // VLD3DUPdAsm_32
     791             :     1107719888U,        // VLD3DUPdAsm_8
     792             :     2181199568U,        // VLD3DUPdWB_fixed_Asm_16
     793             :     2181330640U,        // VLD3DUPdWB_fixed_Asm_32
     794             :     2181461712U,        // VLD3DUPdWB_fixed_Asm_8
     795             :     33707728U,  // VLD3DUPdWB_register_Asm_16
     796             :     33838800U,  // VLD3DUPdWB_register_Asm_32
     797             :     33969872U,  // VLD3DUPdWB_register_Asm_8
     798             :     1124234960U,        // VLD3DUPqAsm_16
     799             :     1124366032U,        // VLD3DUPqAsm_32
     800             :     1124497104U,        // VLD3DUPqAsm_8
     801             :     2197976784U,        // VLD3DUPqWB_fixed_Asm_16
     802             :     2198107856U,        // VLD3DUPqWB_fixed_Asm_32
     803             :     2198238928U,        // VLD3DUPqWB_fixed_Asm_8
     804             :     50484944U,  // VLD3DUPqWB_register_Asm_16
     805             :     50616016U,  // VLD3DUPqWB_register_Asm_32
     806             :     50747088U,  // VLD3DUPqWB_register_Asm_8
     807             :     153296U,    // VLD3LNdAsm_16
     808             :     284368U,    // VLD3LNdAsm_32
     809             :     415440U,    // VLD3LNdAsm_8
     810             :     153296U,    // VLD3LNdWB_fixed_Asm_16
     811             :     284368U,    // VLD3LNdWB_fixed_Asm_32
     812             :     415440U,    // VLD3LNdWB_fixed_Asm_8
     813             :     157392U,    // VLD3LNdWB_register_Asm_16
     814             :     288464U,    // VLD3LNdWB_register_Asm_32
     815             :     419536U,    // VLD3LNdWB_register_Asm_8
     816             :     153296U,    // VLD3LNqAsm_16
     817             :     284368U,    // VLD3LNqAsm_32
     818             :     153296U,    // VLD3LNqWB_fixed_Asm_16
     819             :     284368U,    // VLD3LNqWB_fixed_Asm_32
     820             :     157392U,    // VLD3LNqWB_register_Asm_16
     821             :     288464U,    // VLD3LNqWB_register_Asm_32
     822             :     3288495824U,        // VLD3dAsm_16
     823             :     3288626896U,        // VLD3dAsm_32
     824             :     3288757968U,        // VLD3dAsm_8
     825             :     3288495824U,        // VLD3dWB_fixed_Asm_16
     826             :     3288626896U,        // VLD3dWB_fixed_Asm_32
     827             :     3288757968U,        // VLD3dWB_fixed_Asm_8
     828             :     3288487632U,        // VLD3dWB_register_Asm_16
     829             :     3288618704U,        // VLD3dWB_register_Asm_32
     830             :     3288749776U,        // VLD3dWB_register_Asm_8
     831             :     1157789392U,        // VLD3qAsm_16
     832             :     1157920464U,        // VLD3qAsm_32
     833             :     1158051536U,        // VLD3qAsm_8
     834             :     2231531216U,        // VLD3qWB_fixed_Asm_16
     835             :     2231662288U,        // VLD3qWB_fixed_Asm_32
     836             :     2231793360U,        // VLD3qWB_fixed_Asm_8
     837             :     84039376U,  // VLD3qWB_register_Asm_16
     838             :     84170448U,  // VLD3qWB_register_Asm_32
     839             :     84301520U,  // VLD3qWB_register_Asm_8
     840             :     1174566631U,        // VLD4DUPdAsm_16
     841             :     1174697703U,        // VLD4DUPdAsm_32
     842             :     1174828775U,        // VLD4DUPdAsm_8
     843             :     2248308455U,        // VLD4DUPdWB_fixed_Asm_16
     844             :     2248439527U,        // VLD4DUPdWB_fixed_Asm_32
     845             :     2248570599U,        // VLD4DUPdWB_fixed_Asm_8
     846             :     100816615U, // VLD4DUPdWB_register_Asm_16
     847             :     100947687U, // VLD4DUPdWB_register_Asm_32
     848             :     101078759U, // VLD4DUPdWB_register_Asm_8
     849             :     1191343847U,        // VLD4DUPqAsm_16
     850             :     1191474919U,        // VLD4DUPqAsm_32
     851             :     1191605991U,        // VLD4DUPqAsm_8
     852             :     2265085671U,        // VLD4DUPqWB_fixed_Asm_16
     853             :     2265216743U,        // VLD4DUPqWB_fixed_Asm_32
     854             :     2265347815U,        // VLD4DUPqWB_fixed_Asm_8
     855             :     117593831U, // VLD4DUPqWB_register_Asm_16
     856             :     117724903U, // VLD4DUPqWB_register_Asm_32
     857             :     117855975U, // VLD4DUPqWB_register_Asm_8
     858             :     153319U,    // VLD4LNdAsm_16
     859             :     284391U,    // VLD4LNdAsm_32
     860             :     415463U,    // VLD4LNdAsm_8
     861             :     153319U,    // VLD4LNdWB_fixed_Asm_16
     862             :     284391U,    // VLD4LNdWB_fixed_Asm_32
     863             :     415463U,    // VLD4LNdWB_fixed_Asm_8
     864             :     157415U,    // VLD4LNdWB_register_Asm_16
     865             :     288487U,    // VLD4LNdWB_register_Asm_32
     866             :     419559U,    // VLD4LNdWB_register_Asm_8
     867             :     153319U,    // VLD4LNqAsm_16
     868             :     284391U,    // VLD4LNqAsm_32
     869             :     153319U,    // VLD4LNqWB_fixed_Asm_16
     870             :     284391U,    // VLD4LNqWB_fixed_Asm_32
     871             :     157415U,    // VLD4LNqWB_register_Asm_16
     872             :     288487U,    // VLD4LNqWB_register_Asm_32
     873             :     3355604711U,        // VLD4dAsm_16
     874             :     3355735783U,        // VLD4dAsm_32
     875             :     3355866855U,        // VLD4dAsm_8
     876             :     3355604711U,        // VLD4dWB_fixed_Asm_16
     877             :     3355735783U,        // VLD4dWB_fixed_Asm_32
     878             :     3355866855U,        // VLD4dWB_fixed_Asm_8
     879             :     3355596519U,        // VLD4dWB_register_Asm_16
     880             :     3355727591U,        // VLD4dWB_register_Asm_32
     881             :     3355858663U,        // VLD4dWB_register_Asm_8
     882             :     1224898279U,        // VLD4qAsm_16
     883             :     1225029351U,        // VLD4qAsm_32
     884             :     1225160423U,        // VLD4qAsm_8
     885             :     2298640103U,        // VLD4qWB_fixed_Asm_16
     886             :     2298771175U,        // VLD4qWB_fixed_Asm_32
     887             :     2298902247U,        // VLD4qWB_fixed_Asm_8
     888             :     151148263U, // VLD4qWB_register_Asm_16
     889             :     151279335U, // VLD4qWB_register_Asm_32
     890             :     151410407U, // VLD4qWB_register_Asm_8
     891             :     0U, // VMOVD0
     892             :     0U, // VMOVDcc
     893             :     0U, // VMOVQ0
     894             :     0U, // VMOVScc
     895             :     153231U,    // VST1LNdAsm_16
     896             :     284303U,    // VST1LNdAsm_32
     897             :     415375U,    // VST1LNdAsm_8
     898             :     153231U,    // VST1LNdWB_fixed_Asm_16
     899             :     284303U,    // VST1LNdWB_fixed_Asm_32
     900             :     415375U,    // VST1LNdWB_fixed_Asm_8
     901             :     157327U,    // VST1LNdWB_register_Asm_16
     902             :     288399U,    // VST1LNdWB_register_Asm_32
     903             :     419471U,    // VST1LNdWB_register_Asm_8
     904             :     153291U,    // VST2LNdAsm_16
     905             :     284363U,    // VST2LNdAsm_32
     906             :     415435U,    // VST2LNdAsm_8
     907             :     153291U,    // VST2LNdWB_fixed_Asm_16
     908             :     284363U,    // VST2LNdWB_fixed_Asm_32
     909             :     415435U,    // VST2LNdWB_fixed_Asm_8
     910             :     157387U,    // VST2LNdWB_register_Asm_16
     911             :     288459U,    // VST2LNdWB_register_Asm_32
     912             :     419531U,    // VST2LNdWB_register_Asm_8
     913             :     153291U,    // VST2LNqAsm_16
     914             :     284363U,    // VST2LNqAsm_32
     915             :     153291U,    // VST2LNqWB_fixed_Asm_16
     916             :     284363U,    // VST2LNqWB_fixed_Asm_32
     917             :     157387U,    // VST2LNqWB_register_Asm_16
     918             :     288459U,    // VST2LNqWB_register_Asm_32
     919             :     153307U,    // VST3LNdAsm_16
     920             :     284379U,    // VST3LNdAsm_32
     921             :     415451U,    // VST3LNdAsm_8
     922             :     153307U,    // VST3LNdWB_fixed_Asm_16
     923             :     284379U,    // VST3LNdWB_fixed_Asm_32
     924             :     415451U,    // VST3LNdWB_fixed_Asm_8
     925             :     157403U,    // VST3LNdWB_register_Asm_16
     926             :     288475U,    // VST3LNdWB_register_Asm_32
     927             :     419547U,    // VST3LNdWB_register_Asm_8
     928             :     153307U,    // VST3LNqAsm_16
     929             :     284379U,    // VST3LNqAsm_32
     930             :     153307U,    // VST3LNqWB_fixed_Asm_16
     931             :     284379U,    // VST3LNqWB_fixed_Asm_32
     932             :     157403U,    // VST3LNqWB_register_Asm_16
     933             :     288475U,    // VST3LNqWB_register_Asm_32
     934             :     3288495835U,        // VST3dAsm_16
     935             :     3288626907U,        // VST3dAsm_32
     936             :     3288757979U,        // VST3dAsm_8
     937             :     3288495835U,        // VST3dWB_fixed_Asm_16
     938             :     3288626907U,        // VST3dWB_fixed_Asm_32
     939             :     3288757979U,        // VST3dWB_fixed_Asm_8
     940             :     3288487643U,        // VST3dWB_register_Asm_16
     941             :     3288618715U,        // VST3dWB_register_Asm_32
     942             :     3288749787U,        // VST3dWB_register_Asm_8
     943             :     1157789403U,        // VST3qAsm_16
     944             :     1157920475U,        // VST3qAsm_32
     945             :     1158051547U,        // VST3qAsm_8
     946             :     2231531227U,        // VST3qWB_fixed_Asm_16
     947             :     2231662299U,        // VST3qWB_fixed_Asm_32
     948             :     2231793371U,        // VST3qWB_fixed_Asm_8
     949             :     84039387U,  // VST3qWB_register_Asm_16
     950             :     84170459U,  // VST3qWB_register_Asm_32
     951             :     84301531U,  // VST3qWB_register_Asm_8
     952             :     153324U,    // VST4LNdAsm_16
     953             :     284396U,    // VST4LNdAsm_32
     954             :     415468U,    // VST4LNdAsm_8
     955             :     153324U,    // VST4LNdWB_fixed_Asm_16
     956             :     284396U,    // VST4LNdWB_fixed_Asm_32
     957             :     415468U,    // VST4LNdWB_fixed_Asm_8
     958             :     157420U,    // VST4LNdWB_register_Asm_16
     959             :     288492U,    // VST4LNdWB_register_Asm_32
     960             :     419564U,    // VST4LNdWB_register_Asm_8
     961             :     153324U,    // VST4LNqAsm_16
     962             :     284396U,    // VST4LNqAsm_32
     963             :     153324U,    // VST4LNqWB_fixed_Asm_16
     964             :     284396U,    // VST4LNqWB_fixed_Asm_32
     965             :     157420U,    // VST4LNqWB_register_Asm_16
     966             :     288492U,    // VST4LNqWB_register_Asm_32
     967             :     3355604716U,        // VST4dAsm_16
     968             :     3355735788U,        // VST4dAsm_32
     969             :     3355866860U,        // VST4dAsm_8
     970             :     3355604716U,        // VST4dWB_fixed_Asm_16
     971             :     3355735788U,        // VST4dWB_fixed_Asm_32
     972             :     3355866860U,        // VST4dWB_fixed_Asm_8
     973             :     3355596524U,        // VST4dWB_register_Asm_16
     974             :     3355727596U,        // VST4dWB_register_Asm_32
     975             :     3355858668U,        // VST4dWB_register_Asm_8
     976             :     1224898284U,        // VST4qAsm_16
     977             :     1225029356U,        // VST4qAsm_32
     978             :     1225160428U,        // VST4qAsm_8
     979             :     2298640108U,        // VST4qWB_fixed_Asm_16
     980             :     2298771180U,        // VST4qWB_fixed_Asm_32
     981             :     2298902252U,        // VST4qWB_fixed_Asm_8
     982             :     151148268U, // VST4qWB_register_Asm_16
     983             :     151279340U, // VST4qWB_register_Asm_32
     984             :     151410412U, // VST4qWB_register_Asm_8
     985             :     0U, // WIN__CHKSTK
     986             :     0U, // WIN__DBZCHK
     987             :     0U, // t2ABS
     988             :     0U, // t2ADDSri
     989             :     0U, // t2ADDSrr
     990             :     0U, // t2ADDSrs
     991             :     0U, // t2BR_JT
     992             :     0U, // t2LDMIA_RET
     993             :     14530U,     // t2LDRBpcrel
     994             :     15465U,     // t2LDRConstPool
     995             :     14951U,     // t2LDRHpcrel
     996             :     14548U,     // t2LDRSBpcrel
     997             :     14970U,     // t2LDRSHpcrel
     998             :     0U, // t2LDRpci_pic
     999             :     15465U,     // t2LDRpcrel
    1000             :     0U, // t2LEApcrel
    1001             :     0U, // t2LEApcrelJT
    1002             :     0U, // t2MOVCCasr
    1003             :     0U, // t2MOVCCi
    1004             :     0U, // t2MOVCCi16
    1005             :     0U, // t2MOVCCi32imm
    1006             :     0U, // t2MOVCClsl
    1007             :     0U, // t2MOVCClsr
    1008             :     0U, // t2MOVCCr
    1009             :     0U, // t2MOVCCror
    1010             :     32014U,     // t2MOVSsi
    1011             :     23822U,     // t2MOVSsr
    1012             :     0U, // t2MOVTi16_ga_pcrel
    1013             :     0U, // t2MOV_ga_pcrel
    1014             :     0U, // t2MOVi16_ga_pcrel
    1015             :     0U, // t2MOVi32imm
    1016             :     32256U,     // t2MOVsi
    1017             :     24064U,     // t2MOVsr
    1018             :     0U, // t2MVNCCi
    1019             :     0U, // t2RSBSri
    1020             :     0U, // t2RSBSrs
    1021             :     0U, // t2STRB_preidx
    1022             :     0U, // t2STRH_preidx
    1023             :     0U, // t2STR_preidx
    1024             :     0U, // t2SUBSri
    1025             :     0U, // t2SUBSrr
    1026             :     0U, // t2SUBSrs
    1027             :     0U, // t2TBB_JT
    1028             :     0U, // t2TBH_JT
    1029             :     0U, // tADCS
    1030             :     0U, // tADDSi3
    1031             :     0U, // tADDSi8
    1032             :     0U, // tADDSrr
    1033             :     0U, // tADDframe
    1034             :     0U, // tADJCALLSTACKDOWN
    1035             :     0U, // tADJCALLSTACKUP
    1036             :     0U, // tBRIND
    1037             :     0U, // tBR_JTr
    1038             :     0U, // tBX_CALL
    1039             :     0U, // tBX_RET
    1040             :     0U, // tBX_RET_vararg
    1041             :     0U, // tBfar
    1042             :     0U, // tLDMIA_UPD
    1043             :     15465U,     // tLDRConstPool
    1044             :     0U, // tLDRLIT_ga_abs
    1045             :     0U, // tLDRLIT_ga_pcrel
    1046             :     0U, // tLDR_postidx
    1047             :     0U, // tLDRpci_pic
    1048             :     0U, // tLEApcrel
    1049             :     0U, // tLEApcrelJT
    1050             :     0U, // tMOVCCr_pseudo
    1051             :     0U, // tPOP_RET
    1052             :     0U, // tSBCS
    1053             :     0U, // tSUBSi3
    1054             :     0U, // tSUBSi8
    1055             :     0U, // tSUBSrr
    1056             :     0U, // tTAILJMPd
    1057             :     0U, // tTAILJMPdND
    1058             :     0U, // tTAILJMPr
    1059             :     0U, // tTBB_JT
    1060             :     0U, // tTBH_JT
    1061             :     0U, // tTPsoft
    1062             :     530767U,    // ADCri
    1063             :     530767U,    // ADCrr
    1064             :     559439U,    // ADCrsi
    1065             :     39247U,     // ADCrsr
    1066             :     530828U,    // ADDri
    1067             :     530828U,    // ADDrr
    1068             :     559500U,    // ADDrsi
    1069             :     39308U,     // ADDrsr
    1070             :     539748U,    // ADR
    1071             :     1242211471U,        // AESD
    1072             :     1242211479U,        // AESE
    1073             :     1258988668U,        // AESIMC
    1074             :     1258988678U,        // AESMC
    1075             :     530881U,    // ANDri
    1076             :     530881U,    // ANDrr
    1077             :     559553U,    // ANDrsi
    1078             :     39361U,     // ANDrsr
    1079             :     555351U,    // BFC
    1080             :     547505U,    // BFI
    1081             :     530780U,    // BICri
    1082             :     530780U,    // BICrr
    1083             :     559452U,    // BICrsi
    1084             :     39260U,     // BICrsr
    1085             :     828747U,    // BKPT
    1086             :     828719U,    // BL
    1087             :     828794U,    // BLX
    1088             :     1074314938U,        // BLX_pred
    1089             :     828794U,    // BLXi
    1090             :     1074313986U,        // BL_pred
    1091             :     828790U,    // BX
    1092             :     1074313923U,        // BXJ
    1093             :     970326U,    // BX_RET
    1094             :     1074314838U,        // BX_pred
    1095             :     1074313318U,        // Bcc
    1096             :     201907247U, // CDP
    1097             :     219210157U, // CDP2
    1098             :     3748U,      // CLREX
    1099             :     540390U,    // CLZ
    1100             :     539605U,    // CMNri
    1101             :     539605U,    // CMNzrr
    1102             :     555989U,    // CMNzrsi
    1103             :     547797U,    // CMNzrsr
    1104             :     539705U,    // CMPri
    1105             :     539705U,    // CMPrr
    1106             :     556089U,    // CMPrsi
    1107             :     547897U,    // CMPrsr
    1108             :     828731U,    // CPS1p
    1109             :     1309211891U,        // CPS2p
    1110             :     235470067U, // CPS3p
    1111             :     185246913U, // CRC32B
    1112             :     185246921U, // CRC32CB
    1113             :     185246995U, // CRC32CH
    1114             :     185247079U, // CRC32CW
    1115             :     185246987U, // CRC32H
    1116             :     185247071U, // CRC32W
    1117             :     1074313761U,        // DBG
    1118             :     66784U,     // DMB
    1119             :     66789U,     // DSB
    1120             :     531584U,    // EORri
    1121             :     531584U,    // EORrr
    1122             :     560256U,    // EORrsi
    1123             :     40064U,     // EORrsr
    1124             :     838993U,    // ERET
    1125             :     1326595583U,        // FCONSTD
    1126             :     1326726655U,        // FCONSTH
    1127             :     1326857727U,        // FCONSTS
    1128             :     2332573265U,        // FLDMXDB_UPD
    1129             :     572954U,    // FLDMXIA
    1130             :     2332573210U,        // FLDMXIA_UPD
    1131             :     1625335U,   // FMSTAT
    1132             :     2332573273U,        // FSTMXDB_UPD
    1133             :     572962U,    // FSTMXIA
    1134             :     2332573218U,        // FSTMXIA_UPD
    1135             :     1074314632U,        // HINT
    1136             :     828742U,    // HLT
    1137             :     828660U,    // HVC
    1138             :     70890U,     // ISB
    1139             :     538638U,    // LDA
    1140             :     538723U,    // LDAB
    1141             :     540306U,    // LDAEX
    1142             :     538927U,    // LDAEXB
    1143             :     268974555U, // LDAEXD
    1144             :     539285U,    // LDAEXH
    1145             :     539187U,    // LDAH
    1146             :     286975265U, // LDC2L_OFFSET
    1147             :     3524977953U,        // LDC2L_OPTION
    1148             :     303752481U, // LDC2L_POST
    1149             :     320529697U, // LDC2L_PRE
    1150             :     286974356U, // LDC2_OFFSET
    1151             :     3524977044U,        // LDC2_OPTION
    1152             :     303751572U, // LDC2_POST
    1153             :     320528788U, // LDC2_PRE
    1154             :     1275616011U,        // LDCL_OFFSET
    1155             :     1275616011U,        // LDCL_OPTION
    1156             :     1275616011U,        // LDCL_POST
    1157             :     1275616011U,        // LDCL_PRE
    1158             :     1275615571U,        // LDC_OFFSET
    1159             :     1275615571U,        // LDC_OPTION
    1160             :     1275615571U,        // LDC_POST
    1161             :     1275615571U,        // LDC_PRE
    1162             :     571410U,    // LDMDA
    1163             :     2332571666U,        // LDMDA_UPD
    1164             :     571541U,    // LDMDB
    1165             :     2332571797U,        // LDMDB_UPD
    1166             :     572322U,    // LDMIA
    1167             :     2332572578U,        // LDMIA_UPD
    1168             :     571560U,    // LDMIB
    1169             :     2332571816U,        // LDMIB_UPD
    1170             :     552254U,    // LDRBT_POST_IMM
    1171             :     552254U,    // LDRBT_POST_REG
    1172             :     551106U,    // LDRB_POST_IMM
    1173             :     551106U,    // LDRB_POST_REG
    1174             :     547010U,    // LDRB_PRE_IMM
    1175             :     551106U,    // LDRB_PRE_REG
    1176             :     555202U,    // LDRBi12
    1177             :     547010U,    // LDRBrs
    1178             :     551365U,    // LDRD
    1179             :     580037U,    // LDRD_POST
    1180             :     580037U,    // LDRD_PRE
    1181             :     540318U,    // LDREX
    1182             :     538941U,    // LDREXB
    1183             :     268974569U, // LDREXD
    1184             :     539299U,    // LDREXH
    1185             :     547431U,    // LDRH
    1186             :     548193U,    // LDRHTi
    1187             :     552289U,    // LDRHTr
    1188             :     551527U,    // LDRH_POST
    1189             :     551527U,    // LDRH_PRE
    1190             :     547028U,    // LDRSB
    1191             :     548170U,    // LDRSBTi
    1192             :     552266U,    // LDRSBTr
    1193             :     551124U,    // LDRSB_POST
    1194             :     551124U,    // LDRSB_PRE
    1195             :     547450U,    // LDRSH
    1196             :     548205U,    // LDRSHTi
    1197             :     552301U,    // LDRSHTr
    1198             :     551546U,    // LDRSH_POST
    1199             :     551546U,    // LDRSH_PRE
    1200             :     552333U,    // LDRT_POST_IMM
    1201             :     552333U,    // LDRT_POST_REG
    1202             :     552041U,    // LDR_POST_IMM
    1203             :     552041U,    // LDR_POST_REG
    1204             :     547945U,    // LDR_PRE_IMM
    1205             :     552041U,    // LDR_PRE_REG
    1206             :     556137U,    // LDRcp
    1207             :     556137U,    // LDRi12
    1208             :     547945U,    // LDRrs
    1209             :     201907296U, // MCR
    1210             :     168878515U, // MCR2
    1211             :     201878664U, // MCRR
    1212             :     168878521U, // MCRR2
    1213             :     559162U,    // MLA
    1214             :     548043U,    // MLS
    1215             :     1887744U,   // MOVPCLR
    1216             :     556493U,    // MOVTi16
    1217             :     544256U,    // MOVi
    1218             :     540181U,    // MOVi16
    1219             :     544256U,    // MOVr
    1220             :     544256U,    // MOVr_TC
    1221             :     531968U,    // MOVsi
    1222             :     560640U,    // MOVsr
    1223             :     336124260U, // MRC
    1224             :     74138U,     // MRC2
    1225             :     352872808U, // MRRC
    1226             :     78240U,     // MRRC2
    1227             :     2148056312U,        // MRS
    1228             :     539896U,    // MRSbanked
    1229             :     3221798136U,        // MRSsys
    1230             :     369638558U, // MSR
    1231             :     386415774U, // MSRbanked
    1232             :     369638558U, // MSRi
    1233             :     531339U,    // MUL
    1234             :     543769U,    // MVNi
    1235             :     543769U,    // MVNr
    1236             :     531481U,    // MVNsi
    1237             :     560153U,    // MVNsr
    1238             :     531598U,    // ORRri
    1239             :     531598U,    // ORRrr
    1240             :     560270U,    // ORRrsi
    1241             :     40078U,     // ORRrsr
    1242             :     548137U,    // PKHBT
    1243             :     547045U,    // PKHTB
    1244             :     83312U,     // PLDWi12
    1245             :     87408U,     // PLDWrs
    1246             :     83193U,     // PLDi12
    1247             :     87289U,     // PLDrs
    1248             :     83228U,     // PLIi12
    1249             :     87324U,     // PLIrs
    1250             :     555428U,    // QADD
    1251             :     554822U,    // QADD16
    1252             :     554925U,    // QADD8
    1253             :     556751U,    // QASX
    1254             :     555402U,    // QDADD
    1255             :     555274U,    // QDSUB
    1256             :     556610U,    // QSAX
    1257             :     555287U,    // QSUB
    1258             :     554784U,    // QSUB16
    1259             :     554886U,    // QSUB8
    1260             :     540020U,    // RBIT
    1261             :     540140U,    // REV
    1262             :     538474U,    // REV16
    1263             :     539269U,    // REVSH
    1264             :     828595U,    // RFEDA
    1265             :     2008243U,   // RFEDA_UPD
    1266             :     828626U,    // RFEDB
    1267             :     2008274U,   // RFEDB_UPD
    1268             :     828602U,    // RFEIA
    1269             :     2008250U,   // RFEIA_UPD
    1270             :     828633U,    // RFEIB
    1271             :     2008281U,   // RFEIB_UPD
    1272             :     530646U,    // RSBri
    1273             :     530646U,    // RSBrr
    1274             :     559318U,    // RSBrsi
    1275             :     39126U,     // RSBrsr
    1276             :     530797U,    // RSCri
    1277             :     530797U,    // RSCrr
    1278             :     559469U,    // RSCrsi
    1279             :     39277U,     // RSCrsr
    1280             :     554829U,    // SADD16
    1281             :     554931U,    // SADD8
    1282             :     556756U,    // SASX
    1283             :     2253U,      // SB
    1284             :     530763U,    // SBCri
    1285             :     530763U,    // SBCrr
    1286             :     559435U,    // SBCrsi
    1287             :     39243U,     // SBCrsr
    1288             :     548528U,    // SBFX
    1289             :     556528U,    // SDIV
    1290             :     555816U,    // SEL
    1291             :     91390U,     // SETEND
    1292             :     828723U,    // SETPAN
    1293             :     168468546U, // SHA1C
    1294             :     1258987596U,        // SHA1H
    1295             :     168468578U, // SHA1M
    1296             :     168468588U, // SHA1P
    1297             :     168468481U, // SHA1SU0
    1298             :     1242210331U,        // SHA1SU1
    1299             :     168468566U, // SHA256H
    1300             :     168468533U, // SHA256H2
    1301             :     1242210317U,        // SHA256SU0
    1302             :     168468519U, // SHA256SU1
    1303             :     554805U,    // SHADD16
    1304             :     554910U,    // SHADD8
    1305             :     556738U,    // SHASX
    1306             :     556597U,    // SHSAX
    1307             :     554767U,    // SHSUB16
    1308             :     554871U,    // SHSUB8
    1309             :     1074313568U,        // SMC
    1310             :     546932U,    // SMLABB
    1311             :     548130U,    // SMLABT
    1312             :     547193U,    // SMLAD
    1313             :     548454U,    // SMLADX
    1314             :     97006U,     // SMLAL
    1315             :     579707U,    // SMLALBB
    1316             :     580911U,    // SMLALBT
    1317             :     580014U,    // SMLALD
    1318             :     581236U,    // SMLALDX
    1319             :     579819U,    // SMLALTB
    1320             :     581033U,    // SMLALTT
    1321             :     547038U,    // SMLATB
    1322             :     548258U,    // SMLATT
    1323             :     547105U,    // SMLAWB
    1324             :     548306U,    // SMLAWT
    1325             :     547279U,    // SMLSD
    1326             :     548484U,    // SMLSDX
    1327             :     580025U,    // SMLSLD
    1328             :     581244U,    // SMLSLDX
    1329             :     546872U,    // SMMLA
    1330             :     547929U,    // SMMLAR
    1331             :     548041U,    // SMMLS
    1332             :     547990U,    // SMMLSR
    1333             :     555913U,    // SMMUL
    1334             :     556152U,    // SMMULR
    1335             :     555391U,    // SMUAD
    1336             :     556653U,    // SMUADX
    1337             :     555139U,    // SMULBB
    1338             :     556343U,    // SMULBT
    1339             :     559968U,    // SMULL
    1340             :     555251U,    // SMULTB
    1341             :     556465U,    // SMULTT
    1342             :     555304U,    // SMULWB
    1343             :     556505U,    // SMULWT
    1344             :     555477U,    // SMUSD
    1345             :     556683U,    // SMUSDX
    1346             :     828858U,    // SRSDA
    1347             :     828810U,    // SRSDA_UPD
    1348             :     828880U,    // SRSDB
    1349             :     828834U,    // SRSDB_UPD
    1350             :     828869U,    // SRSIA
    1351             :     828822U,    // SRSIA_UPD
    1352             :     828891U,    // SRSIB
    1353             :     828846U,    // SRSIB_UPD
    1354             :     548115U,    // SSAT
    1355             :     554843U,    // SSAT16
    1356             :     556615U,    // SSAX
    1357             :     554791U,    // SSUB16
    1358             :     554892U,    // SSUB8
    1359             :     286975272U, // STC2L_OFFSET
    1360             :     3524977960U,        // STC2L_OPTION
    1361             :     303752488U, // STC2L_POST
    1362             :     320529704U, // STC2L_PRE
    1363             :     286974375U, // STC2_OFFSET
    1364             :     3524977063U,        // STC2_OPTION
    1365             :     303751591U, // STC2_POST
    1366             :     320528807U, // STC2_PRE
    1367             :     1275616016U,        // STCL_OFFSET
    1368             :     1275616016U,        // STCL_OPTION
    1369             :     1275616016U,        // STCL_POST
    1370             :     1275616016U,        // STCL_PRE
    1371             :     1275615601U,        // STC_OFFSET
    1372             :     1275615601U,        // STC_OPTION
    1373             :     1275615601U,        // STC_POST
    1374             :     1275615601U,        // STC_PRE
    1375             :     539525U,    // STL
    1376             :     538804U,    // STLB
    1377             :     556696U,    // STLEX
    1378             :     555318U,    // STLEXB
    1379             :     555490U,    // STLEXD
    1380             :     555676U,    // STLEXH
    1381             :     539217U,    // STLH
    1382             :     571416U,    // STMDA
    1383             :     2332571672U,        // STMDA_UPD
    1384             :     571548U,    // STMDB
    1385             :     2332571804U,        // STMDB_UPD
    1386             :     572328U,    // STMIA
    1387             :     2332572584U,        // STMIA_UPD
    1388             :     571566U,    // STMIB
    1389             :     2332571822U,        // STMIB_UPD
    1390             :     185101636U, // STRBT_POST_IMM
    1391             :     185101636U, // STRBT_POST_REG
    1392             :     185100487U, // STRB_POST_IMM
    1393             :     185100487U, // STRB_POST_REG
    1394             :     185096391U, // STRB_PRE_IMM
    1395             :     185100487U, // STRB_PRE_REG
    1396             :     555207U,    // STRBi12
    1397             :     547015U,    // STRBrs
    1398             :     551370U,    // STRD
    1399             :     185129418U, // STRD_POST
    1400             :     185129418U, // STRD_PRE
    1401             :     556714U,    // STREX
    1402             :     555332U,    // STREXB
    1403             :     555504U,    // STREXD
    1404             :     555690U,    // STREXH
    1405             :     547436U,    // STRH
    1406             :     185097575U, // STRHTi
    1407             :     185101671U, // STRHTr
    1408             :     185100908U, // STRH_POST
    1409             :     185100908U, // STRH_PRE
    1410             :     185101720U, // STRT_POST_IMM
    1411             :     185101720U, // STRT_POST_REG
    1412             :     185101482U, // STR_POST_IMM
    1413             :     185101482U, // STR_POST_REG
    1414             :     185097386U, // STR_PRE_IMM
    1415             :     185101482U, // STR_PRE_REG
    1416             :     556202U,    // STRi12
    1417             :     548010U,    // STRrs
    1418             :     530700U,    // SUBri
    1419             :     530700U,    // SUBrr
    1420             :     559372U,    // SUBrsi
    1421             :     39180U,     // SUBrsr
    1422             :     1074313589U,        // SVC
    1423             :     556103U,    // SWP
    1424             :     555197U,    // SWPB
    1425             :     546920U,    // SXTAB
    1426             :     546545U,    // SXTAB16
    1427             :     547393U,    // SXTAH
    1428             :     555264U,    // SXTB
    1429             :     554753U,    // SXTB16
    1430             :     555659U,    // SXTH
    1431             :     539733U,    // TEQri
    1432             :     539733U,    // TEQrr
    1433             :     556117U,    // TEQrsi
    1434             :     547925U,    // TEQrsr
    1435             :     3114U,      // TRAP
    1436             :     3114U,      // TRAPNaCl
    1437             :     99567U,     // TSB
    1438             :     540062U,    // TSTri
    1439             :     540062U,    // TSTrr
    1440             :     556446U,    // TSTrsi
    1441             :     548254U,    // TSTrsr
    1442             :     554836U,    // UADD16
    1443             :     554937U,    // UADD8
    1444             :     556761U,    // UASX
    1445             :     548533U,    // UBFX
    1446             :     828678U,    // UDF
    1447             :     556533U,    // UDIV
    1448             :     554813U,    // UHADD16
    1449             :     554917U,    // UHADD8
    1450             :     556744U,    // UHASX
    1451             :     556603U,    // UHSAX
    1452             :     554775U,    // UHSUB16
    1453             :     554878U,    // UHSUB8
    1454             :     580307U,    // UMAAL
    1455             :     97012U,     // UMLAL
    1456             :     559974U,    // UMULL
    1457             :     554821U,    // UQADD16
    1458             :     554924U,    // UQADD8
    1459             :     556750U,    // UQASX
    1460             :     556609U,    // UQSAX
    1461             :     554783U,    // UQSUB16
    1462             :     554885U,    // UQSUB8
    1463             :     554904U,    // USAD8
    1464             :     546672U,    // USADA8
    1465             :     548120U,    // USAT
    1466             :     554850U,    // USAT16
    1467             :     556620U,    // USAX
    1468             :     554798U,    // USUB16
    1469             :     554898U,    // USUB8
    1470             :     546926U,    // UXTAB
    1471             :     546553U,    // UXTAB16
    1472             :     547399U,    // UXTAH
    1473             :     555269U,    // UXTB
    1474             :     554760U,    // UXTB16
    1475             :     555664U,    // UXTH
    1476             :     169892569U, // VABALsv2i64
    1477             :     170023641U, // VABALsv4i32
    1478             :     170154713U, // VABALsv8i16
    1479             :     170285785U, // VABALuv2i64
    1480             :     170416857U, // VABALuv4i32
    1481             :     170547929U, // VABALuv8i16
    1482             :     170153993U, // VABAsv16i8
    1483             :     169891849U, // VABAsv2i32
    1484             :     170022921U, // VABAsv4i16
    1485             :     169891849U, // VABAsv4i32
    1486             :     170022921U, // VABAsv8i16
    1487             :     170153993U, // VABAsv8i8
    1488             :     170547209U, // VABAuv16i8
    1489             :     170285065U, // VABAuv2i32
    1490             :     170416137U, // VABAuv4i16
    1491             :     170285065U, // VABAuv4i32
    1492             :     170416137U, // VABAuv8i16
    1493             :     170547209U, // VABAuv8i8
    1494             :     186678037U, // VABDLsv2i64
    1495             :     186809109U, // VABDLsv4i32
    1496             :     186940181U, // VABDLsv8i16
    1497             :     187071253U, // VABDLuv2i64
    1498             :     187202325U, // VABDLuv4i32
    1499             :     187333397U, // VABDLuv8i16
    1500             :     253131141U, // VABDfd
    1501             :     253131141U, // VABDfq
    1502             :     253000069U, // VABDhd
    1503             :     253000069U, // VABDhq
    1504             :     186939781U, // VABDsv16i8
    1505             :     186677637U, // VABDsv2i32
    1506             :     186808709U, // VABDsv4i16
    1507             :     186677637U, // VABDsv4i32
    1508             :     186808709U, // VABDsv8i16
    1509             :     186939781U, // VABDsv8i8
    1510             :     187332997U, // VABDuv16i8
    1511             :     187070853U, // VABDuv2i32
    1512             :     187201925U, // VABDuv4i16
    1513             :     187070853U, // VABDuv4i32
    1514             :     187201925U, // VABDuv8i16
    1515             :     187332997U, // VABDuv8i8
    1516             :     252853434U, // VABSD
    1517             :     252984506U, // VABSH
    1518             :     253115578U, // VABSS
    1519             :     253115578U, // VABSfd
    1520             :     253115578U, // VABSfq
    1521             :     252984506U, // VABShd
    1522             :     252984506U, // VABShq
    1523             :     1260666042U,        // VABSv16i8
    1524             :     1260403898U,        // VABSv2i32
    1525             :     1260534970U,        // VABSv4i16
    1526             :     1260403898U,        // VABSv4i32
    1527             :     1260534970U,        // VABSv8i16
    1528             :     1260666042U,        // VABSv8i8
    1529             :     253131255U, // VACGEfd
    1530             :     253131255U, // VACGEfq
    1531             :     253000183U, // VACGEhd
    1532             :     253000183U, // VACGEhq
    1533             :     253132118U, // VACGTfd
    1534             :     253132118U, // VACGTfq
    1535             :     253001046U, // VACGThd
    1536             :     253001046U, // VACGThq
    1537             :     252869033U, // VADDD
    1538             :     253000105U, // VADDH
    1539             :     187464643U, // VADDHNv2i32
    1540             :     187595715U, // VADDHNv4i16
    1541             :     187726787U, // VADDHNv8i8
    1542             :     186678050U, // VADDLsv2i64
    1543             :     186809122U, // VADDLsv4i32
    1544             :     186940194U, // VADDLsv8i16
    1545             :     187071266U, // VADDLuv2i64
    1546             :     187202338U, // VADDLuv4i32
    1547             :     187333410U, // VADDLuv8i16
    1548             :     253131177U, // VADDS
    1549             :     186678794U, // VADDWsv2i64
    1550             :     186809866U, // VADDWsv4i32
    1551             :     186940938U, // VADDWsv8i16
    1552             :     187072010U, // VADDWuv2i64
    1553             :     187203082U, // VADDWuv4i32
    1554             :     187334154U, // VADDWuv8i16
    1555             :     253131177U, // VADDfd
    1556             :     253131177U, // VADDfq
    1557             :     253000105U, // VADDhd
    1558             :     253000105U, // VADDhq
    1559             :     187857321U, // VADDv16i8
    1560             :     187464105U, // VADDv1i64
    1561             :     187595177U, // VADDv2i32
    1562             :     187464105U, // VADDv2i64
    1563             :     187726249U, // VADDv4i16
    1564             :     187595177U, // VADDv4i32
    1565             :     187726249U, // VADDv8i16
    1566             :     187857321U, // VADDv8i8
    1567             :     555456U,    // VANDd
    1568             :     555456U,    // VANDq
    1569             :     555355U,    // VBICd
    1570             :     405698907U, // VBICiv2i32
    1571             :     405829979U, // VBICiv4i16
    1572             :     405698907U, // VBICiv4i32
    1573             :     405829979U, // VBICiv8i16
    1574             :     555355U,    // VBICq
    1575             :     547356U,    // VBIFd
    1576             :     547356U,    // VBIFq
    1577             :     548217U,    // VBITd
    1578             :     548217U,    // VBITq
    1579             :     547698U,    // VBSLd
    1580             :     547698U,    // VBSLq
    1581             :     185245957U, // VCADDv2f32
    1582             :     185246658U, // VCADDv4f16
    1583             :     185245957U, // VCADDv4f32
    1584             :     185246658U, // VCADDv8f16
    1585             :     253131856U, // VCEQfd
    1586             :     253131856U, // VCEQfq
    1587             :     253000784U, // VCEQhd
    1588             :     253000784U, // VCEQhq
    1589             :     187858000U, // VCEQv16i8
    1590             :     187595856U, // VCEQv2i32
    1591             :     187726928U, // VCEQv4i16
    1592             :     187595856U, // VCEQv4i32
    1593             :     187726928U, // VCEQv8i16
    1594             :     187858000U, // VCEQv8i8
    1595             :     1261583440U,        // VCEQzv16i8
    1596             :     253115472U, // VCEQzv2f32
    1597             :     1261321296U,        // VCEQzv2i32
    1598             :     252984400U, // VCEQzv4f16
    1599             :     253115472U, // VCEQzv4f32
    1600             :     1261452368U,        // VCEQzv4i16
    1601             :     1261321296U,        // VCEQzv4i32
    1602             :     252984400U, // VCEQzv8f16
    1603             :     1261452368U,        // VCEQzv8i16
    1604             :     1261583440U,        // VCEQzv8i8
    1605             :     253131261U, // VCGEfd
    1606             :     253131261U, // VCGEfq
    1607             :     253000189U, // VCGEhd
    1608             :     253000189U, // VCGEhq
    1609             :     186939901U, // VCGEsv16i8
    1610             :     186677757U, // VCGEsv2i32
    1611             :     186808829U, // VCGEsv4i16
    1612             :     186677757U, // VCGEsv4i32
    1613             :     186808829U, // VCGEsv8i16
    1614             :     186939901U, // VCGEsv8i8
    1615             :     187333117U, // VCGEuv16i8
    1616             :     187070973U, // VCGEuv2i32
    1617             :     187202045U, // VCGEuv4i16
    1618             :     187070973U, // VCGEuv4i32
    1619             :     187202045U, // VCGEuv8i16
    1620             :     187333117U, // VCGEuv8i8
    1621             :     1260665341U,        // VCGEzv16i8
    1622             :     253114877U, // VCGEzv2f32
    1623             :     1260403197U,        // VCGEzv2i32
    1624             :     252983805U, // VCGEzv4f16
    1625             :     253114877U, // VCGEzv4f32
    1626             :     1260534269U,        // VCGEzv4i16
    1627             :     1260403197U,        // VCGEzv4i32
    1628             :     252983805U, // VCGEzv8f16
    1629             :     1260534269U,        // VCGEzv8i16
    1630             :     1260665341U,        // VCGEzv8i8
    1631             :     253132124U, // VCGTfd
    1632             :     253132124U, // VCGTfq
    1633             :     253001052U, // VCGThd
    1634             :     253001052U, // VCGThq
    1635             :     186940764U, // VCGTsv16i8
    1636             :     186678620U, // VCGTsv2i32
    1637             :     186809692U, // VCGTsv4i16
    1638             :     186678620U, // VCGTsv4i32
    1639             :     186809692U, // VCGTsv8i16
    1640             :     186940764U, // VCGTsv8i8
    1641             :     187333980U, // VCGTuv16i8
    1642             :     187071836U, // VCGTuv2i32
    1643             :     187202908U, // VCGTuv4i16
    1644             :     187071836U, // VCGTuv4i32
    1645             :     187202908U, // VCGTuv8i16
    1646             :     187333980U, // VCGTuv8i8
    1647             :     1260666204U,        // VCGTzv16i8
    1648             :     253115740U, // VCGTzv2f32
    1649             :     1260404060U,        // VCGTzv2i32
    1650             :     252984668U, // VCGTzv4f16
    1651             :     253115740U, // VCGTzv4f32
    1652             :     1260535132U,        // VCGTzv4i16
    1653             :     1260404060U,        // VCGTzv4i32
    1654             :     252984668U, // VCGTzv8f16
    1655             :     1260535132U,        // VCGTzv8i16
    1656             :     1260666204U,        // VCGTzv8i8
    1657             :     1260665346U,        // VCLEzv16i8
    1658             :     253114882U, // VCLEzv2f32
    1659             :     1260403202U,        // VCLEzv2i32
    1660             :     252983810U, // VCLEzv4f16
    1661             :     253114882U, // VCLEzv4f32
    1662             :     1260534274U,        // VCLEzv4i16
    1663             :     1260403202U,        // VCLEzv4i32
    1664             :     252983810U, // VCLEzv8f16
    1665             :     1260534274U,        // VCLEzv8i16
    1666             :     1260665346U,        // VCLEzv8i8
    1667             :     1260666052U,        // VCLSv16i8
    1668             :     1260403908U,        // VCLSv2i32
    1669             :     1260534980U,        // VCLSv4i16
    1670             :     1260403908U,        // VCLSv4i32
    1671             :     1260534980U,        // VCLSv8i16
    1672             :     1260666052U,        // VCLSv8i8
    1673             :     1260666238U,        // VCLTzv16i8
    1674             :     253115774U, // VCLTzv2f32
    1675             :     1260404094U,        // VCLTzv2i32
    1676             :     252984702U, // VCLTzv4f16
    1677             :     253115774U, // VCLTzv4f32
    1678             :     1260535166U,        // VCLTzv4i16
    1679             :     1260404094U,        // VCLTzv4i32
    1680             :     252984702U, // VCLTzv8f16
    1681             :     1260535166U,        // VCLTzv8i16
    1682             :     1260666238U,        // VCLTzv8i8
    1683             :     1261584101U,        // VCLZv16i8
    1684             :     1261321957U,        // VCLZv2i32
    1685             :     1261453029U,        // VCLZv4i16
    1686             :     1261321957U,        // VCLZv4i32
    1687             :     1261453029U,        // VCLZv8i16
    1688             :     1261584101U,        // VCLZv8i8
    1689             :     168468718U, // VCMLAv2f32
    1690             :     168468718U, // VCMLAv2f32_indexed
    1691             :     168469419U, // VCMLAv4f16
    1692             :     168469419U, // VCMLAv4f16_indexed
    1693             :     168468718U, // VCMLAv4f32
    1694             :     168468718U, // VCMLAv4f32_indexed
    1695             :     168469419U, // VCMLAv8f16
    1696             :     168469419U, // VCMLAv8f16_indexed
    1697             :     252853304U, // VCMPD
    1698             :     252852750U, // VCMPED
    1699             :     252983822U, // VCMPEH
    1700             :     253114894U, // VCMPES
    1701             :     420657678U, // VCMPEZD
    1702             :     420788750U, // VCMPEZH
    1703             :     420919822U, // VCMPEZS
    1704             :     252984376U, // VCMPH
    1705             :     253115448U, // VCMPS
    1706             :     420658232U, // VCMPZD
    1707             :     420789304U, // VCMPZH
    1708             :     420920376U, // VCMPZS
    1709             :     408963U,    // VCNTd
    1710             :     408963U,    // VCNTq
    1711             :     1258987638U,        // VCVTANSDf
    1712             :     1258988339U,        // VCVTANSDh
    1713             :     1258987638U,        // VCVTANSQf
    1714             :     1258988339U,        // VCVTANSQh
    1715             :     1258987698U,        // VCVTANUDf
    1716             :     1258988399U,        // VCVTANUDh
    1717             :     1258987698U,        // VCVTANUQf
    1718             :     1258988399U,        // VCVTANUQh
    1719             :     1258987968U,        // VCVTASD
    1720             :     1258988219U,        // VCVTASH
    1721             :     1258987638U,        // VCVTASS
    1722             :     1258988028U,        // VCVTAUD
    1723             :     1258988279U,        // VCVTAUH
    1724             :     1258987698U,        // VCVTAUS
    1725             :     3422458U,   // VCVTBDH
    1726             :     3553530U,   // VCVTBHD
    1727             :     3684602U,   // VCVTBHS
    1728             :     3815674U,   // VCVTBSH
    1729             :     3947976U,   // VCVTDS
    1730             :     1258987653U,        // VCVTMNSDf
    1731             :     1258988354U,        // VCVTMNSDh
    1732             :     1258987653U,        // VCVTMNSQf
    1733             :     1258988354U,        // VCVTMNSQh
    1734             :     1258987713U,        // VCVTMNUDf
    1735             :     1258988414U,        // VCVTMNUDh
    1736             :     1258987713U,        // VCVTMNUQf
    1737             :     1258988414U,        // VCVTMNUQh
    1738             :     1258987983U,        // VCVTMSD
    1739             :     1258988234U,        // VCVTMSH
    1740             :     1258987653U,        // VCVTMSS
    1741             :     1258988043U,        // VCVTMUD
    1742             :     1258988294U,        // VCVTMUH
    1743             :     1258987713U,        // VCVTMUS
    1744             :     1258987668U,        // VCVTNNSDf
    1745             :     1258988369U,        // VCVTNNSDh
    1746             :     1258987668U,        // VCVTNNSQf
    1747             :     1258988369U,        // VCVTNNSQh
    1748             :     1258987728U,        // VCVTNNUDf
    1749             :     1258988429U,        // VCVTNNUDh
    1750             :     1258987728U,        // VCVTNNUQf
    1751             :     1258988429U,        // VCVTNNUQh
    1752             :     1258987998U,        // VCVTNSD
    1753             :     1258988249U,        // VCVTNSH
    1754             :     1258987668U,        // VCVTNSS
    1755             :     1258988058U,        // VCVTNUD
    1756             :     1258988309U,        // VCVTNUH
    1757             :     1258987728U,        // VCVTNUS
    1758             :     1258987683U,        // VCVTPNSDf
    1759             :     1258988384U,        // VCVTPNSDh
    1760             :     1258987683U,        // VCVTPNSQf
    1761             :     1258988384U,        // VCVTPNSQh
    1762             :     1258987743U,        // VCVTPNUDf
    1763             :     1258988444U,        // VCVTPNUDh
    1764             :     1258987743U,        // VCVTPNUQf
    1765             :     1258988444U,        // VCVTPNUQh
    1766             :     1258988013U,        // VCVTPSD
    1767             :     1258988264U,        // VCVTPSH
    1768             :     1258987683U,        // VCVTPSS
    1769             :     1258988073U,        // VCVTPUD
    1770             :     1258988324U,        // VCVTPUH
    1771             :     1258987743U,        // VCVTPUS
    1772             :     4079048U,   // VCVTSD
    1773             :     3423676U,   // VCVTTDH
    1774             :     3554748U,   // VCVTTHD
    1775             :     3685820U,   // VCVTTHS
    1776             :     3816892U,   // VCVTTSH
    1777             :     3816904U,   // VCVTf2h
    1778             :     440417736U, // VCVTf2sd
    1779             :     440417736U, // VCVTf2sq
    1780             :     440548808U, // VCVTf2ud
    1781             :     440548808U, // VCVTf2uq
    1782             :     2403368392U,        // VCVTf2xsd
    1783             :     2403368392U,        // VCVTf2xsq
    1784             :     2403499464U,        // VCVTf2xud
    1785             :     2403499464U,        // VCVTf2xuq
    1786             :     3685832U,   // VCVTh2f
    1787             :     440679880U, // VCVTh2sd
    1788             :     440679880U, // VCVTh2sq
    1789             :     440810952U, // VCVTh2ud
    1790             :     440810952U, // VCVTh2uq
    1791             :     2403630536U,        // VCVTh2xsd
    1792             :     2403630536U,        // VCVTh2xsq
    1793             :     2403761608U,        // VCVTh2xud
    1794             :     2403761608U,        // VCVTh2xuq
    1795             :     440942024U, // VCVTs2fd
    1796             :     440942024U, // VCVTs2fq
    1797             :     441073096U, // VCVTs2hd
    1798             :     441073096U, // VCVTs2hq
    1799             :     441204168U, // VCVTu2fd
    1800             :     441204168U, // VCVTu2fq
    1801             :     441335240U, // VCVTu2hd
    1802             :     441335240U, // VCVTu2hq
    1803             :     2403892680U,        // VCVTxs2fd
    1804             :     2403892680U,        // VCVTxs2fq
    1805             :     2404023752U,        // VCVTxs2hd
    1806             :     2404023752U,        // VCVTxs2hq
    1807             :     2404154824U,        // VCVTxu2fd
    1808             :     2404154824U,        // VCVTxu2fq
    1809             :     2404285896U,        // VCVTxu2hd
    1810             :     2404285896U,        // VCVTxu2hq
    1811             :     252870138U, // VDIVD
    1812             :     253001210U, // VDIVH
    1813             :     253132282U, // VDIVS
    1814             :     146497U,    // VDUP16d
    1815             :     146497U,    // VDUP16q
    1816             :     277569U,    // VDUP32d
    1817             :     277569U,    // VDUP32q
    1818             :     408641U,    // VDUP8d
    1819             :     408641U,    // VDUP8q
    1820             :     162881U,    // VDUPLN16d
    1821             :     162881U,    // VDUPLN16q
    1822             :     293953U,    // VDUPLN32d
    1823             :     293953U,    // VDUPLN32q
    1824             :     425025U,    // VDUPLN8d
    1825             :     425025U,    // VDUPLN8q
    1826             :     556159U,    // VEORd
    1827             :     556159U,    // VEORq
    1828             :     155104U,    // VEXTd16
    1829             :     286176U,    // VEXTd32
    1830             :     417248U,    // VEXTd8
    1831             :     155104U,    // VEXTq16
    1832             :     286176U,    // VEXTq32
    1833             :     5266912U,   // VEXTq64
    1834             :     417248U,    // VEXTq8
    1835             :     2400344137U,        // VFMAD
    1836             :     2400475209U,        // VFMAH
    1837             :     185246681U, // VFMALD
    1838             :     185246681U, // VFMALDI
    1839             :     185246681U, // VFMALQ
    1840             :     185246681U, // VFMALQI
    1841             :     2400606281U,        // VFMAS
    1842             :     2400606281U,        // VFMAfd
    1843             :     2400606281U,        // VFMAfq
    1844             :     2400475209U,        // VFMAhd
    1845             :     2400475209U,        // VFMAhq
    1846             :     2400345306U,        // VFMSD
    1847             :     2400476378U,        // VFMSH
    1848             :     185246692U, // VFMSLD
    1849             :     185246692U, // VFMSLDI
    1850             :     185246692U, // VFMSLQ
    1851             :     185246692U, // VFMSLQI
    1852             :     2400607450U,        // VFMSS
    1853             :     2400607450U,        // VFMSfd
    1854             :     2400607450U,        // VFMSfq
    1855             :     2400476378U,        // VFMShd
    1856             :     2400476378U,        // VFMShq
    1857             :     2400344142U,        // VFNMAD
    1858             :     2400475214U,        // VFNMAH
    1859             :     2400606286U,        // VFNMAS
    1860             :     2400345311U,        // VFNMSD
    1861             :     2400476383U,        // VFNMSH
    1862             :     2400607455U,        // VFNMSS
    1863             :     294399U,    // VGETLNi32
    1864             :     3408035327U,        // VGETLNs16
    1865             :     3408166399U,        // VGETLNs8
    1866             :     3408428543U,        // VGETLNu16
    1867             :     3408559615U,        // VGETLNu8
    1868             :     186939799U, // VHADDsv16i8
    1869             :     186677655U, // VHADDsv2i32
    1870             :     186808727U, // VHADDsv4i16
    1871             :     186677655U, // VHADDsv4i32
    1872             :     186808727U, // VHADDsv8i16
    1873             :     186939799U, // VHADDsv8i8
    1874             :     187333015U, // VHADDuv16i8
    1875             :     187070871U, // VHADDuv2i32
    1876             :     187201943U, // VHADDuv4i16
    1877             :     187070871U, // VHADDuv4i32
    1878             :     187201943U, // VHADDuv8i16
    1879             :     187333015U, // VHADDuv8i8
    1880             :     186939664U, // VHSUBsv16i8
    1881             :     186677520U, // VHSUBsv2i32
    1882             :     186808592U, // VHSUBsv4i16
    1883             :     186677520U, // VHSUBsv4i32
    1884             :     186808592U, // VHSUBsv8i16
    1885             :     186939664U, // VHSUBsv8i8
    1886             :     187332880U, // VHSUBuv16i8
    1887             :     187070736U, // VHSUBuv2i32
    1888             :     187201808U, // VHSUBuv4i16
    1889             :     187070736U, // VHSUBuv4i32
    1890             :     187201808U, // VHSUBuv8i16
    1891             :     187332880U, // VHSUBuv8i8
    1892             :     1258988599U,        // VINSH
    1893             :     441597378U, // VJCVT
    1894             :     3674371716U,        // VLD1DUPd16
    1895             :     453138052U, // VLD1DUPd16wb_fixed
    1896             :     453142148U, // VLD1DUPd16wb_register
    1897             :     3674502788U,        // VLD1DUPd32
    1898             :     453269124U, // VLD1DUPd32wb_fixed
    1899             :     453273220U, // VLD1DUPd32wb_register
    1900             :     3674633860U,        // VLD1DUPd8
    1901             :     453400196U, // VLD1DUPd8wb_fixed
    1902             :     453404292U, // VLD1DUPd8wb_register
    1903             :     3691148932U,        // VLD1DUPq16
    1904             :     469915268U, // VLD1DUPq16wb_fixed
    1905             :     469919364U, // VLD1DUPq16wb_register
    1906             :     3691280004U,        // VLD1DUPq32
    1907             :     470046340U, // VLD1DUPq32wb_fixed
    1908             :     470050436U, // VLD1DUPq32wb_register
    1909             :     3691411076U,        // VLD1DUPq8
    1910             :     470177412U, // VLD1DUPq8wb_fixed
    1911             :     470181508U, // VLD1DUPq8wb_register
    1912             :     1079273092U,        // VLD1LNd16
    1913             :     1079350916U,        // VLD1LNd16_UPD
    1914             :     1079404164U,        // VLD1LNd32
    1915             :     1079481988U,        // VLD1LNd32_UPD
    1916             :     1079535236U,        // VLD1LNd8
    1917             :     1079613060U,        // VLD1LNd8_UPD
    1918             :     0U, // VLD1LNq16Pseudo
    1919             :     0U, // VLD1LNq16Pseudo_UPD
    1920             :     0U, // VLD1LNq32Pseudo
    1921             :     0U, // VLD1LNq32Pseudo_UPD
    1922             :     0U, // VLD1LNq8Pseudo
    1923             :     0U, // VLD1LNq8Pseudo_UPD
    1924             :     3707926148U,        // VLD1d16
    1925             :     3355604612U,        // VLD1d16Q
    1926             :     0U, // VLD1d16QPseudo
    1927             :     134370948U, // VLD1d16Qwb_fixed
    1928             :     134375044U, // VLD1d16Qwb_register
    1929             :     3288495748U,        // VLD1d16T
    1930             :     0U, // VLD1d16TPseudo
    1931             :     67262084U,  // VLD1d16Twb_fixed
    1932             :     67266180U,  // VLD1d16Twb_register
    1933             :     486692484U, // VLD1d16wb_fixed
    1934             :     486696580U, // VLD1d16wb_register
    1935             :     3708057220U,        // VLD1d32
    1936             :     3355735684U,        // VLD1d32Q
    1937             :     0U, // VLD1d32QPseudo
    1938             :     134502020U, // VLD1d32Qwb_fixed
    1939             :     134506116U, // VLD1d32Qwb_register
    1940             :     3288626820U,        // VLD1d32T
    1941             :     0U, // VLD1d32TPseudo
    1942             :     67393156U,  // VLD1d32Twb_fixed
    1943             :     67397252U,  // VLD1d32Twb_register
    1944             :     486823556U, // VLD1d32wb_fixed
    1945             :     486827652U, // VLD1d32wb_register
    1946             :     3713037956U,        // VLD1d64
    1947             :     3360716420U,        // VLD1d64Q
    1948             :     0U, // VLD1d64QPseudo
    1949             :     0U, // VLD1d64QPseudoWB_fixed
    1950             :     0U, // VLD1d64QPseudoWB_register
    1951             :     139482756U, // VLD1d64Qwb_fixed
    1952             :     139486852U, // VLD1d64Qwb_register
    1953             :     3293607556U,        // VLD1d64T
    1954             :     0U, // VLD1d64TPseudo
    1955             :     0U, // VLD1d64TPseudoWB_fixed
    1956             :     0U, // VLD1d64TPseudoWB_register
    1957             :     72373892U,  // VLD1d64Twb_fixed
    1958             :     72377988U,  // VLD1d64Twb_register
    1959             :     491804292U, // VLD1d64wb_fixed
    1960             :     491808388U, // VLD1d64wb_register
    1961             :     3708188292U,        // VLD1d8
    1962             :     3355866756U,        // VLD1d8Q
    1963             :     0U, // VLD1d8QPseudo
    1964             :     134633092U, // VLD1d8Qwb_fixed
    1965             :     134637188U, // VLD1d8Qwb_register
    1966             :     3288757892U,        // VLD1d8T
    1967             :     0U, // VLD1d8TPseudo
    1968             :     67524228U,  // VLD1d8Twb_fixed
    1969             :     67528324U,  // VLD1d8Twb_register
    1970             :     486954628U, // VLD1d8wb_fixed
    1971             :     486958724U, // VLD1d8wb_register
    1972             :     3724703364U,        // VLD1q16
    1973             :     0U, // VLD1q16HighQPseudo
    1974             :     0U, // VLD1q16HighTPseudo
    1975             :     0U, // VLD1q16LowQPseudo_UPD
    1976             :     0U, // VLD1q16LowTPseudo_UPD
    1977             :     503469700U, // VLD1q16wb_fixed
    1978             :     503473796U, // VLD1q16wb_register
    1979             :     3724834436U,        // VLD1q32
    1980             :     0U, // VLD1q32HighQPseudo
    1981             :     0U, // VLD1q32HighTPseudo
    1982             :     0U, // VLD1q32LowQPseudo_UPD
    1983             :     0U, // VLD1q32LowTPseudo_UPD
    1984             :     503600772U, // VLD1q32wb_fixed
    1985             :     503604868U, // VLD1q32wb_register
    1986             :     3729815172U,        // VLD1q64
    1987             :     0U, // VLD1q64HighQPseudo
    1988             :     0U, // VLD1q64HighTPseudo
    1989             :     0U, // VLD1q64LowQPseudo_UPD
    1990             :     0U, // VLD1q64LowTPseudo_UPD
    1991             :     508581508U, // VLD1q64wb_fixed
    1992             :     508585604U, // VLD1q64wb_register
    1993             :     3724965508U,        // VLD1q8
    1994             :     0U, // VLD1q8HighQPseudo
    1995             :     0U, // VLD1q8HighTPseudo
    1996             :     0U, // VLD1q8LowQPseudo_UPD
    1997             :     0U, // VLD1q8LowTPseudo_UPD
    1998             :     503731844U, // VLD1q8wb_fixed
    1999             :     503735940U, // VLD1q8wb_register
    2000             :     3691148976U,        // VLD2DUPd16
    2001             :     469915312U, // VLD2DUPd16wb_fixed
    2002             :     469919408U, // VLD2DUPd16wb_register
    2003             :     3741480624U,        // VLD2DUPd16x2
    2004             :     520246960U, // VLD2DUPd16x2wb_fixed
    2005             :     520251056U, // VLD2DUPd16x2wb_register
    2006             :     3691280048U,        // VLD2DUPd32
    2007             :     470046384U, // VLD2DUPd32wb_fixed
    2008             :     470050480U, // VLD2DUPd32wb_register
    2009             :     3741611696U,        // VLD2DUPd32x2
    2010             :     520378032U, // VLD2DUPd32x2wb_fixed
    2011             :     520382128U, // VLD2DUPd32x2wb_register
    2012             :     3691411120U,        // VLD2DUPd8
    2013             :     470177456U, // VLD2DUPd8wb_fixed
    2014             :     470181552U, // VLD2DUPd8wb_register
    2015             :     3741742768U,        // VLD2DUPd8x2
    2016             :     520509104U, // VLD2DUPd8x2wb_fixed
    2017             :     520513200U, // VLD2DUPd8x2wb_register
    2018             :     0U, // VLD2DUPq16EvenPseudo
    2019             :     0U, // VLD2DUPq16OddPseudo
    2020             :     0U, // VLD2DUPq32EvenPseudo
    2021             :     0U, // VLD2DUPq32OddPseudo
    2022             :     0U, // VLD2DUPq8EvenPseudo
    2023             :     0U, // VLD2DUPq8OddPseudo
    2024             :     1079350960U,        // VLD2LNd16
    2025             :     0U, // VLD2LNd16Pseudo
    2026             :     0U, // VLD2LNd16Pseudo_UPD
    2027             :     1079355056U,        // VLD2LNd16_UPD
    2028             :     1079482032U,        // VLD2LNd32
    2029             :     0U, // VLD2LNd32Pseudo
    2030             :     0U, // VLD2LNd32Pseudo_UPD
    2031             :     1079486128U,        // VLD2LNd32_UPD
    2032             :     1079613104U,        // VLD2LNd8
    2033             :     0U, // VLD2LNd8Pseudo
    2034             :     0U, // VLD2LNd8Pseudo_UPD
    2035             :     1079617200U,        // VLD2LNd8_UPD
    2036             :     1079350960U,        // VLD2LNq16
    2037             :     0U, // VLD2LNq16Pseudo
    2038             :     0U, // VLD2LNq16Pseudo_UPD
    2039             :     1079355056U,        // VLD2LNq16_UPD
    2040             :     1079482032U,        // VLD2LNq32
    2041             :     0U, // VLD2LNq32Pseudo
    2042             :     0U, // VLD2LNq32Pseudo_UPD
    2043             :     1079486128U,        // VLD2LNq32_UPD
    2044             :     3758257840U,        // VLD2b16
    2045             :     537024176U, // VLD2b16wb_fixed
    2046             :     537028272U, // VLD2b16wb_register
    2047             :     3758388912U,        // VLD2b32
    2048             :     537155248U, // VLD2b32wb_fixed
    2049             :     537159344U, // VLD2b32wb_register
    2050             :     3758519984U,        // VLD2b8
    2051             :     537286320U, // VLD2b8wb_fixed
    2052             :     537290416U, // VLD2b8wb_register
    2053             :     3724703408U,        // VLD2d16
    2054             :     503469744U, // VLD2d16wb_fixed
    2055             :     503473840U, // VLD2d16wb_register
    2056             :     3724834480U,        // VLD2d32
    2057             :     503600816U, // VLD2d32wb_fixed
    2058             :     503604912U, // VLD2d32wb_register
    2059             :     3724965552U,        // VLD2d8
    2060             :     503731888U, // VLD2d8wb_fixed
    2061             :     503735984U, // VLD2d8wb_register
    2062             :     3355604656U,        // VLD2q16
    2063             :     0U, // VLD2q16Pseudo
    2064             :     0U, // VLD2q16PseudoWB_fixed
    2065             :     0U, // VLD2q16PseudoWB_register
    2066             :     134370992U, // VLD2q16wb_fixed
    2067             :     134375088U, // VLD2q16wb_register
    2068             :     3355735728U,        // VLD2q32
    2069             :     0U, // VLD2q32Pseudo
    2070             :     0U, // VLD2q32PseudoWB_fixed
    2071             :     0U, // VLD2q32PseudoWB_register
    2072             :     134502064U, // VLD2q32wb_fixed
    2073             :     134506160U, // VLD2q32wb_register
    2074             :     3355866800U,        // VLD2q8
    2075             :     0U, // VLD2q8Pseudo
    2076             :     0U, // VLD2q8PseudoWB_fixed
    2077             :     0U, // VLD2q8PseudoWB_register
    2078             :     134633136U, // VLD2q8wb_fixed
    2079             :     134637232U, // VLD2q8wb_register
    2080             :     2153014992U,        // VLD3DUPd16
    2081             :     0U, // VLD3DUPd16Pseudo
    2082             :     0U, // VLD3DUPd16Pseudo_UPD
    2083             :     2153092816U,        // VLD3DUPd16_UPD
    2084             :     2153146064U,        // VLD3DUPd32
    2085             :     0U, // VLD3DUPd32Pseudo
    2086             :     0U, // VLD3DUPd32Pseudo_UPD
    2087             :     2153223888U,        // VLD3DUPd32_UPD
    2088             :     2153277136U,        // VLD3DUPd8
    2089             :     0U, // VLD3DUPd8Pseudo
    2090             :     0U, // VLD3DUPd8Pseudo_UPD
    2091             :     2153354960U,        // VLD3DUPd8_UPD
    2092             :     2153014992U,        // VLD3DUPq16
    2093             :     0U, // VLD3DUPq16EvenPseudo
    2094             :     0U, // VLD3DUPq16OddPseudo
    2095             :     2153092816U,        // VLD3DUPq16_UPD
    2096             :     2153146064U,        // VLD3DUPq32
    2097             :     0U, // VLD3DUPq32EvenPseudo
    2098             :     0U, // VLD3DUPq32OddPseudo
    2099             :     2153223888U,        // VLD3DUPq32_UPD
    2100             :     2153277136U,        // VLD3DUPq8
    2101             :     0U, // VLD3DUPq8EvenPseudo
    2102             :     0U, // VLD3DUPq8OddPseudo
    2103             :     2153354960U,        // VLD3DUPq8_UPD
    2104             :     1079355088U,        // VLD3LNd16
    2105             :     0U, // VLD3LNd16Pseudo
    2106             :     0U, // VLD3LNd16Pseudo_UPD
    2107             :     1079359184U,        // VLD3LNd16_UPD
    2108             :     1079486160U,        // VLD3LNd32
    2109             :     0U, // VLD3LNd32Pseudo
    2110             :     0U, // VLD3LNd32Pseudo_UPD
    2111             :     1079490256U,        // VLD3LNd32_UPD
    2112             :     1079617232U,        // VLD3LNd8
    2113             :     0U, // VLD3LNd8Pseudo
    2114             :     0U, // VLD3LNd8Pseudo_UPD
    2115             :     1079621328U,        // VLD3LNd8_UPD
    2116             :     1079355088U,        // VLD3LNq16
    2117             :     0U, // VLD3LNq16Pseudo
    2118             :     0U, // VLD3LNq16Pseudo_UPD
    2119             :     1079359184U,        // VLD3LNq16_UPD
    2120             :     1079486160U,        // VLD3LNq32
    2121             :     0U, // VLD3LNq32Pseudo
    2122             :     0U, // VLD3LNq32Pseudo_UPD
    2123             :     1079490256U,        // VLD3LNq32_UPD
    2124             :     5531344U,   // VLD3d16
    2125             :     0U, // VLD3d16Pseudo
    2126             :     0U, // VLD3d16Pseudo_UPD
    2127             :     5609168U,   // VLD3d16_UPD
    2128             :     5662416U,   // VLD3d32
    2129             :     0U, // VLD3d32Pseudo
    2130             :     0U, // VLD3d32Pseudo_UPD
    2131             :     5740240U,   // VLD3d32_UPD
    2132             :     5793488U,   // VLD3d8
    2133             :     0U, // VLD3d8Pseudo
    2134             :     0U, // VLD3d8Pseudo_UPD
    2135             :     5871312U,   // VLD3d8_UPD
    2136             :     5531344U,   // VLD3q16
    2137             :     0U, // VLD3q16Pseudo_UPD
    2138             :     5609168U,   // VLD3q16_UPD
    2139             :     0U, // VLD3q16oddPseudo
    2140             :     0U, // VLD3q16oddPseudo_UPD
    2141             :     5662416U,   // VLD3q32
    2142             :     0U, // VLD3q32Pseudo_UPD
    2143             :     5740240U,   // VLD3q32_UPD
    2144             :     0U, // VLD3q32oddPseudo
    2145             :     0U, // VLD3q32oddPseudo_UPD
    2146             :     5793488U,   // VLD3q8
    2147             :     0U, // VLD3q8Pseudo_UPD
    2148             :     5871312U,   // VLD3q8_UPD
    2149             :     0U, // VLD3q8oddPseudo
    2150             :     0U, // VLD3q8oddPseudo_UPD
    2151             :     2153043687U,        // VLD4DUPd16
    2152             :     0U, // VLD4DUPd16Pseudo
    2153             :     0U, // VLD4DUPd16Pseudo_UPD
    2154             :     2153105127U,        // VLD4DUPd16_UPD
    2155             :     2153174759U,        // VLD4DUPd32
    2156             :     0U, // VLD4DUPd32Pseudo
    2157             :     0U, // VLD4DUPd32Pseudo_UPD
    2158             :     2153236199U,        // VLD4DUPd32_UPD
    2159             :     2153305831U,        // VLD4DUPd8
    2160             :     0U, // VLD4DUPd8Pseudo
    2161             :     0U, // VLD4DUPd8Pseudo_UPD
    2162             :     2153367271U,        // VLD4DUPd8_UPD
    2163             :     2153043687U,        // VLD4DUPq16
    2164             :     0U, // VLD4DUPq16EvenPseudo
    2165             :     0U, // VLD4DUPq16OddPseudo
    2166             :     2153105127U,        // VLD4DUPq16_UPD
    2167             :     2153174759U,        // VLD4DUPq32
    2168             :     0U, // VLD4DUPq32EvenPseudo
    2169             :     0U, // VLD4DUPq32OddPseudo
    2170             :     2153236199U,        // VLD4DUPq32_UPD
    2171             :     2153305831U,        // VLD4DUPq8
    2172             :     0U, // VLD4DUPq8EvenPseudo
    2173             :     0U, // VLD4DUPq8OddPseudo
    2174             :     2153367271U,        // VLD4DUPq8_UPD
    2175             :     1079359207U,        // VLD4LNd16
    2176             :     0U, // VLD4LNd16Pseudo
    2177             :     0U, // VLD4LNd16Pseudo_UPD
    2178             :     1079367399U,        // VLD4LNd16_UPD
    2179             :     1079490279U,        // VLD4LNd32
    2180             :     0U, // VLD4LNd32Pseudo
    2181             :     0U, // VLD4LNd32Pseudo_UPD
    2182             :     1079498471U,        // VLD4LNd32_UPD
    2183             :     1079621351U,        // VLD4LNd8
    2184             :     0U, // VLD4LNd8Pseudo
    2185             :     0U, // VLD4LNd8Pseudo_UPD
    2186             :     1079629543U,        // VLD4LNd8_UPD
    2187             :     1079359207U,        // VLD4LNq16
    2188             :     0U, // VLD4LNq16Pseudo
    2189             :     0U, // VLD4LNq16Pseudo_UPD
    2190             :     1079367399U,        // VLD4LNq16_UPD
    2191             :     1079490279U,        // VLD4LNq32
    2192             :     0U, // VLD4LNq32Pseudo
    2193             :     0U, // VLD4LNq32Pseudo_UPD
    2194             :     1079498471U,        // VLD4LNq32_UPD
    2195             :     5560039U,   // VLD4d16
    2196             :     0U, // VLD4d16Pseudo
    2197             :     0U, // VLD4d16Pseudo_UPD
    2198             :     5621479U,   // VLD4d16_UPD
    2199             :     5691111U,   // VLD4d32
    2200             :     0U, // VLD4d32Pseudo
    2201             :     0U, // VLD4d32Pseudo_UPD
    2202             :     5752551U,   // VLD4d32_UPD
    2203             :     5822183U,   // VLD4d8
    2204             :     0U, // VLD4d8Pseudo
    2205             :     0U, // VLD4d8Pseudo_UPD
    2206             :     5883623U,   // VLD4d8_UPD
    2207             :     5560039U,   // VLD4q16
    2208             :     0U, // VLD4q16Pseudo_UPD
    2209             :     5621479U,   // VLD4q16_UPD
    2210             :     0U, // VLD4q16oddPseudo
    2211             :     0U, // VLD4q16oddPseudo_UPD
    2212             :     5691111U,   // VLD4q32
    2213             :     0U, // VLD4q32Pseudo_UPD
    2214             :     5752551U,   // VLD4q32_UPD
    2215             :     0U, // VLD4q32oddPseudo
    2216             :     0U, // VLD4q32oddPseudo_UPD
    2217             :     5822183U,   // VLD4q8
    2218             :     0U, // VLD4q8Pseudo_UPD
    2219             :     5883623U,   // VLD4q8_UPD
    2220             :     0U, // VLD4q8oddPseudo
    2221             :     0U, // VLD4q8oddPseudo_UPD
    2222             :     2332571796U,        // VLDMDDB_UPD
    2223             :     571428U,    // VLDMDIA
    2224             :     2332571684U,        // VLDMDIA_UPD
    2225             :     0U, // VLDMQIA
    2226             :     2332571796U,        // VLDMSDB_UPD
    2227             :     571428U,    // VLDMSIA
    2228             :     2332571684U,        // VLDMSIA_UPD
    2229             :     556136U,    // VLDRD
    2230             :     162920U,    // VLDRH
    2231             :     556136U,    // VLDRS
    2232             :     1074314144U,        // VLLDM
    2233             :     1074314150U,        // VLSTM
    2234             :     185246300U, // VMAXNMD
    2235             :     185246715U, // VMAXNMH
    2236             :     185245992U, // VMAXNMNDf
    2237             :     185246715U, // VMAXNMNDh
    2238             :     185245992U, // VMAXNMNQf
    2239             :     185246715U, // VMAXNMNQh
    2240             :     185245992U, // VMAXNMS
    2241             :     253132336U, // VMAXfd
    2242             :     253132336U, // VMAXfq
    2243             :     253001264U, // VMAXhd
    2244             :     253001264U, // VMAXhq
    2245             :     186940976U, // VMAXsv16i8
    2246             :     186678832U, // VMAXsv2i32
    2247             :     186809904U, // VMAXsv4i16
    2248             :     186678832U, // VMAXsv4i32
    2249             :     186809904U, // VMAXsv8i16
    2250             :     186940976U, // VMAXsv8i8
    2251             :     187334192U, // VMAXuv16i8
    2252             :     187072048U, // VMAXuv2i32
    2253             :     187203120U, // VMAXuv4i16
    2254             :     187072048U, // VMAXuv4i32
    2255             :     187203120U, // VMAXuv8i16
    2256             :     187334192U, // VMAXuv8i8
    2257             :     185246288U, // VMINNMD
    2258             :     185246703U, // VMINNMH
    2259             :     185245980U, // VMINNMNDf
    2260             :     185246703U, // VMINNMNDh
    2261             :     185245980U, // VMINNMNQf
    2262             :     185246703U, // VMINNMNQh
    2263             :     185245980U, // VMINNMS
    2264             :     253131728U, // VMINfd
    2265             :     253131728U, // VMINfq
    2266             :     253000656U, // VMINhd
    2267             :     253000656U, // VMINhq
    2268             :     186940368U, // VMINsv16i8
    2269             :     186678224U, // VMINsv2i32
    2270             :     186809296U, // VMINsv4i16
    2271             :     186678224U, // VMINsv4i32
    2272             :     186809296U, // VMINsv8i16
    2273             :     186940368U, // VMINsv8i8
    2274             :     187333584U, // VMINuv16i8
    2275             :     187071440U, // VMINuv2i32
    2276             :     187202512U, // VMINuv4i16
    2277             :     187071440U, // VMINuv4i32
    2278             :     187202512U, // VMINuv8i16
    2279             :     187333584U, // VMINuv8i8
    2280             :     2400344132U,        // VMLAD
    2281             :     2400475204U,        // VMLAH
    2282             :     169896698U, // VMLALslsv2i32
    2283             :     170027770U, // VMLALslsv4i16
    2284             :     170289914U, // VMLALsluv2i32
    2285             :     170420986U, // VMLALsluv4i16
    2286             :     169892602U, // VMLALsv2i64
    2287             :     170023674U, // VMLALsv4i32
    2288             :     170154746U, // VMLALsv8i16
    2289             :     170285818U, // VMLALuv2i64
    2290             :     170416890U, // VMLALuv4i32
    2291             :     170547962U, // VMLALuv8i16
    2292             :     2400606276U,        // VMLAS
    2293             :     2400606276U,        // VMLAfd
    2294             :     2400606276U,        // VMLAfq
    2295             :     2400475204U,        // VMLAhd
    2296             :     2400475204U,        // VMLAhq
    2297             :     2400610372U,        // VMLAslfd
    2298             :     2400610372U,        // VMLAslfq
    2299             :     2400479300U,        // VMLAslhd
    2300             :     2400479300U,        // VMLAslhq
    2301             :     170813508U, // VMLAslv2i32
    2302             :     170944580U, // VMLAslv4i16
    2303             :     170813508U, // VMLAslv4i32
    2304             :     170944580U, // VMLAslv8i16
    2305             :     171071556U, // VMLAv16i8
    2306             :     170809412U, // VMLAv2i32
    2307             :     170940484U, // VMLAv4i16
    2308             :     170809412U, // VMLAv4i32
    2309             :     170940484U, // VMLAv8i16
    2310             :     171071556U, // VMLAv8i8
    2311             :     2400345301U,        // VMLSD
    2312             :     2400476373U,        // VMLSH
    2313             :     169896831U, // VMLSLslsv2i32
    2314             :     170027903U, // VMLSLslsv4i16
    2315             :     170290047U, // VMLSLsluv2i32
    2316             :     170421119U, // VMLSLsluv4i16
    2317             :     169892735U, // VMLSLsv2i64
    2318             :     170023807U, // VMLSLsv4i32
    2319             :     170154879U, // VMLSLsv8i16
    2320             :     170285951U, // VMLSLuv2i64
    2321             :     170417023U, // VMLSLuv4i32
    2322             :     170548095U, // VMLSLuv8i16
    2323             :     2400607445U,        // VMLSS
    2324             :     2400607445U,        // VMLSfd
    2325             :     2400607445U,        // VMLSfq
    2326             :     2400476373U,        // VMLShd
    2327             :     2400476373U,        // VMLShq
    2328             :     2400611541U,        // VMLSslfd
    2329             :     2400611541U,        // VMLSslfq
    2330             :     2400480469U,        // VMLSslhd
    2331             :     2400480469U,        // VMLSslhq
    2332             :     170814677U, // VMLSslv2i32
    2333             :     170945749U, // VMLSslv4i16
    2334             :     170814677U, // VMLSslv4i32
    2335             :     170945749U, // VMLSslv8i16
    2336             :     171072725U, // VMLSv16i8
    2337             :     170810581U, // VMLSv2i32
    2338             :     170941653U, // VMLSv4i16
    2339             :     170810581U, // VMLSv4i32
    2340             :     170941653U, // VMLSv8i16
    2341             :     171072725U, // VMLSv8i8
    2342             :     252853759U, // VMOVD
    2343             :     556543U,    // VMOVDRR
    2344             :     1258988645U,        // VMOVH
    2345             :     252984831U, // VMOVHR
    2346             :     1260403610U,        // VMOVLsv2i64
    2347             :     1260534682U,        // VMOVLsv4i32
    2348             :     1260665754U,        // VMOVLsv8i16
    2349             :     1260796826U,        // VMOVLuv2i64
    2350             :     1260927898U,        // VMOVLuv4i32
    2351             :     1261058970U,        // VMOVLuv8i16
    2352             :     1261190180U,        // VMOVNv2i32
    2353             :     1261321252U,        // VMOVNv4i16
    2354             :     1261452324U,        // VMOVNv8i8
    2355             :     252984831U, // VMOVRH
    2356             :     556543U,    // VMOVRRD
    2357             :     548351U,    // VMOVRRS
    2358             :     540159U,    // VMOVRS
    2359             :     253115903U, // VMOVS
    2360             :     540159U,    // VMOVSR
    2361             :     548351U,    // VMOVSRR
    2362             :     405945855U, // VMOVv16i8
    2363             :     405552639U, // VMOVv1i64
    2364             :     1326857727U,        // VMOVv2f32
    2365             :     405683711U, // VMOVv2i32
    2366             :     405552639U, // VMOVv2i64
    2367             :     1326857727U,        // VMOVv4f32
    2368             :     405814783U, // VMOVv4i16
    2369             :     405683711U, // VMOVv4i32
    2370             :     405814783U, // VMOVv8i16
    2371             :     405945855U, // VMOVv8i8
    2372             :     3221798135U,        // VMRS
    2373             :     572663U,    // VMRS_FPEXC
    2374             :     1074314487U,        // VMRS_FPINST
    2375             :     2148056311U,        // VMRS_FPINST2
    2376             :     3221798135U,        // VMRS_FPSID
    2377             :     572663U,    // VMRS_MVFR0
    2378             :     1074314487U,        // VMRS_MVFR1
    2379             :     2148056311U,        // VMRS_MVFR2
    2380             :     5946525U,   // VMSR
    2381             :     6077597U,   // VMSR_FPEXC
    2382             :     6208669U,   // VMSR_FPINST
    2383             :     6339741U,   // VMSR_FPINST2
    2384             :     6470813U,   // VMSR_FPSID
    2385             :     252869525U, // VMULD
    2386             :     253000597U, // VMULH
    2387             :     185246384U, // VMULLp64
    2388             :     6585196U,   // VMULLp8
    2389             :     186669932U, // VMULLslsv2i32
    2390             :     186801004U, // VMULLslsv4i16
    2391             :     187063148U, // VMULLsluv2i32
    2392             :     187194220U, // VMULLsluv4i16
    2393             :     186678124U, // VMULLsv2i64
    2394             :     186809196U, // VMULLsv4i32
    2395             :     186940268U, // VMULLsv8i16
    2396             :     187071340U, // VMULLuv2i64
    2397             :     187202412U, // VMULLuv4i32
    2398             :     187333484U, // VMULLuv8i16
    2399             :     253131669U, // VMULS
    2400             :     253131669U, // VMULfd
    2401             :     253131669U, // VMULfq
    2402             :     253000597U, // VMULhd
    2403             :     253000597U, // VMULhq
    2404             :     6585237U,   // VMULpd
    2405             :     6585237U,   // VMULpq
    2406             :     253123477U, // VMULslfd
    2407             :     253123477U, // VMULslfq
    2408             :     252992405U, // VMULslhd
    2409             :     252992405U, // VMULslhq
    2410             :     187587477U, // VMULslv2i32
    2411             :     187718549U, // VMULslv4i16
    2412             :     187587477U, // VMULslv4i32
    2413             :     187718549U, // VMULslv8i16
    2414             :     187857813U, // VMULv16i8
    2415             :     187595669U, // VMULv2i32
    2416             :     187726741U, // VMULv4i16
    2417             :     187595669U, // VMULv4i32
    2418             :     187726741U, // VMULv8i16
    2419             :     187857813U, // VMULv8i8
    2420             :     539672U,    // VMVNd
    2421             :     539672U,    // VMVNq
    2422             :     405683224U, // VMVNv2i32
    2423             :     405814296U, // VMVNv4i16
    2424             :     405683224U, // VMVNv4i32
    2425             :     405814296U, // VMVNv8i16
    2426             :     252852779U, // VNEGD
    2427             :     252983851U, // VNEGH
    2428             :     253114923U, // VNEGS
    2429             :     253114923U, // VNEGf32q
    2430             :     253114923U, // VNEGfd
    2431             :     252983851U, // VNEGhd
    2432             :     252983851U, // VNEGhq
    2433             :     1260534315U,        // VNEGs16d
    2434             :     1260534315U,        // VNEGs16q
    2435             :     1260403243U,        // VNEGs32d
    2436             :     1260403243U,        // VNEGs32q
    2437             :     1260665387U,        // VNEGs8d
    2438             :     1260665387U,        // VNEGs8q
    2439             :     2400344126U,        // VNMLAD
    2440             :     2400475198U,        // VNMLAH
    2441             :     2400606270U,        // VNMLAS
    2442             :     2400345295U,        // VNMLSD
    2443             :     2400476367U,        // VNMLSH
    2444             :     2400607439U,        // VNMLSS
    2445             :     252869519U, // VNMULD
    2446             :     253000591U, // VNMULH
    2447             :     253131663U, // VNMULS
    2448             :     556021U,    // VORNd
    2449             :     556021U,    // VORNq
    2450             :     556173U,    // VORRd
    2451             :     405699725U, // VORRiv2i32
    2452             :     405830797U, // VORRiv4i16
    2453             :     405699725U, // VORRiv4i32
    2454             :     405830797U, // VORRiv8i16
    2455             :     556173U,    // VORRq
    2456             :     1243904735U,        // VPADALsv16i8
    2457             :     1243642591U,        // VPADALsv2i32
    2458             :     1243773663U,        // VPADALsv4i16
    2459             :     1243642591U,        // VPADALsv4i32
    2460             :     1243773663U,        // VPADALsv8i16
    2461             :     1243904735U,        // VPADALsv8i8
    2462             :     1244297951U,        // VPADALuv16i8
    2463             :     1244035807U,        // VPADALuv2i32
    2464             :     1244166879U,        // VPADALuv4i16
    2465             :     1244035807U,        // VPADALuv4i32
    2466             :     1244166879U,        // VPADALuv8i16
    2467             :     1244297951U,        // VPADALuv8i8
    2468             :     1260665627U,        // VPADDLsv16i8
    2469             :     1260403483U,        // VPADDLsv2i32
    2470             :     1260534555U,        // VPADDLsv4i16
    2471             :     1260403483U,        // VPADDLsv4i32
    2472             :     1260534555U,        // VPADDLsv8i16
    2473             :     1260665627U,        // VPADDLsv8i8
    2474             :     1261058843U,        // VPADDLuv16i8
    2475             :     1260796699U,        // VPADDLuv2i32
    2476             :     1260927771U,        // VPADDLuv4i16
    2477             :     1260796699U,        // VPADDLuv4i32
    2478             :     1260927771U,        // VPADDLuv8i16
    2479             :     1261058843U,        // VPADDLuv8i8
    2480             :     253131165U, // VPADDf
    2481             :     253000093U, // VPADDh
    2482             :     187726237U, // VPADDi16
    2483             :     187595165U, // VPADDi32
    2484             :     187857309U, // VPADDi8
    2485             :     253132330U, // VPMAXf
    2486             :     253001258U, // VPMAXh
    2487             :     186809898U, // VPMAXs16
    2488             :     186678826U, // VPMAXs32
    2489             :     186940970U, // VPMAXs8
    2490             :     187203114U, // VPMAXu16
    2491             :     187072042U, // VPMAXu32
    2492             :     187334186U, // VPMAXu8
    2493             :     253131722U, // VPMINf
    2494             :     253000650U, // VPMINh
    2495             :     186809290U, // VPMINs16
    2496             :     186678218U, // VPMINs32
    2497             :     186940362U, // VPMINs8
    2498             :     187202506U, // VPMINu16
    2499             :     187071434U, // VPMINu32
    2500             :     187333578U, // VPMINu8
    2501             :     1260666036U,        // VQABSv16i8
    2502             :     1260403892U,        // VQABSv2i32
    2503             :     1260534964U,        // VQABSv4i16
    2504             :     1260403892U,        // VQABSv4i32
    2505             :     1260534964U,        // VQABSv8i16
    2506             :     1260666036U,        // VQABSv8i8
    2507             :     186939811U, // VQADDsv16i8
    2508             :     191265187U, // VQADDsv1i64
    2509             :     186677667U, // VQADDsv2i32
    2510             :     191265187U, // VQADDsv2i64
    2511             :     186808739U, // VQADDsv4i16
    2512             :     186677667U, // VQADDsv4i32
    2513             :     186808739U, // VQADDsv8i16
    2514             :     186939811U, // VQADDsv8i8
    2515             :     187333027U, // VQADDuv16i8
    2516             :     191396259U, // VQADDuv1i64
    2517             :     187070883U, // VQADDuv2i32
    2518             :     191396259U, // VQADDuv2i64
    2519             :     187201955U, // VQADDuv4i16
    2520             :     187070883U, // VQADDuv4i32
    2521             :     187201955U, // VQADDuv8i16
    2522             :     187333027U, // VQADDuv8i8
    2523             :     169896678U, // VQDMLALslv2i32
    2524             :     170027750U, // VQDMLALslv4i16
    2525             :     169892582U, // VQDMLALv2i64
    2526             :     170023654U, // VQDMLALv4i32
    2527             :     169896823U, // VQDMLSLslv2i32
    2528             :     170027895U, // VQDMLSLslv4i16
    2529             :     169892727U, // VQDMLSLv2i64
    2530             :     170023799U, // VQDMLSLv4i32
    2531             :     186669654U, // VQDMULHslv2i32
    2532             :     186800726U, // VQDMULHslv4i16
    2533             :     186669654U, // VQDMULHslv4i32
    2534             :     186800726U, // VQDMULHslv8i16
    2535             :     186677846U, // VQDMULHv2i32
    2536             :     186808918U, // VQDMULHv4i16
    2537             :     186677846U, // VQDMULHv4i32
    2538             :     186808918U, // VQDMULHv8i16
    2539             :     186669912U, // VQDMULLslv2i32
    2540             :     186800984U, // VQDMULLslv4i16
    2541             :     186678104U, // VQDMULLv2i64
    2542             :     186809176U, // VQDMULLv4i32
    2543             :     1264991248U,        // VQMOVNsuv2i32
    2544             :     1260403728U,        // VQMOVNsuv4i16
    2545             :     1260534800U,        // VQMOVNsuv8i8
    2546             :     1264991261U,        // VQMOVNsv2i32
    2547             :     1260403741U,        // VQMOVNsv4i16
    2548             :     1260534813U,        // VQMOVNsv8i8
    2549             :     1265122333U,        // VQMOVNuv2i32
    2550             :     1260796957U,        // VQMOVNuv4i16
    2551             :     1260928029U,        // VQMOVNuv8i8
    2552             :     1260665381U,        // VQNEGv16i8
    2553             :     1260403237U,        // VQNEGv2i32
    2554             :     1260534309U,        // VQNEGv4i16
    2555             :     1260403237U,        // VQNEGv4i32
    2556             :     1260534309U,        // VQNEGv8i16
    2557             :     1260665381U,        // VQNEGv8i8
    2558             :     169896504U, // VQRDMLAHslv2i32
    2559             :     170027576U, // VQRDMLAHslv4i16
    2560             :     169896504U, // VQRDMLAHslv4i32
    2561             :     170027576U, // VQRDMLAHslv8i16
    2562             :     169892408U, // VQRDMLAHv2i32
    2563             :     170023480U, // VQRDMLAHv4i16
    2564             :     169892408U, // VQRDMLAHv4i32
    2565             :     170023480U, // VQRDMLAHv8i16
    2566             :     169896561U, // VQRDMLSHslv2i32
    2567             :     170027633U, // VQRDMLSHslv4i16
    2568             :     169896561U, // VQRDMLSHslv4i32
    2569             :     170027633U, // VQRDMLSHslv8i16
    2570             :     169892465U, // VQRDMLSHv2i32
    2571             :     170023537U, // VQRDMLSHv4i16
    2572             :     169892465U, // VQRDMLSHv4i32
    2573             :     170023537U, // VQRDMLSHv8i16
    2574             :     186669662U, // VQRDMULHslv2i32
    2575             :     186800734U, // VQRDMULHslv4i16
    2576             :     186669662U, // VQRDMULHslv4i32
    2577             :     186800734U, // VQRDMULHslv8i16
    2578             :     186677854U, // VQRDMULHv2i32
    2579             :     186808926U, // VQRDMULHv4i16
    2580             :     186677854U, // VQRDMULHv4i32
    2581             :     186808926U, // VQRDMULHv8i16
    2582             :     186940210U, // VQRSHLsv16i8
    2583             :     191265586U, // VQRSHLsv1i64
    2584             :     186678066U, // VQRSHLsv2i32
    2585             :     191265586U, // VQRSHLsv2i64
    2586             :     186809138U, // VQRSHLsv4i16
    2587             :     186678066U, // VQRSHLsv4i32
    2588             :     186809138U, // VQRSHLsv8i16
    2589             :     186940210U, // VQRSHLsv8i8
    2590             :     187333426U, // VQRSHLuv16i8
    2591             :     191396658U, // VQRSHLuv1i64
    2592             :     187071282U, // VQRSHLuv2i32
    2593             :     191396658U, // VQRSHLuv2i64
    2594             :     187202354U, // VQRSHLuv4i16
    2595             :     187071282U, // VQRSHLuv4i32
    2596             :     187202354U, // VQRSHLuv8i16
    2597             :     187333426U, // VQRSHLuv8i8
    2598             :     191265760U, // VQRSHRNsv2i32
    2599             :     186678240U, // VQRSHRNsv4i16
    2600             :     186809312U, // VQRSHRNsv8i8
    2601             :     191396832U, // VQRSHRNuv2i32
    2602             :     187071456U, // VQRSHRNuv4i16
    2603             :     187202528U, // VQRSHRNuv8i8
    2604             :     191265799U, // VQRSHRUNv2i32
    2605             :     186678279U, // VQRSHRUNv4i16
    2606             :     186809351U, // VQRSHRUNv8i8
    2607             :     186940204U, // VQSHLsiv16i8
    2608             :     191265580U, // VQSHLsiv1i64
    2609             :     186678060U, // VQSHLsiv2i32
    2610             :     191265580U, // VQSHLsiv2i64
    2611             :     186809132U, // VQSHLsiv4i16
    2612             :     186678060U, // VQSHLsiv4i32
    2613             :     186809132U, // VQSHLsiv8i16
    2614             :     186940204U, // VQSHLsiv8i8
    2615             :     186940901U, // VQSHLsuv16i8
    2616             :     191266277U, // VQSHLsuv1i64
    2617             :     186678757U, // VQSHLsuv2i32
    2618             :     191266277U, // VQSHLsuv2i64
    2619             :     186809829U, // VQSHLsuv4i16
    2620             :     186678757U, // VQSHLsuv4i32
    2621             :     186809829U, // VQSHLsuv8i16
    2622             :     186940901U, // VQSHLsuv8i8
    2623             :     186940204U, // VQSHLsv16i8
    2624             :     191265580U, // VQSHLsv1i64
    2625             :     186678060U, // VQSHLsv2i32
    2626             :     191265580U, // VQSHLsv2i64
    2627             :     186809132U, // VQSHLsv4i16
    2628             :     186678060U, // VQSHLsv4i32
    2629             :     186809132U, // VQSHLsv8i16
    2630             :     186940204U, // VQSHLsv8i8
    2631             :     187333420U, // VQSHLuiv16i8
    2632             :     191396652U, // VQSHLuiv1i64
    2633             :     187071276U, // VQSHLuiv2i32
    2634             :     191396652U, // VQSHLuiv2i64
    2635             :     187202348U, // VQSHLuiv4i16
    2636             :     187071276U, // VQSHLuiv4i32
    2637             :     187202348U, // VQSHLuiv8i16
    2638             :     187333420U, // VQSHLuiv8i8
    2639             :     187333420U, // VQSHLuv16i8
    2640             :     191396652U, // VQSHLuv1i64
    2641             :     187071276U, // VQSHLuv2i32
    2642             :     191396652U, // VQSHLuv2i64
    2643             :     187202348U, // VQSHLuv4i16
    2644             :     187071276U, // VQSHLuv4i32
    2645             :     187202348U, // VQSHLuv8i16
    2646             :     187333420U, // VQSHLuv8i8
    2647             :     191265753U, // VQSHRNsv2i32
    2648             :     186678233U, // VQSHRNsv4i16
    2649             :     186809305U, // VQSHRNsv8i8
    2650             :     191396825U, // VQSHRNuv2i32
    2651             :     187071449U, // VQSHRNuv4i16
    2652             :     187202521U, // VQSHRNuv8i8
    2653             :     191265791U, // VQSHRUNv2i32
    2654             :     186678271U, // VQSHRUNv4i16
    2655             :     186809343U, // VQSHRUNv8i8
    2656             :     186939670U, // VQSUBsv16i8
    2657             :     191265046U, // VQSUBsv1i64
    2658             :     186677526U, // VQSUBsv2i32
    2659             :     191265046U, // VQSUBsv2i64
    2660             :     186808598U, // VQSUBsv4i16
    2661             :     186677526U, // VQSUBsv4i32
    2662             :     186808598U, // VQSUBsv8i16
    2663             :     186939670U, // VQSUBsv8i8
    2664             :     187332886U, // VQSUBuv16i8
    2665             :     191396118U, // VQSUBuv1i64
    2666             :     187070742U, // VQSUBuv2i32
    2667             :     191396118U, // VQSUBuv2i64
    2668             :     187201814U, // VQSUBuv4i16
    2669             :     187070742U, // VQSUBuv4i32
    2670             :     187201814U, // VQSUBuv8i16
    2671             :     187332886U, // VQSUBuv8i8
    2672             :     187464635U, // VRADDHNv2i32
    2673             :     187595707U, // VRADDHNv4i16
    2674             :     187726779U, // VRADDHNv8i8
    2675             :     1260796423U,        // VRECPEd
    2676             :     253114887U, // VRECPEfd
    2677             :     253114887U, // VRECPEfq
    2678             :     252983815U, // VRECPEhd
    2679             :     252983815U, // VRECPEhq
    2680             :     1260796423U,        // VRECPEq
    2681             :     253132016U, // VRECPSfd
    2682             :     253132016U, // VRECPSfq
    2683             :     253000944U, // VRECPShd
    2684             :     253000944U, // VRECPShq
    2685             :     407401U,    // VREV16d8
    2686             :     407401U,    // VREV16q8
    2687             :     145044U,    // VREV32d16
    2688             :     407188U,    // VREV32d8
    2689             :     145044U,    // VREV32q16
    2690             :     407188U,    // VREV32q8
    2691             :     145120U,    // VREV64d16
    2692             :     276192U,    // VREV64d32
    2693             :     407264U,    // VREV64d8
    2694             :     145120U,    // VREV64q16
    2695             :     276192U,    // VREV64q32
    2696             :     407264U,    // VREV64q8
    2697             :     186939792U, // VRHADDsv16i8
    2698             :     186677648U, // VRHADDsv2i32
    2699             :     186808720U, // VRHADDsv4i16
    2700             :     186677648U, // VRHADDsv4i32
    2701             :     186808720U, // VRHADDsv8i16
    2702             :     186939792U, // VRHADDsv8i8
    2703             :     187333008U, // VRHADDuv16i8
    2704             :     187070864U, // VRHADDuv2i32
    2705             :     187201936U, // VRHADDuv4i16
    2706             :     187070864U, // VRHADDuv4i32
    2707             :     187201936U, // VRHADDuv8i16
    2708             :     187333008U, // VRHADDuv8i8
    2709             :     1258988088U,        // VRINTAD
    2710             :     1258988470U,        // VRINTAH
    2711             :     1258987769U,        // VRINTANDf
    2712             :     1258988470U,        // VRINTANDh
    2713             :     1258987769U,        // VRINTANQf
    2714             :     1258988470U,        // VRINTANQh
    2715             :     1258987769U,        // VRINTAS
    2716             :     1258988136U,        // VRINTMD
    2717             :     1258988551U,        // VRINTMH
    2718             :     1258987828U,        // VRINTMNDf
    2719             :     1258988551U,        // VRINTMNDh
    2720             :     1258987828U,        // VRINTMNQf
    2721             :     1258988551U,        // VRINTMNQh
    2722             :     1258987828U,        // VRINTMS
    2723             :     1258988148U,        // VRINTND
    2724             :     1258988563U,        // VRINTNH
    2725             :     1258987840U,        // VRINTNNDf
    2726             :     1258988563U,        // VRINTNNDh
    2727             :     1258987840U,        // VRINTNNQf
    2728             :     1258988563U,        // VRINTNNQh
    2729             :     1258987840U,        // VRINTNS
    2730             :     1258988160U,        // VRINTPD
    2731             :     1258988575U,        // VRINTPH
    2732             :     1258987852U,        // VRINTPNDf
    2733             :     1258988575U,        // VRINTPNDh
    2734             :     1258987852U,        // VRINTPNQf
    2735             :     1258988575U,        // VRINTPNQh
    2736             :     1258987852U,        // VRINTPS
    2737             :     252853410U, // VRINTRD
    2738             :     252984482U, // VRINTRH
    2739             :     253115554U, // VRINTRS
    2740             :     252853982U, // VRINTXD
    2741             :     252985054U, // VRINTXH
    2742             :     1258987900U,        // VRINTXNDf
    2743             :     1258988633U,        // VRINTXNDh
    2744             :     1258987900U,        // VRINTXNQf
    2745             :     1258988633U,        // VRINTXNQh
    2746             :     253116126U, // VRINTXS
    2747             :     252853994U, // VRINTZD
    2748             :     252985066U, // VRINTZH
    2749             :     1258987912U,        // VRINTZNDf
    2750             :     1258988656U,        // VRINTZNDh
    2751             :     1258987912U,        // VRINTZNQf
    2752             :     1258988656U,        // VRINTZNQh
    2753             :     253116138U, // VRINTZS
    2754             :     186940217U, // VRSHLsv16i8
    2755             :     191265593U, // VRSHLsv1i64
    2756             :     186678073U, // VRSHLsv2i32
    2757             :     191265593U, // VRSHLsv2i64
    2758             :     186809145U, // VRSHLsv4i16
    2759             :     186678073U, // VRSHLsv4i32
    2760             :     186809145U, // VRSHLsv8i16
    2761             :     186940217U, // VRSHLsv8i8
    2762             :     187333433U, // VRSHLuv16i8
    2763             :     191396665U, // VRSHLuv1i64
    2764             :     187071289U, // VRSHLuv2i32
    2765             :     191396665U, // VRSHLuv2i64
    2766             :     187202361U, // VRSHLuv4i16
    2767             :     187071289U, // VRSHLuv4i32
    2768             :     187202361U, // VRSHLuv8i16
    2769             :     187333433U, // VRSHLuv8i8
    2770             :     187464680U, // VRSHRNv2i32
    2771             :     187595752U, // VRSHRNv4i16
    2772             :     187726824U, // VRSHRNv8i8
    2773             :     186940525U, // VRSHRsv16i8
    2774             :     191265901U, // VRSHRsv1i64
    2775             :     186678381U, // VRSHRsv2i32
    2776             :     191265901U, // VRSHRsv2i64
    2777             :     186809453U, // VRSHRsv4i16
    2778             :     186678381U, // VRSHRsv4i32
    2779             :     186809453U, // VRSHRsv8i16
    2780             :     186940525U, // VRSHRsv8i8
    2781             :     187333741U, // VRSHRuv16i8
    2782             :     191396973U, // VRSHRuv1i64
    2783             :     187071597U, // VRSHRuv2i32
    2784             :     191396973U, // VRSHRuv2i64
    2785             :     187202669U, // VRSHRuv4i16
    2786             :     187071597U, // VRSHRuv4i32
    2787             :     187202669U, // VRSHRuv8i16
    2788             :     187333741U, // VRSHRuv8i8
    2789             :     1260796436U,        // VRSQRTEd
    2790             :     253114900U, // VRSQRTEfd
    2791             :     253114900U, // VRSQRTEfq
    2792             :     252983828U, // VRSQRTEhd
    2793             :     252983828U, // VRSQRTEhq
    2794             :     1260796436U,        // VRSQRTEq
    2795             :     253132038U, // VRSQRTSfd
    2796             :     253132038U, // VRSQRTSfq
    2797             :     253000966U, // VRSQRTShd
    2798             :     253000966U, // VRSQRTShq
    2799             :     170154068U, // VRSRAsv16i8
    2800             :     174479444U, // VRSRAsv1i64
    2801             :     169891924U, // VRSRAsv2i32
    2802             :     174479444U, // VRSRAsv2i64
    2803             :     170022996U, // VRSRAsv4i16
    2804             :     169891924U, // VRSRAsv4i32
    2805             :     170022996U, // VRSRAsv8i16
    2806             :     170154068U, // VRSRAsv8i8
    2807             :     170547284U, // VRSRAuv16i8
    2808             :     174610516U, // VRSRAuv1i64
    2809             :     170285140U, // VRSRAuv2i32
    2810             :     174610516U, // VRSRAuv2i64
    2811             :     170416212U, // VRSRAuv4i16
    2812             :     170285140U, // VRSRAuv4i32
    2813             :     170416212U, // VRSRAuv8i16
    2814             :     170547284U, // VRSRAuv8i8
    2815             :     187464620U, // VRSUBHNv2i32
    2816             :     187595692U, // VRSUBHNv4i16
    2817             :     187726764U, // VRSUBHNv8i8
    2818             :     910495U,    // VSDOTD
    2819             :     7070879U,   // VSDOTDI
    2820             :     910495U,    // VSDOTQ
    2821             :     7070879U,   // VSDOTQI
    2822             :     185246348U, // VSELEQD
    2823             :     185246763U, // VSELEQH
    2824             :     185246040U, // VSELEQS
    2825             :     185246276U, // VSELGED
    2826             :     185246669U, // VSELGEH
    2827             :     185245968U, // VSELGES
    2828             :     185246372U, // VSELGTD
    2829             :     185246797U, // VSELGTH
    2830             :     185246064U, // VSELGTS
    2831             :     185246360U, // VSELVSD
    2832             :     185246785U, // VSELVSH
    2833             :     185246052U, // VSELVSS
    2834             :     3221380607U,        // VSETLNi16
    2835             :     3221511679U,        // VSETLNi32
    2836             :     3221642751U,        // VSETLNi8
    2837             :     187726674U, // VSHLLi16
    2838             :     187595602U, // VSHLLi32
    2839             :     187857746U, // VSHLLi8
    2840             :     186678098U, // VSHLLsv2i64
    2841             :     186809170U, // VSHLLsv4i32
    2842             :     186940242U, // VSHLLsv8i16
    2843             :     187071314U, // VSHLLuv2i64
    2844             :     187202386U, // VSHLLuv4i32
    2845             :     187333458U, // VSHLLuv8i16
    2846             :     187857727U, // VSHLiv16i8
    2847             :     187464511U, // VSHLiv1i64
    2848             :     187595583U, // VSHLiv2i32
    2849             :     187464511U, // VSHLiv2i64
    2850             :     187726655U, // VSHLiv4i16
    2851             :     187595583U, // VSHLiv4i32
    2852             :     187726655U, // VSHLiv8i16
    2853             :     187857727U, // VSHLiv8i8
    2854             :     186940223U, // VSHLsv16i8
    2855             :     191265599U, // VSHLsv1i64
    2856             :     186678079U, // VSHLsv2i32
    2857             :     191265599U, // VSHLsv2i64
    2858             :     186809151U, // VSHLsv4i16
    2859             :     186678079U, // VSHLsv4i32
    2860             :     186809151U, // VSHLsv8i16
    2861             :     186940223U, // VSHLsv8i8
    2862             :     187333439U, // VSHLuv16i8
    2863             :     191396671U, // VSHLuv1i64
    2864             :     187071295U, // VSHLuv2i32
    2865             :     191396671U, // VSHLuv2i64
    2866             :     187202367U, // VSHLuv4i16
    2867             :     187071295U, // VSHLuv4i32
    2868             :     187202367U, // VSHLuv8i16
    2869             :     187333439U, // VSHLuv8i8
    2870             :     187464687U, // VSHRNv2i32
    2871             :     187595759U, // VSHRNv4i16
    2872             :     187726831U, // VSHRNv8i8
    2873             :     186940531U, // VSHRsv16i8
    2874             :     191265907U, // VSHRsv1i64
    2875             :     186678387U, // VSHRsv2i32
    2876             :     191265907U, // VSHRsv2i64
    2877             :     186809459U, // VSHRsv4i16
    2878             :     186678387U, // VSHRsv4i32
    2879             :     186809459U, // VSHRsv8i16
    2880             :     186940531U, // VSHRsv8i8
    2881             :     187333747U, // VSHRuv16i8
    2882             :     191396979U, // VSHRuv1i64
    2883             :     187071603U, // VSHRuv2i32
    2884             :     191396979U, // VSHRuv2i64
    2885             :     187202675U, // VSHRuv4i16
    2886             :     187071603U, // VSHRuv4i32
    2887             :     187202675U, // VSHRuv8i16
    2888             :     187333747U, // VSHRuv8i8
    2889             :     7110088U,   // VSHTOD
    2890             :     256540104U, // VSHTOH
    2891             :     7241160U,   // VSHTOS
    2892             :     443563464U, // VSITOD
    2893             :     443694536U, // VSITOH
    2894             :     440942024U, // VSITOS
    2895             :     416441U,    // VSLIv16i8
    2896             :     5266105U,   // VSLIv1i64
    2897             :     285369U,    // VSLIv2i32
    2898             :     5266105U,   // VSLIv2i64
    2899             :     154297U,    // VSLIv4i16
    2900             :     285369U,    // VSLIv4i32
    2901             :     154297U,    // VSLIv8i16
    2902             :     416441U,    // VSLIv8i8
    2903             :     1332772296U,        // VSLTOD
    2904             :     1332903368U,        // VSLTOH
    2905             :     1330150856U,        // VSLTOS
    2906             :     252853650U, // VSQRTD
    2907             :     252984722U, // VSQRTH
    2908             :     253115794U, // VSQRTS
    2909             :     170154074U, // VSRAsv16i8
    2910             :     174479450U, // VSRAsv1i64
    2911             :     169891930U, // VSRAsv2i32
    2912             :     174479450U, // VSRAsv2i64
    2913             :     170023002U, // VSRAsv4i16
    2914             :     169891930U, // VSRAsv4i32
    2915             :     170023002U, // VSRAsv8i16
    2916             :     170154074U, // VSRAsv8i8
    2917             :     170547290U, // VSRAuv16i8
    2918             :     174610522U, // VSRAuv1i64
    2919             :     170285146U, // VSRAuv2i32
    2920             :     174610522U, // VSRAuv2i64
    2921             :     170416218U, // VSRAuv4i16
    2922             :     170285146U, // VSRAuv4i32
    2923             :     170416218U, // VSRAuv8i16
    2924             :     170547290U, // VSRAuv8i8
    2925             :     416446U,    // VSRIv16i8
    2926             :     5266110U,   // VSRIv1i64
    2927             :     285374U,    // VSRIv2i32
    2928             :     5266110U,   // VSRIv2i64
    2929             :     154302U,    // VSRIv4i16
    2930             :     285374U,    // VSRIv4i32
    2931             :     154302U,    // VSRIv8i16
    2932             :     416446U,    // VSRIv8i8
    2933             :     1247041167U,        // VST1LNd16
    2934             :     1632949903U,        // VST1LNd16_UPD
    2935             :     1247172239U,        // VST1LNd32
    2936             :     1633080975U,        // VST1LNd32_UPD
    2937             :     1247303311U,        // VST1LNd8
    2938             :     1633212047U,        // VST1LNd8_UPD
    2939             :     0U, // VST1LNq16Pseudo
    2940             :     0U, // VST1LNq16Pseudo_UPD
    2941             :     0U, // VST1LNq32Pseudo
    2942             :     0U, // VST1LNq32Pseudo_UPD
    2943             :     0U, // VST1LNq8Pseudo
    2944             :     0U, // VST1LNq8Pseudo_UPD
    2945             :     570586767U, // VST1d16
    2946             :     587363983U, // VST1d16Q
    2947             :     0U, // VST1d16QPseudo
    2948             :     604133007U, // VST1d16Qwb_fixed
    2949             :     620914319U, // VST1d16Qwb_register
    2950             :     637695631U, // VST1d16T
    2951             :     0U, // VST1d16TPseudo
    2952             :     654464655U, // VST1d16Twb_fixed
    2953             :     671245967U, // VST1d16Twb_register
    2954             :     688019087U, // VST1d16wb_fixed
    2955             :     704800399U, // VST1d16wb_register
    2956             :     570717839U, // VST1d32
    2957             :     587495055U, // VST1d32Q
    2958             :     0U, // VST1d32QPseudo
    2959             :     604264079U, // VST1d32Qwb_fixed
    2960             :     621045391U, // VST1d32Qwb_register
    2961             :     637826703U, // VST1d32T
    2962             :     0U, // VST1d32TPseudo
    2963             :     654595727U, // VST1d32Twb_fixed
    2964             :     671377039U, // VST1d32Twb_register
    2965             :     688150159U, // VST1d32wb_fixed
    2966             :     704931471U, // VST1d32wb_register
    2967             :     575698575U, // VST1d64
    2968             :     592475791U, // VST1d64Q
    2969             :     0U, // VST1d64QPseudo
    2970             :     0U, // VST1d64QPseudoWB_fixed
    2971             :     0U, // VST1d64QPseudoWB_register
    2972             :     609244815U, // VST1d64Qwb_fixed
    2973             :     626026127U, // VST1d64Qwb_register
    2974             :     642807439U, // VST1d64T
    2975             :     0U, // VST1d64TPseudo
    2976             :     0U, // VST1d64TPseudoWB_fixed
    2977             :     0U, // VST1d64TPseudoWB_register
    2978             :     659576463U, // VST1d64Twb_fixed
    2979             :     676357775U, // VST1d64Twb_register
    2980             :     693130895U, // VST1d64wb_fixed
    2981             :     709912207U, // VST1d64wb_register
    2982             :     570848911U, // VST1d8
    2983             :     587626127U, // VST1d8Q
    2984             :     0U, // VST1d8QPseudo
    2985             :     604395151U, // VST1d8Qwb_fixed
    2986             :     621176463U, // VST1d8Qwb_register
    2987             :     637957775U, // VST1d8T
    2988             :     0U, // VST1d8TPseudo
    2989             :     654726799U, // VST1d8Twb_fixed
    2990             :     671508111U, // VST1d8Twb_register
    2991             :     688281231U, // VST1d8wb_fixed
    2992             :     705062543U, // VST1d8wb_register
    2993             :     721581711U, // VST1q16
    2994             :     0U, // VST1q16HighQPseudo
    2995             :     0U, // VST1q16HighTPseudo
    2996             :     0U, // VST1q16LowQPseudo_UPD
    2997             :     0U, // VST1q16LowTPseudo_UPD
    2998             :     738350735U, // VST1q16wb_fixed
    2999             :     755132047U, // VST1q16wb_register
    3000             :     721712783U, // VST1q32
    3001             :     0U, // VST1q32HighQPseudo
    3002             :     0U, // VST1q32HighTPseudo
    3003             :     0U, // VST1q32LowQPseudo_UPD
    3004             :     0U, // VST1q32LowTPseudo_UPD
    3005             :     738481807U, // VST1q32wb_fixed
    3006             :     755263119U, // VST1q32wb_register
    3007             :     726693519U, // VST1q64
    3008             :     0U, // VST1q64HighQPseudo
    3009             :     0U, // VST1q64HighTPseudo
    3010             :     0U, // VST1q64LowQPseudo_UPD
    3011             :     0U, // VST1q64LowTPseudo_UPD
    3012             :     743462543U, // VST1q64wb_fixed
    3013             :     760243855U, // VST1q64wb_register
    3014             :     721843855U, // VST1q8
    3015             :     0U, // VST1q8HighQPseudo
    3016             :     0U, // VST1q8HighTPseudo
    3017             :     0U, // VST1q8LowQPseudo_UPD
    3018             :     0U, // VST1q8LowTPseudo_UPD
    3019             :     738612879U, // VST1q8wb_fixed
    3020             :     755394191U, // VST1q8wb_register
    3021             :     1247045323U,        // VST2LNd16
    3022             :     0U, // VST2LNd16Pseudo
    3023             :     0U, // VST2LNd16Pseudo_UPD
    3024             :     1632999115U,        // VST2LNd16_UPD
    3025             :     1247176395U,        // VST2LNd32
    3026             :     0U, // VST2LNd32Pseudo
    3027             :     0U, // VST2LNd32Pseudo_UPD
    3028             :     1633130187U,        // VST2LNd32_UPD
    3029             :     1247307467U,        // VST2LNd8
    3030             :     0U, // VST2LNd8Pseudo
    3031             :     0U, // VST2LNd8Pseudo_UPD
    3032             :     1633261259U,        // VST2LNd8_UPD
    3033             :     1247045323U,        // VST2LNq16
    3034             :     0U, // VST2LNq16Pseudo
    3035             :     0U, // VST2LNq16Pseudo_UPD
    3036             :     1632999115U,        // VST2LNq16_UPD
    3037             :     1247176395U,        // VST2LNq32
    3038             :     0U, // VST2LNq32Pseudo
    3039             :     0U, // VST2LNq32Pseudo_UPD
    3040             :     1633130187U,        // VST2LNq32_UPD
    3041             :     771913419U, // VST2b16
    3042             :     788682443U, // VST2b16wb_fixed
    3043             :     805463755U, // VST2b16wb_register
    3044             :     772044491U, // VST2b32
    3045             :     788813515U, // VST2b32wb_fixed
    3046             :     805594827U, // VST2b32wb_register
    3047             :     772175563U, // VST2b8
    3048             :     788944587U, // VST2b8wb_fixed
    3049             :     805725899U, // VST2b8wb_register
    3050             :     721581771U, // VST2d16
    3051             :     738350795U, // VST2d16wb_fixed
    3052             :     755132107U, // VST2d16wb_register
    3053             :     721712843U, // VST2d32
    3054             :     738481867U, // VST2d32wb_fixed
    3055             :     755263179U, // VST2d32wb_register
    3056             :     721843915U, // VST2d8
    3057             :     738612939U, // VST2d8wb_fixed
    3058             :     755394251U, // VST2d8wb_register
    3059             :     587364043U, // VST2q16
    3060             :     0U, // VST2q16Pseudo
    3061             :     0U, // VST2q16PseudoWB_fixed
    3062             :     0U, // VST2q16PseudoWB_register
    3063             :     604133067U, // VST2q16wb_fixed
    3064             :     620914379U, // VST2q16wb_register
    3065             :     587495115U, // VST2q32
    3066             :     0U, // VST2q32Pseudo
    3067             :     0U, // VST2q32PseudoWB_fixed
    3068             :     0U, // VST2q32PseudoWB_register
    3069             :     604264139U, // VST2q32wb_fixed
    3070             :     621045451U, // VST2q32wb_register
    3071             :     587626187U, // VST2q8
    3072             :     0U, // VST2q8Pseudo
    3073             :     0U, // VST2q8PseudoWB_fixed
    3074             :     0U, // VST2q8PseudoWB_register
    3075             :     604395211U, // VST2q8wb_fixed
    3076             :     621176523U, // VST2q8wb_register
    3077             :     1247074011U,        // VST3LNd16
    3078             :     0U, // VST3LNd16Pseudo
    3079             :     0U, // VST3LNd16Pseudo_UPD
    3080             :     1633011419U,        // VST3LNd16_UPD
    3081             :     1247205083U,        // VST3LNd32
    3082             :     0U, // VST3LNd32Pseudo
    3083             :     0U, // VST3LNd32Pseudo_UPD
    3084             :     1633142491U,        // VST3LNd32_UPD
    3085             :     1247336155U,        // VST3LNd8
    3086             :     0U, // VST3LNd8Pseudo
    3087             :     0U, // VST3LNd8Pseudo_UPD
    3088             :     1633273563U,        // VST3LNd8_UPD
    3089             :     1247074011U,        // VST3LNq16
    3090             :     0U, // VST3LNq16Pseudo
    3091             :     0U, // VST3LNq16Pseudo_UPD
    3092             :     1633011419U,        // VST3LNq16_UPD
    3093             :     1247205083U,        // VST3LNq32
    3094             :     0U, // VST3LNq32Pseudo
    3095             :     0U, // VST3LNq32Pseudo_UPD
    3096             :     1633142491U,        // VST3LNq32_UPD
    3097             :     173303515U, // VST3d16
    3098             :     0U, // VST3d16Pseudo
    3099             :     0U, // VST3d16Pseudo_UPD
    3100             :     559257307U, // VST3d16_UPD
    3101             :     173434587U, // VST3d32
    3102             :     0U, // VST3d32Pseudo
    3103             :     0U, // VST3d32Pseudo_UPD
    3104             :     559388379U, // VST3d32_UPD
    3105             :     173565659U, // VST3d8
    3106             :     0U, // VST3d8Pseudo
    3107             :     0U, // VST3d8Pseudo_UPD
    3108             :     559519451U, // VST3d8_UPD
    3109             :     173303515U, // VST3q16
    3110             :     0U, // VST3q16Pseudo_UPD
    3111             :     559257307U, // VST3q16_UPD
    3112             :     0U, // VST3q16oddPseudo
    3113             :     0U, // VST3q16oddPseudo_UPD
    3114             :     173434587U, // VST3q32
    3115             :     0U, // VST3q32Pseudo_UPD
    3116             :     559388379U, // VST3q32_UPD
    3117             :     0U, // VST3q32oddPseudo
    3118             :     0U, // VST3q32oddPseudo_UPD
    3119             :     173565659U, // VST3q8
    3120             :     0U, // VST3q8Pseudo_UPD
    3121             :     559519451U, // VST3q8_UPD
    3122             :     0U, // VST3q8oddPseudo
    3123             :     0U, // VST3q8oddPseudo_UPD
    3124             :     1247123180U,        // VST4LNd16
    3125             :     0U, // VST4LNd16Pseudo
    3126             :     0U, // VST4LNd16Pseudo_UPD
    3127             :     1633003244U,        // VST4LNd16_UPD
    3128             :     1247254252U,        // VST4LNd32
    3129             :     0U, // VST4LNd32Pseudo
    3130             :     0U, // VST4LNd32Pseudo_UPD
    3131             :     1633134316U,        // VST4LNd32_UPD
    3132             :     1247385324U,        // VST4LNd8
    3133             :     0U, // VST4LNd8Pseudo
    3134             :     0U, // VST4LNd8Pseudo_UPD
    3135             :     1633265388U,        // VST4LNd8_UPD
    3136             :     1247123180U,        // VST4LNq16
    3137             :     0U, // VST4LNq16Pseudo
    3138             :     0U, // VST4LNq16Pseudo_UPD
    3139             :     1633003244U,        // VST4LNq16_UPD
    3140             :     1247254252U,        // VST4LNq32
    3141             :     0U, // VST4LNq32Pseudo
    3142             :     0U, // VST4LNq32Pseudo_UPD
    3143             :     1633134316U,        // VST4LNq32_UPD
    3144             :     173332204U, // VST4d16
    3145             :     0U, // VST4d16Pseudo
    3146             :     0U, // VST4d16Pseudo_UPD
    3147             :     559269612U, // VST4d16_UPD
    3148             :     173463276U, // VST4d32
    3149             :     0U, // VST4d32Pseudo
    3150             :     0U, // VST4d32Pseudo_UPD
    3151             :     559400684U, // VST4d32_UPD
    3152             :     173594348U, // VST4d8
    3153             :     0U, // VST4d8Pseudo
    3154             :     0U, // VST4d8Pseudo_UPD
    3155             :     559531756U, // VST4d8_UPD
    3156             :     173332204U, // VST4q16
    3157             :     0U, // VST4q16Pseudo_UPD
    3158             :     559269612U, // VST4q16_UPD
    3159             :     0U, // VST4q16oddPseudo
    3160             :     0U, // VST4q16oddPseudo_UPD
    3161             :     173463276U, // VST4q32
    3162             :     0U, // VST4q32Pseudo_UPD
    3163             :     559400684U, // VST4q32_UPD
    3164             :     0U, // VST4q32oddPseudo
    3165             :     0U, // VST4q32oddPseudo_UPD
    3166             :     173594348U, // VST4q8
    3167             :     0U, // VST4q8Pseudo_UPD
    3168             :     559531756U, // VST4q8_UPD
    3169             :     0U, // VST4q8oddPseudo
    3170             :     0U, // VST4q8oddPseudo_UPD
    3171             :     2332571803U,        // VSTMDDB_UPD
    3172             :     571435U,    // VSTMDIA
    3173             :     2332571691U,        // VSTMDIA_UPD
    3174             :     0U, // VSTMQIA
    3175             :     2332571803U,        // VSTMSDB_UPD
    3176             :     571435U,    // VSTMSIA
    3177             :     2332571691U,        // VSTMSIA_UPD
    3178             :     556201U,    // VSTRD
    3179             :     162985U,    // VSTRH
    3180             :     556201U,    // VSTRS
    3181             :     252868892U, // VSUBD
    3182             :     252999964U, // VSUBH
    3183             :     187464628U, // VSUBHNv2i32
    3184             :     187595700U, // VSUBHNv4i16
    3185             :     187726772U, // VSUBHNv8i8
    3186             :     186678021U, // VSUBLsv2i64
    3187             :     186809093U, // VSUBLsv4i32
    3188             :     186940165U, // VSUBLsv8i16
    3189             :     187071237U, // VSUBLuv2i64
    3190             :     187202309U, // VSUBLuv4i32
    3191             :     187333381U, // VSUBLuv8i16
    3192             :     253131036U, // VSUBS
    3193             :     186678788U, // VSUBWsv2i64
    3194             :     186809860U, // VSUBWsv4i32
    3195             :     186940932U, // VSUBWsv8i16
    3196             :     187072004U, // VSUBWuv2i64
    3197             :     187203076U, // VSUBWuv4i32
    3198             :     187334148U, // VSUBWuv8i16
    3199             :     253131036U, // VSUBfd
    3200             :     253131036U, // VSUBfq
    3201             :     252999964U, // VSUBhd
    3202             :     252999964U, // VSUBhq
    3203             :     187857180U, // VSUBv16i8
    3204             :     187463964U, // VSUBv1i64
    3205             :     187595036U, // VSUBv2i32
    3206             :     187463964U, // VSUBv2i64
    3207             :     187726108U, // VSUBv4i16
    3208             :     187595036U, // VSUBv4i32
    3209             :     187726108U, // VSUBv8i16
    3210             :     187857180U, // VSUBv8i8
    3211             :     547910U,    // VSWPd
    3212             :     547910U,    // VSWPq
    3213             :     424704U,    // VTBL1
    3214             :     424704U,    // VTBL2
    3215             :     424704U,    // VTBL3
    3216             :     0U, // VTBL3Pseudo
    3217             :     424704U,    // VTBL4
    3218             :     0U, // VTBL4Pseudo
    3219             :     417377U,    // VTBX1
    3220             :     417377U,    // VTBX2
    3221             :     417377U,    // VTBX3
    3222             :     0U, // VTBX3Pseudo
    3223             :     417377U,    // VTBX4
    3224             :     0U, // VTBX4Pseudo
    3225             :     7634376U,   // VTOSHD
    3226             :     256146888U, // VTOSHH
    3227             :     7765448U,   // VTOSHS
    3228             :     441597102U, // VTOSIRD
    3229             :     444087470U, // VTOSIRH
    3230             :     440417454U, // VTOSIRS
    3231             :     441597384U, // VTOSIZD
    3232             :     444087752U, // VTOSIZH
    3233             :     440417736U, // VTOSIZS
    3234             :     1330806216U,        // VTOSLD
    3235             :     1333296584U,        // VTOSLH
    3236             :     1329626568U,        // VTOSLS
    3237             :     8027592U,   // VTOUHD
    3238             :     256277960U, // VTOUHH
    3239             :     8158664U,   // VTOUHS
    3240             :     444480686U, // VTOUIRD
    3241             :     444611758U, // VTOUIRH
    3242             :     440548526U, // VTOUIRS
    3243             :     444480968U, // VTOUIZD
    3244             :     444612040U, // VTOUIZH
    3245             :     440548808U, // VTOUIZS
    3246             :     1333689800U,        // VTOULD
    3247             :     1333820872U,        // VTOULH
    3248             :     1329757640U,        // VTOULS
    3249             :     154618U,    // VTRNd16
    3250             :     285690U,    // VTRNd32
    3251             :     416762U,    // VTRNd8
    3252             :     154618U,    // VTRNq16
    3253             :     285690U,    // VTRNq32
    3254             :     416762U,    // VTRNq8
    3255             :     425373U,    // VTSTv16i8
    3256             :     294301U,    // VTSTv2i32
    3257             :     163229U,    // VTSTv4i16
    3258             :     294301U,    // VTSTv4i32
    3259             :     163229U,    // VTSTv8i16
    3260             :     425373U,    // VTSTv8i8
    3261             :     910505U,    // VUDOTD
    3262             :     7070889U,   // VUDOTDI
    3263             :     910505U,    // VUDOTQ
    3264             :     7070889U,   // VUDOTQI
    3265             :     8551880U,   // VUHTOD
    3266             :     256802248U, // VUHTOH
    3267             :     8682952U,   // VUHTOS
    3268             :     445005256U, // VUITOD
    3269             :     445136328U, // VUITOH
    3270             :     441204168U, // VUITOS
    3271             :     1334214088U,        // VULTOD
    3272             :     1334345160U,        // VULTOH
    3273             :     1330413000U,        // VULTOS
    3274             :     154699U,    // VUZPd16
    3275             :     416843U,    // VUZPd8
    3276             :     154699U,    // VUZPq16
    3277             :     285771U,    // VUZPq32
    3278             :     416843U,    // VUZPq8
    3279             :     154675U,    // VZIPd16
    3280             :     416819U,    // VZIPd8
    3281             :     154675U,    // VZIPq16
    3282             :     285747U,    // VZIPq32
    3283             :     416819U,    // VZIPq8
    3284             :     571410U,    // sysLDMDA
    3285             :     2332571666U,        // sysLDMDA_UPD
    3286             :     571541U,    // sysLDMDB
    3287             :     2332571797U,        // sysLDMDB_UPD
    3288             :     572322U,    // sysLDMIA
    3289             :     2332572578U,        // sysLDMIA_UPD
    3290             :     571560U,    // sysLDMIB
    3291             :     2332571816U,        // sysLDMIB_UPD
    3292             :     571416U,    // sysSTMDA
    3293             :     2332571672U,        // sysSTMDA_UPD
    3294             :     571548U,    // sysSTMDB
    3295             :     2332571804U,        // sysSTMDB_UPD
    3296             :     572328U,    // sysSTMIA
    3297             :     2332572584U,        // sysSTMIA_UPD
    3298             :     571566U,    // sysSTMIB
    3299             :     2332571822U,        // sysSTMIB_UPD
    3300             :     530767U,    // t2ADCri
    3301             :     9050447U,   // t2ADCrr
    3302             :     9079119U,   // t2ADCrs
    3303             :     9050508U,   // t2ADDri
    3304             :     556555U,    // t2ADDri12
    3305             :     9050508U,   // t2ADDrr
    3306             :     9079180U,   // t2ADDrs
    3307             :     9059428U,   // t2ADR
    3308             :     530881U,    // t2ANDri
    3309             :     9050561U,   // t2ANDrr
    3310             :     9079233U,   // t2ANDrs
    3311             :     9051282U,   // t2ASRri
    3312             :     9051282U,   // t2ASRrr
    3313             :     1082832998U,        // t2B
    3314             :     555351U,    // t2BFC
    3315             :     547505U,    // t2BFI
    3316             :     530780U,    // t2BICri
    3317             :     9050460U,   // t2BICrr
    3318             :     9079132U,   // t2BICrs
    3319             :     1074313923U,        // t2BXJ
    3320             :     1082832998U,        // t2Bcc
    3321             :     201907247U, // t2CDP
    3322             :     201905845U, // t2CDP2
    3323             :     839332U,    // t2CLREX
    3324             :     540390U,    // t2CLZ
    3325             :     9059285U,   // t2CMNri
    3326             :     9059285U,   // t2CMNzrr
    3327             :     9075669U,   // t2CMNzrs
    3328             :     9059385U,   // t2CMPri
    3329             :     9059385U,   // t2CMPrr
    3330             :     9075769U,   // t2CMPrs
    3331             :     828731U,    // t2CPS1p
    3332             :     1317731571U,        // t2CPS2p
    3333             :     235470067U, // t2CPS3p
    3334             :     185246913U, // t2CRC32B
    3335             :     185246921U, // t2CRC32CB
    3336             :     185246995U, // t2CRC32CH
    3337             :     185247079U, // t2CRC32CW
    3338             :     185246987U, // t2CRC32H
    3339             :     185247071U, // t2CRC32W
    3340             :     1074313761U,        // t2DBG
    3341             :     837257U,    // t2DCPS1
    3342             :     837317U,    // t2DCPS2
    3343             :     837333U,    // t2DCPS3
    3344             :     822655161U, // t2DMB
    3345             :     822655180U, // t2DSB
    3346             :     531584U,    // t2EORri
    3347             :     9051264U,   // t2EORrr
    3348             :     9079936U,   // t2EORrs
    3349             :     1082834312U,        // t2HINT
    3350             :     828753U,    // t2HVC
    3351             :     839432400U, // t2ISB
    3352             :     17313142U,  // t2IT
    3353             :     0U, // t2Int_eh_sjlj_setjmp
    3354             :     0U, // t2Int_eh_sjlj_setjmp_nofp
    3355             :     538638U,    // t2LDA
    3356             :     538723U,    // t2LDAB
    3357             :     540306U,    // t2LDAEX
    3358             :     538927U,    // t2LDAEXB
    3359             :     555483U,    // t2LDAEXD
    3360             :     539285U,    // t2LDAEXH
    3361             :     539187U,    // t2LDAH
    3362             :     1275615943U,        // t2LDC2L_OFFSET
    3363             :     1275615943U,        // t2LDC2L_OPTION
    3364             :     1275615943U,        // t2LDC2L_POST
    3365             :     1275615943U,        // t2LDC2L_PRE
    3366             :     1275614875U,        // t2LDC2_OFFSET
    3367             :     1275614875U,        // t2LDC2_OPTION
    3368             :     1275614875U,        // t2LDC2_POST
    3369             :     1275614875U,        // t2LDC2_PRE
    3370             :     1275616011U,        // t2LDCL_OFFSET
    3371             :     1275616011U,        // t2LDCL_OPTION
    3372             :     1275616011U,        // t2LDCL_POST
    3373             :     1275616011U,        // t2LDCL_PRE
    3374             :     1275615571U,        // t2LDC_OFFSET
    3375             :     1275615571U,        // t2LDC_OPTION
    3376             :     1275615571U,        // t2LDC_POST
    3377             :     1275615571U,        // t2LDC_PRE
    3378             :     571541U,    // t2LDMDB
    3379             :     2332571797U,        // t2LDMDB_UPD
    3380             :     9092002U,   // t2LDMIA
    3381             :     2341092258U,        // t2LDMIA_UPD
    3382             :     556350U,    // t2LDRBT
    3383             :     547010U,    // t2LDRB_POST
    3384             :     547010U,    // t2LDRB_PRE
    3385             :     9074882U,   // t2LDRBi12
    3386             :     555202U,    // t2LDRBi8
    3387             :     9058498U,   // t2LDRBpci
    3388             :     9066690U,   // t2LDRBs
    3389             :     551365U,    // t2LDRD_POST
    3390             :     551365U,    // t2LDRD_PRE
    3391             :     547269U,    // t2LDRDi8
    3392             :     556702U,    // t2LDREX
    3393             :     538941U,    // t2LDREXB
    3394             :     555497U,    // t2LDREXD
    3395             :     539299U,    // t2LDREXH
    3396             :     556385U,    // t2LDRHT
    3397             :     547431U,    // t2LDRH_POST
    3398             :     547431U,    // t2LDRH_PRE
    3399             :     9075303U,   // t2LDRHi12
    3400             :     555623U,    // t2LDRHi8
    3401             :     9058919U,   // t2LDRHpci
    3402             :     9067111U,   // t2LDRHs
    3403             :     556362U,    // t2LDRSBT
    3404             :     547028U,    // t2LDRSB_POST
    3405             :     547028U,    // t2LDRSB_PRE
    3406             :     9074900U,   // t2LDRSBi12
    3407             :     555220U,    // t2LDRSBi8
    3408             :     9058516U,   // t2LDRSBpci
    3409             :     9066708U,   // t2LDRSBs
    3410             :     556397U,    // t2LDRSHT
    3411             :     547450U,    // t2LDRSH_POST
    3412             :     547450U,    // t2LDRSH_PRE
    3413             :     9075322U,   // t2LDRSHi12
    3414             :     555642U,    // t2LDRSHi8
    3415             :     9058938U,   // t2LDRSHpci
    3416             :     9067130U,   // t2LDRSHs
    3417             :     556429U,    // t2LDRT
    3418             :     547945U,    // t2LDR_POST
    3419             :     547945U,    // t2LDR_PRE
    3420             :     9075817U,   // t2LDRi12
    3421             :     556137U,    // t2LDRi8
    3422             :     9059433U,   // t2LDRpci
    3423             :     9067625U,   // t2LDRs
    3424             :     9051003U,   // t2LSLri
    3425             :     9051003U,   // t2LSLrr
    3426             :     9051289U,   // t2LSRri
    3427             :     9051289U,   // t2LSRrr
    3428             :     201907296U, // t2MCR
    3429             :     201905850U, // t2MCR2
    3430             :     201878664U, // t2MCRR
    3431             :     201877183U, // t2MCRR2
    3432             :     546874U,    // t2MLA
    3433             :     548043U,    // t2MLS
    3434             :     556493U,    // t2MOVTi16
    3435             :     9063936U,   // t2MOVi
    3436             :     540181U,    // t2MOVi16
    3437             :     9063936U,   // t2MOVr
    3438             :     9059580U,   // t2MOVsra_flag
    3439             :     9059585U,   // t2MOVsrl_flag
    3440             :     336124260U, // t2MRC
    3441             :     336123552U, // t2MRC2
    3442             :     352872808U, // t2MRRC
    3443             :     352872101U, // t2MRRC2
    3444             :     2148056312U,        // t2MRS_AR
    3445             :     539896U,    // t2MRS_M
    3446             :     539896U,    // t2MRSbanked
    3447             :     3221798136U,        // t2MRSsys_AR
    3448             :     369638558U, // t2MSR_AR
    3449             :     369638558U, // t2MSR_M
    3450             :     386415774U, // t2MSRbanked
    3451             :     555915U,    // t2MUL
    3452             :     543769U,    // t2MVNi
    3453             :     9063449U,   // t2MVNr
    3454             :     9051161U,   // t2MVNs
    3455             :     531446U,    // t2ORNri
    3456             :     531446U,    // t2ORNrr
    3457             :     560118U,    // t2ORNrs
    3458             :     531598U,    // t2ORRri
    3459             :     9051278U,   // t2ORRrr
    3460             :     9079950U,   // t2ORRrs
    3461             :     548137U,    // t2PKHBT
    3462             :     547045U,    // t2PKHTB
    3463             :     856178192U, // t2PLDWi12
    3464             :     872955408U, // t2PLDWi8
    3465             :     889749008U, // t2PLDWs
    3466             :     856177077U, // t2PLDi12
    3467             :     872954293U, // t2PLDi8
    3468             :     906541493U, // t2PLDpci
    3469             :     889747893U, // t2PLDs
    3470             :     856177333U, // t2PLIi12
    3471             :     872954549U, // t2PLIi8
    3472             :     906541749U, // t2PLIpci
    3473             :     889748149U, // t2PLIs
    3474             :     555428U,    // t2QADD
    3475             :     554822U,    // t2QADD16
    3476             :     554925U,    // t2QADD8
    3477             :     556751U,    // t2QASX
    3478             :     555402U,    // t2QDADD
    3479             :     555274U,    // t2QDSUB
    3480             :     556610U,    // t2QSAX
    3481             :     555287U,    // t2QSUB
    3482             :     554784U,    // t2QSUB16
    3483             :     554886U,    // t2QSUB8
    3484             :     540020U,    // t2RBIT
    3485             :     9059820U,   // t2REV
    3486             :     9058154U,   // t2REV16
    3487             :     9058949U,   // t2REVSH
    3488             :     1074313358U,        // t2RFEDB
    3489             :     2148055182U,        // t2RFEDBW
    3490             :     1074313246U,        // t2RFEIA
    3491             :     2148055070U,        // t2RFEIAW
    3492             :     9051268U,   // t2RORri
    3493             :     9051268U,   // t2RORrr
    3494             :     544446U,    // t2RRX
    3495             :     9050326U,   // t2RSBri
    3496             :     530646U,    // t2RSBrr
    3497             :     559318U,    // t2RSBrs
    3498             :     554829U,    // t2SADD16
    3499             :     554931U,    // t2SADD8
    3500             :     556756U,    // t2SASX
    3501             :     2253U,      // t2SB
    3502             :     530763U,    // t2SBCri
    3503             :     9050443U,   // t2SBCrr
    3504             :     9079115U,   // t2SBCrs
    3505             :     548528U,    // t2SBFX
    3506             :     556528U,    // t2SDIV
    3507             :     555816U,    // t2SEL
    3508             :     828723U,    // t2SETPAN
    3509             :     838192U,    // t2SG
    3510             :     554805U,    // t2SHADD16
    3511             :     554910U,    // t2SHADD8
    3512             :     556738U,    // t2SHASX
    3513             :     556597U,    // t2SHSAX
    3514             :     554767U,    // t2SHSUB16
    3515             :     554871U,    // t2SHSUB8
    3516             :     1074313568U,        // t2SMC
    3517             :     546932U,    // t2SMLABB
    3518             :     548130U,    // t2SMLABT
    3519             :     547193U,    // t2SMLAD
    3520             :     548454U,    // t2SMLADX
    3521             :     580334U,    // t2SMLAL
    3522             :     579707U,    // t2SMLALBB
    3523             :     580911U,    // t2SMLALBT
    3524             :     580014U,    // t2SMLALD
    3525             :     581236U,    // t2SMLALDX
    3526             :     579819U,    // t2SMLALTB
    3527             :     581033U,    // t2SMLALTT
    3528             :     547038U,    // t2SMLATB
    3529             :     548258U,    // t2SMLATT
    3530             :     547105U,    // t2SMLAWB
    3531             :     548306U,    // t2SMLAWT
    3532             :     547279U,    // t2SMLSD
    3533             :     548484U,    // t2SMLSDX
    3534             :     580025U,    // t2SMLSLD
    3535             :     581244U,    // t2SMLSLDX
    3536             :     546872U,    // t2SMMLA
    3537             :     547929U,    // t2SMMLAR
    3538             :     548041U,    // t2SMMLS
    3539             :     547990U,    // t2SMMLSR
    3540             :     555913U,    // t2SMMUL
    3541             :     556152U,    // t2SMMULR
    3542             :     555391U,    // t2SMUAD
    3543             :     556653U,    // t2SMUADX
    3544             :     555139U,    // t2SMULBB
    3545             :     556343U,    // t2SMULBT
    3546             :     547680U,    // t2SMULL
    3547             :     555251U,    // t2SMULTB
    3548             :     556465U,    // t2SMULTT
    3549             :     555304U,    // t2SMULWB
    3550             :     556505U,    // t2SMULWT
    3551             :     555477U,    // t2SMUSD
    3552             :     556683U,    // t2SMUSDX
    3553             :     9222306U,   // t2SRSDB
    3554             :     9353378U,   // t2SRSDB_UPD
    3555             :     9222194U,   // t2SRSIA
    3556             :     9353266U,   // t2SRSIA_UPD
    3557             :     548115U,    // t2SSAT
    3558             :     554843U,    // t2SSAT16
    3559             :     556615U,    // t2SSAX
    3560             :     554791U,    // t2SSUB16
    3561             :     554892U,    // t2SSUB8
    3562             :     1275615949U,        // t2STC2L_OFFSET
    3563             :     1275615949U,        // t2STC2L_OPTION
    3564             :     1275615949U,        // t2STC2L_POST
    3565             :     1275615949U,        // t2STC2L_PRE
    3566             :     1275614891U,        // t2STC2_OFFSET
    3567             :     1275614891U,        // t2STC2_OPTION
    3568             :     1275614891U,        // t2STC2_POST
    3569             :     1275614891U,        // t2STC2_PRE
    3570             :     1275616016U,        // t2STCL_OFFSET
    3571             :     1275616016U,        // t2STCL_OPTION
    3572             :     1275616016U,        // t2STCL_POST
    3573             :     1275616016U,        // t2STCL_PRE
    3574             :     1275615601U,        // t2STC_OFFSET
    3575             :     1275615601U,        // t2STC_OPTION
    3576             :     1275615601U,        // t2STC_POST
    3577             :     1275615601U,        // t2STC_PRE
    3578             :     539525U,    // t2STL
    3579             :     538804U,    // t2STLB
    3580             :     556696U,    // t2STLEX
    3581             :     555318U,    // t2STLEXB
    3582             :     547298U,    // t2STLEXD
    3583             :     555676U,    // t2STLEXH
    3584             :     539217U,    // t2STLH
    3585             :     571548U,    // t2STMDB
    3586             :     2332571804U,        // t2STMDB_UPD
    3587             :     9092008U,   // t2STMIA
    3588             :     2341092264U,        // t2STMIA_UPD
    3589             :     556356U,    // t2STRBT
    3590             :     185096391U, // t2STRB_POST
    3591             :     185096391U, // t2STRB_PRE
    3592             :     9074887U,   // t2STRBi12
    3593             :     555207U,    // t2STRBi8
    3594             :     9066695U,   // t2STRBs
    3595             :     185100746U, // t2STRD_POST
    3596             :     185100746U, // t2STRD_PRE
    3597             :     547274U,    // t2STRDi8
    3598             :     548522U,    // t2STREX
    3599             :     555332U,    // t2STREXB
    3600             :     547312U,    // t2STREXD
    3601             :     555690U,    // t2STREXH
    3602             :     556391U,    // t2STRHT
    3603             :     185096812U, // t2STRH_POST
    3604             :     185096812U, // t2STRH_PRE
    3605             :     9075308U,   // t2STRHi12
    3606             :     555628U,    // t2STRHi8
    3607             :     9067116U,   // t2STRHs
    3608             :     556440U,    // t2STRT
    3609             :     185097386U, // t2STR_POST
    3610             :     185097386U, // t2STR_PRE
    3611             :     9075882U,   // t2STRi12
    3612             :     556202U,    // t2STRi8
    3613             :     9067690U,   // t2STRs
    3614             :     9485503U,   // t2SUBS_PC_LR
    3615             :     9050380U,   // t2SUBri
    3616             :     556549U,    // t2SUBri12
    3617             :     9050380U,   // t2SUBrr
    3618             :     9079052U,   // t2SUBrs
    3619             :     546920U,    // t2SXTAB
    3620             :     546545U,    // t2SXTAB16
    3621             :     547393U,    // t2SXTAH
    3622             :     9074944U,   // t2SXTB
    3623             :     554753U,    // t2SXTB16
    3624             :     9075339U,   // t2SXTH
    3625             :     923285642U, // t2TBB
    3626             :     940063309U, // t2TBH
    3627             :     9059413U,   // t2TEQri
    3628             :     9059413U,   // t2TEQrr
    3629             :     9075797U,   // t2TEQrs
    3630             :     956872922U, // t2TSB
    3631             :     9059742U,   // t2TSTri
    3632             :     9059742U,   // t2TSTrr
    3633             :     9076126U,   // t2TSTrs
    3634             :     540070U,    // t2TT
    3635             :     538719U,    // t2TTA
    3636             :     539933U,    // t2TTAT
    3637             :     540088U,    // t2TTT
    3638             :     554836U,    // t2UADD16
    3639             :     554937U,    // t2UADD8
    3640             :     556761U,    // t2UASX
    3641             :     548533U,    // t2UBFX
    3642             :     828760U,    // t2UDF
    3643             :     556533U,    // t2UDIV
    3644             :     554813U,    // t2UHADD16
    3645             :     554917U,    // t2UHADD8
    3646             :     556744U,    // t2UHASX
    3647             :     556603U,    // t2UHSAX
    3648             :     554775U,    // t2UHSUB16
    3649             :     554878U,    // t2UHSUB8
    3650             :     580307U,    // t2UMAAL
    3651             :     580340U,    // t2UMLAL
    3652             :     547686U,    // t2UMULL
    3653             :     554821U,    // t2UQADD16
    3654             :     554924U,    // t2UQADD8
    3655             :     556750U,    // t2UQASX
    3656             :     556609U,    // t2UQSAX
    3657             :     554783U,    // t2UQSUB16
    3658             :     554885U,    // t2UQSUB8
    3659             :     554904U,    // t2USAD8
    3660             :     546672U,    // t2USADA8
    3661             :     548120U,    // t2USAT
    3662             :     554850U,    // t2USAT16
    3663             :     556620U,    // t2USAX
    3664             :     554798U,    // t2USUB16
    3665             :     554898U,    // t2USUB8
    3666             :     546926U,    // t2UXTAB
    3667             :     546553U,    // t2UXTAB16
    3668             :     547399U,    // t2UXTAH
    3669             :     9074949U,   // t2UXTB
    3670             :     554760U,    // t2UXTB16
    3671             :     9075344U,   // t2UXTH
    3672             :     982776143U, // tADC
    3673             :     555404U,    // tADDhirr
    3674             :     177469836U, // tADDi3
    3675             :     982776204U, // tADDi8
    3676             :     555404U,    // tADDrSP
    3677             :     555404U,    // tADDrSPi
    3678             :     177469836U, // tADDrr
    3679             :     555404U,    // tADDspi
    3680             :     555404U,    // tADDspr
    3681             :     539748U,    // tADR
    3682             :     982776257U, // tAND
    3683             :     177470610U, // tASRri
    3684             :     982776978U, // tASRrr
    3685             :     1074313318U,        // tB
    3686             :     982776156U, // tBIC
    3687             :     828747U,    // tBKPT
    3688             :     1242090242U,        // tBL
    3689             :     1242090730U,        // tBLXNSr
    3690             :     1242091194U,        // tBLXi
    3691             :     1242091194U,        // tBLXr
    3692             :     1074314838U,        // tBX
    3693             :     1074314469U,        // tBXNS
    3694             :     1074313318U,        // tBcc
    3695             :     1258988932U,        // tCBNZ
    3696             :     1258988927U,        // tCBZ
    3697             :     539605U,    // tCMNz
    3698             :     539705U,    // tCMPhir
    3699             :     539705U,    // tCMPi8
    3700             :     539705U,    // tCMPr
    3701             :     1308687603U,        // tCPS
    3702             :     982776960U, // tEOR
    3703             :     1074314632U,        // tHINT
    3704             :     828742U,    // tHLT
    3705             :     0U, // tInt_WIN_eh_sjlj_longjmp
    3706             :     0U, // tInt_eh_sjlj_longjmp
    3707             :     0U, // tInt_eh_sjlj_setjmp
    3708             :     572322U,    // tLDMIA
    3709             :     555202U,    // tLDRBi
    3710             :     555202U,    // tLDRBr
    3711             :     555623U,    // tLDRHi
    3712             :     555623U,    // tLDRHr
    3713             :     555220U,    // tLDRSB
    3714             :     555642U,    // tLDRSH
    3715             :     556137U,    // tLDRi
    3716             :     539753U,    // tLDRpci
    3717             :     556137U,    // tLDRr
    3718             :     556137U,    // tLDRspi
    3719             :     177470331U, // tLSLri
    3720             :     982776699U, // tLSLrr
    3721             :     177470617U, // tLSRri
    3722             :     982776985U, // tLSRrr
    3723             :     1258988864U,        // tMOVSr
    3724             :     446037504U, // tMOVi8
    3725             :     540160U,    // tMOVr
    3726             :     177470347U, // tMUL
    3727             :     446037017U, // tMVN
    3728             :     982776974U, // tORR
    3729             :     0U, // tPICADD
    3730             :     990432317U, // tPOP
    3731             :     990431872U, // tPUSH
    3732             :     540140U,    // tREV
    3733             :     538474U,    // tREV16
    3734             :     539269U,    // tREVSH
    3735             :     982776964U, // tROR
    3736             :     429258966U, // tRSB
    3737             :     982776139U, // tSBC
    3738             :     91390U,     // tSETEND
    3739             :     2332572584U,        // tSTMIA_UPD
    3740             :     555207U,    // tSTRBi
    3741             :     555207U,    // tSTRBr
    3742             :     555628U,    // tSTRHi
    3743             :     555628U,    // tSTRHr
    3744             :     556202U,    // tSTRi
    3745             :     556202U,    // tSTRr
    3746             :     556202U,    // tSTRspi
    3747             :     177469708U, // tSUBi3
    3748             :     982776076U, // tSUBi8
    3749             :     177469708U, // tSUBrr
    3750             :     555276U,    // tSUBspi
    3751             :     1074313589U,        // tSVC
    3752             :     538880U,    // tSXTB
    3753             :     539275U,    // tSXTH
    3754             :     3114U,      // tTRAP
    3755             :     540062U,    // tTST
    3756             :     828678U,    // tUDF
    3757             :     538885U,    // tUXTB
    3758             :     539280U,    // tUXTH
    3759             :     1658U,      // t__brkdiv0
    3760             :   };
    3761             : 
    3762             :   static const uint32_t OpInfo1[] = {
    3763             :     0U, // PHI
    3764             :     0U, // INLINEASM
    3765             :     0U, // CFI_INSTRUCTION
    3766             :     0U, // EH_LABEL
    3767             :     0U, // GC_LABEL
    3768             :     0U, // ANNOTATION_LABEL
    3769             :     0U, // KILL
    3770             :     0U, // EXTRACT_SUBREG
    3771             :     0U, // INSERT_SUBREG
    3772             :     0U, // IMPLICIT_DEF
    3773             :     0U, // SUBREG_TO_REG
    3774             :     0U, // COPY_TO_REGCLASS
    3775             :     0U, // DBG_VALUE
    3776             :     0U, // DBG_LABEL
    3777             :     0U, // REG_SEQUENCE
    3778             :     0U, // COPY
    3779             :     0U, // BUNDLE
    3780             :     0U, // LIFETIME_START
    3781             :     0U, // LIFETIME_END
    3782             :     0U, // STACKMAP
    3783             :     0U, // FENTRY_CALL
    3784             :     0U, // PATCHPOINT
    3785             :     0U, // LOAD_STACK_GUARD
    3786             :     0U, // STATEPOINT
    3787             :     0U, // LOCAL_ESCAPE
    3788             :     0U, // FAULTING_OP
    3789             :     0U, // PATCHABLE_OP
    3790             :     0U, // PATCHABLE_FUNCTION_ENTER
    3791             :     0U, // PATCHABLE_RET
    3792             :     0U, // PATCHABLE_FUNCTION_EXIT
    3793             :     0U, // PATCHABLE_TAIL_CALL
    3794             :     0U, // PATCHABLE_EVENT_CALL
    3795             :     0U, // PATCHABLE_TYPED_EVENT_CALL
    3796             :     0U, // ICALL_BRANCH_FUNNEL
    3797             :     0U, // G_ADD
    3798             :     0U, // G_SUB
    3799             :     0U, // G_MUL
    3800             :     0U, // G_SDIV
    3801             :     0U, // G_UDIV
    3802             :     0U, // G_SREM
    3803             :     0U, // G_UREM
    3804             :     0U, // G_AND
    3805             :     0U, // G_OR
    3806             :     0U, // G_XOR
    3807             :     0U, // G_IMPLICIT_DEF
    3808             :     0U, // G_PHI
    3809             :     0U, // G_FRAME_INDEX
    3810             :     0U, // G_GLOBAL_VALUE
    3811             :     0U, // G_EXTRACT
    3812             :     0U, // G_UNMERGE_VALUES
    3813             :     0U, // G_INSERT
    3814             :     0U, // G_MERGE_VALUES
    3815             :     0U, // G_PTRTOINT
    3816             :     0U, // G_INTTOPTR
    3817             :     0U, // G_BITCAST
    3818             :     0U, // G_INTRINSIC_TRUNC
    3819             :     0U, // G_INTRINSIC_ROUND
    3820             :     0U, // G_LOAD
    3821             :     0U, // G_SEXTLOAD
    3822             :     0U, // G_ZEXTLOAD
    3823             :     0U, // G_STORE
    3824             :     0U, // G_ATOMIC_CMPXCHG_WITH_SUCCESS
    3825             :     0U, // G_ATOMIC_CMPXCHG
    3826             :     0U, // G_ATOMICRMW_XCHG
    3827             :     0U, // G_ATOMICRMW_ADD
    3828             :     0U, // G_ATOMICRMW_SUB
    3829             :     0U, // G_ATOMICRMW_AND
    3830             :     0U, // G_ATOMICRMW_NAND
    3831             :     0U, // G_ATOMICRMW_OR
    3832             :     0U, // G_ATOMICRMW_XOR
    3833             :     0U, // G_ATOMICRMW_MAX
    3834             :     0U, // G_ATOMICRMW_MIN
    3835             :     0U, // G_ATOMICRMW_UMAX
    3836             :     0U, // G_ATOMICRMW_UMIN
    3837             :     0U, // G_BRCOND
    3838             :     0U, // G_BRINDIRECT
    3839             :     0U, // G_INTRINSIC
    3840             :     0U, // G_INTRINSIC_W_SIDE_EFFECTS
    3841             :     0U, // G_ANYEXT
    3842             :     0U, // G_TRUNC
    3843             :     0U, // G_CONSTANT
    3844             :     0U, // G_FCONSTANT
    3845             :     0U, // G_VASTART
    3846             :     0U, // G_VAARG
    3847             :     0U, // G_SEXT
    3848             :     0U, // G_ZEXT
    3849             :     0U, // G_SHL
    3850             :     0U, // G_LSHR
    3851             :     0U, // G_ASHR
    3852             :     0U, // G_ICMP
    3853             :     0U, // G_FCMP
    3854             :     0U, // G_SELECT
    3855             :     0U, // G_UADDO
    3856             :     0U, // G_UADDE
    3857             :     0U, // G_USUBO
    3858             :     0U, // G_USUBE
    3859             :     0U, // G_SADDO
    3860             :     0U, // G_SADDE
    3861             :     0U, // G_SSUBO
    3862             :     0U, // G_SSUBE
    3863             :     0U, // G_UMULO
    3864             :     0U, // G_SMULO
    3865             :     0U, // G_UMULH
    3866             :     0U, // G_SMULH
    3867             :     0U, // G_FADD
    3868             :     0U, // G_FSUB
    3869             :     0U, // G_FMUL
    3870             :     0U, // G_FMA
    3871             :     0U, // G_FDIV
    3872             :     0U, // G_FREM
    3873             :     0U, // G_FPOW
    3874             :     0U, // G_FEXP
    3875             :     0U, // G_FEXP2
    3876             :     0U, // G_FLOG
    3877             :     0U, // G_FLOG2
    3878             :     0U, // G_FNEG
    3879             :     0U, // G_FPEXT
    3880             :     0U, // G_FPTRUNC
    3881             :     0U, // G_FPTOSI
    3882             :     0U, // G_FPTOUI
    3883             :     0U, // G_SITOFP
    3884             :     0U, // G_UITOFP
    3885             :     0U, // G_FABS
    3886             :     0U, // G_GEP
    3887             :     0U, // G_PTR_MASK
    3888             :     0U, // G_BR
    3889             :     0U, // G_INSERT_VECTOR_ELT
    3890             :     0U, // G_EXTRACT_VECTOR_ELT
    3891             :     0U, // G_SHUFFLE_VECTOR
    3892             :     0U, // G_CTTZ
    3893             :     0U, // G_CTTZ_ZERO_UNDEF
    3894             :     0U, // G_CTLZ
    3895             :     0U, // G_CTLZ_ZERO_UNDEF
    3896             :     0U, // G_CTPOP
    3897             :     0U, // G_BSWAP
    3898             :     0U, // G_ADDRSPACE_CAST
    3899             :     0U, // G_BLOCK_ADDR
    3900             :     0U, // ABS
    3901             :     0U, // ADDSri
    3902             :     0U, // ADDSrr
    3903             :     0U, // ADDSrsi
    3904             :     0U, // ADDSrsr
    3905             :     0U, // ADJCALLSTACKDOWN
    3906             :     0U, // ADJCALLSTACKUP
    3907             :     0U, // ASRi
    3908             :     0U, // ASRr
    3909             :     0U, // B
    3910             :     0U, // BCCZi64
    3911             :     0U, // BCCi64
    3912             :     0U, // BMOVPCB_CALL
    3913             :     0U, // BMOVPCRX_CALL
    3914             :     0U, // BR_JTadd
    3915             :     0U, // BR_JTm_i12
    3916             :     0U, // BR_JTm_rs
    3917             :     0U, // BR_JTr
    3918             :     0U, // BX_CALL
    3919             :     0U, // CMP_SWAP_16
    3920             :     0U, // CMP_SWAP_32
    3921             :     0U, // CMP_SWAP_64
    3922             :     0U, // CMP_SWAP_8
    3923             :     0U, // CONSTPOOL_ENTRY
    3924             :     0U, // COPY_STRUCT_BYVAL_I32
    3925             :     0U, // CompilerBarrier
    3926             :     0U, // ITasm
    3927             :     0U, // Int_eh_sjlj_dispatchsetup
    3928             :     0U, // Int_eh_sjlj_longjmp
    3929             :     0U, // Int_eh_sjlj_setjmp
    3930             :     0U, // Int_eh_sjlj_setjmp_nofp
    3931             :     0U, // Int_eh_sjlj_setup_dispatch
    3932             :     0U, // JUMPTABLE_ADDRS
    3933             :     0U, // JUMPTABLE_INSTS
    3934             :     0U, // JUMPTABLE_TBB
    3935             :     0U, // JUMPTABLE_TBH
    3936             :     0U, // LDMIA_RET
    3937             :     8U, // LDRBT_POST
    3938             :     1024U,      // LDRConstPool
    3939             :     0U, // LDRLIT_ga_abs
    3940             :     0U, // LDRLIT_ga_pcrel
    3941             :     0U, // LDRLIT_ga_pcrel_ldr
    3942             :     8U, // LDRT_POST
    3943             :     0U, // LEApcrel
    3944             :     0U, // LEApcrelJT
    3945             :     0U, // LSLi
    3946             :     0U, // LSLr
    3947             :     0U, // LSRi
    3948             :     0U, // LSRr
    3949             :     0U, // MEMCPY
    3950             :     0U, // MLAv5
    3951             :     0U, // MOVCCi
    3952             :     0U, // MOVCCi16
    3953             :     0U, // MOVCCi32imm
    3954             :     0U, // MOVCCr
    3955             :     0U, // MOVCCsi
    3956             :     0U, // MOVCCsr
    3957             :     0U, // MOVPCRX
    3958             :     0U, // MOVTi16_ga_pcrel
    3959             :     0U, // MOV_ga_pcrel
    3960             :     0U, // MOV_ga_pcrel_ldr
    3961             :     0U, // MOVi16_ga_pcrel
    3962             :     0U, // MOVi32imm
    3963             :     0U, // MOVsra_flag
    3964             :     0U, // MOVsrl_flag
    3965             :     0U, // MULv5
    3966             :     0U, // MVNCCi
    3967             :     0U, // PICADD
    3968             :     0U, // PICLDR
    3969             :     0U, // PICLDRB
    3970             :     0U, // PICLDRH
    3971             :     0U, // PICLDRSB
    3972             :     0U, // PICLDRSH
    3973             :     0U, // PICSTR
    3974             :     0U, // PICSTRB
    3975             :     0U, // PICSTRH
    3976             :     0U, // RORi
    3977             :     0U, // RORr
    3978             :     0U, // RRX
    3979             :     1024U,      // RRXi
    3980             :     0U, // RSBSri
    3981             :     0U, // RSBSrsi
    3982             :     0U, // RSBSrsr
    3983             :     0U, // SMLALv5
    3984             :     0U, // SMULLv5
    3985             :     0U, // SPACE
    3986             :     8U, // STRBT_POST
    3987             :     0U, // STRBi_preidx
    3988             :     0U, // STRBr_preidx
    3989             :     0U, // STRH_preidx
    3990             :     8U, // STRT_POST
    3991             :     0U, // STRi_preidx
    3992             :     0U, // STRr_preidx
    3993             :     0U, // SUBS_PC_LR
    3994             :     0U, // SUBSri
    3995             :     0U, // SUBSrr
    3996             :     0U, // SUBSrsi
    3997             :     0U, // SUBSrsr
    3998             :     0U, // TAILJMPd
    3999             :     0U, // TAILJMPr
    4000             :     0U, // TAILJMPr4
    4001             :     0U, // TCRETURNdi
    4002             :     0U, // TCRETURNri
    4003             :     0U, // TPsoft
    4004             :     0U, // UMLALv5
    4005             :     0U, // UMULLv5
    4006             :     1040U,      // VLD1LNdAsm_16
    4007             :     1040U,      // VLD1LNdAsm_32
    4008             :     1040U,      // VLD1LNdAsm_8
    4009             :     2064U,      // VLD1LNdWB_fixed_Asm_16
    4010             :     2064U,      // VLD1LNdWB_fixed_Asm_32
    4011             :     2064U,      // VLD1LNdWB_fixed_Asm_8
    4012             :     32784U,     // VLD1LNdWB_register_Asm_16
    4013             :     32784U,     // VLD1LNdWB_register_Asm_32
    4014             :     32784U,     // VLD1LNdWB_register_Asm_8
    4015             :     1040U,      // VLD2LNdAsm_16
    4016             :     1040U,      // VLD2LNdAsm_32
    4017             :     1040U,      // VLD2LNdAsm_8
    4018             :     2064U,      // VLD2LNdWB_fixed_Asm_16
    4019             :     2064U,      // VLD2LNdWB_fixed_Asm_32
    4020             :     2064U,      // VLD2LNdWB_fixed_Asm_8
    4021             :     32784U,     // VLD2LNdWB_register_Asm_16
    4022             :     32784U,     // VLD2LNdWB_register_Asm_32
    4023             :     32784U,     // VLD2LNdWB_register_Asm_8
    4024             :     1040U,      // VLD2LNqAsm_16
    4025             :     1040U,      // VLD2LNqAsm_32
    4026             :     2064U,      // VLD2LNqWB_fixed_Asm_16
    4027             :     2064U,      // VLD2LNqWB_fixed_Asm_32
    4028             :     32784U,     // VLD2LNqWB_register_Asm_16
    4029             :     32784U,     // VLD2LNqWB_register_Asm_32
    4030             :     0U, // VLD3DUPdAsm_16
    4031             :     0U, // VLD3DUPdAsm_32
    4032             :     0U, // VLD3DUPdAsm_8
    4033             :     0U, // VLD3DUPdWB_fixed_Asm_16
    4034             :     0U, // VLD3DUPdWB_fixed_Asm_32
    4035             :     0U, // VLD3DUPdWB_fixed_Asm_8
    4036             :     1048U,      // VLD3DUPdWB_register_Asm_16
    4037             :     1048U,      // VLD3DUPdWB_register_Asm_32
    4038             :     1048U,      // VLD3DUPdWB_register_Asm_8
    4039             :     0U, // VLD3DUPqAsm_16
    4040             :     0U, // VLD3DUPqAsm_32
    4041             :     0U, // VLD3DUPqAsm_8
    4042             :     0U, // VLD3DUPqWB_fixed_Asm_16
    4043             :     0U, // VLD3DUPqWB_fixed_Asm_32
    4044             :     0U, // VLD3DUPqWB_fixed_Asm_8
    4045             :     1048U,      // VLD3DUPqWB_register_Asm_16
    4046             :     1048U,      // VLD3DUPqWB_register_Asm_32
    4047             :     1048U,      // VLD3DUPqWB_register_Asm_8
    4048             :     1040U,      // VLD3LNdAsm_16
    4049             :     1040U,      // VLD3LNdAsm_32
    4050             :     1040U,      // VLD3LNdAsm_8
    4051             :     2064U,      // VLD3LNdWB_fixed_Asm_16
    4052             :     2064U,      // VLD3LNdWB_fixed_Asm_32
    4053             :     2064U,      // VLD3LNdWB_fixed_Asm_8
    4054             :     32784U,     // VLD3LNdWB_register_Asm_16
    4055             :     32784U,     // VLD3LNdWB_register_Asm_32
    4056             :     32784U,     // VLD3LNdWB_register_Asm_8
    4057             :     1040U,      // VLD3LNqAsm_16
    4058             :     1040U,      // VLD3LNqAsm_32
    4059             :     2064U,      // VLD3LNqWB_fixed_Asm_16
    4060             :     2064U,      // VLD3LNqWB_fixed_Asm_32
    4061             :     32784U,     // VLD3LNqWB_register_Asm_16
    4062             :     32784U,     // VLD3LNqWB_register_Asm_32
    4063             :     32U,        // VLD3dAsm_16
    4064             :     32U,        // VLD3dAsm_32
    4065             :     32U,        // VLD3dAsm_8
    4066             :     40U,        // VLD3dWB_fixed_Asm_16
    4067             :     40U,        // VLD3dWB_fixed_Asm_32
    4068             :     40U,        // VLD3dWB_fixed_Asm_8
    4069             :     68656U,     // VLD3dWB_register_Asm_16
    4070             :     68656U,     // VLD3dWB_register_Asm_32
    4071             :     68656U,     // VLD3dWB_register_Asm_8
    4072             :     0U, // VLD3qAsm_16
    4073             :     0U, // VLD3qAsm_32
    4074             :     0U, // VLD3qAsm_8
    4075             :     0U, // VLD3qWB_fixed_Asm_16
    4076             :     0U, // VLD3qWB_fixed_Asm_32
    4077             :     0U, // VLD3qWB_fixed_Asm_8
    4078             :     1048U,      // VLD3qWB_register_Asm_16
    4079             :     1048U,      // VLD3qWB_register_Asm_32
    4080             :     1048U,      // VLD3qWB_register_Asm_8
    4081             :     0U, // VLD4DUPdAsm_16
    4082             :     0U, // VLD4DUPdAsm_32
    4083             :     0U, // VLD4DUPdAsm_8
    4084             :     0U, // VLD4DUPdWB_fixed_Asm_16
    4085             :     0U, // VLD4DUPdWB_fixed_Asm_32
    4086             :     0U, // VLD4DUPdWB_fixed_Asm_8
    4087             :     1048U,      // VLD4DUPdWB_register_Asm_16
    4088             :     1048U,      // VLD4DUPdWB_register_Asm_32
    4089             :     1048U,      // VLD4DUPdWB_register_Asm_8
    4090             :     0U, // VLD4DUPqAsm_16
    4091             :     0U, // VLD4DUPqAsm_32
    4092             :     0U, // VLD4DUPqAsm_8
    4093             :     0U, // VLD4DUPqWB_fixed_Asm_16
    4094             :     0U, // VLD4DUPqWB_fixed_Asm_32
    4095             :     0U, // VLD4DUPqWB_fixed_Asm_8
    4096             :     1048U,      // VLD4DUPqWB_register_Asm_16
    4097             :     1048U,      // VLD4DUPqWB_register_Asm_32
    4098             :     1048U,      // VLD4DUPqWB_register_Asm_8
    4099             :     1040U,      // VLD4LNdAsm_16
    4100             :     1040U,      // VLD4LNdAsm_32
    4101             :     1040U,      // VLD4LNdAsm_8
    4102             :     2064U,      // VLD4LNdWB_fixed_Asm_16
    4103             :     2064U,      // VLD4LNdWB_fixed_Asm_32
    4104             :     2064U,      // VLD4LNdWB_fixed_Asm_8
    4105             :     32784U,     // VLD4LNdWB_register_Asm_16
    4106             :     32784U,     // VLD4LNdWB_register_Asm_32
    4107             :     32784U,     // VLD4LNdWB_register_Asm_8
    4108             :     1040U,      // VLD4LNqAsm_16
    4109             :     1040U,      // VLD4LNqAsm_32
    4110             :     2064U,      // VLD4LNqWB_fixed_Asm_16
    4111             :     2064U,      // VLD4LNqWB_fixed_Asm_32
    4112             :     32784U,     // VLD4LNqWB_register_Asm_16
    4113             :     32784U,     // VLD4LNqWB_register_Asm_32
    4114             :     32U,        // VLD4dAsm_16
    4115             :     32U,        // VLD4dAsm_32
    4116             :     32U,        // VLD4dAsm_8
    4117             :     40U,        // VLD4dWB_fixed_Asm_16
    4118             :     40U,        // VLD4dWB_fixed_Asm_32
    4119             :     40U,        // VLD4dWB_fixed_Asm_8
    4120             :     68656U,     // VLD4dWB_register_Asm_16
    4121             :     68656U,     // VLD4dWB_register_Asm_32
    4122             :     68656U,     // VLD4dWB_register_Asm_8
    4123             :     0U, // VLD4qAsm_16
    4124             :     0U, // VLD4qAsm_32
    4125             :     0U, // VLD4qAsm_8
    4126             :     0U, // VLD4qWB_fixed_Asm_16
    4127             :     0U, // VLD4qWB_fixed_Asm_32
    4128             :     0U, // VLD4qWB_fixed_Asm_8
    4129             :     1048U,      // VLD4qWB_register_Asm_16
    4130             :     1048U,      // VLD4qWB_register_Asm_32
    4131             :     1048U,      // VLD4qWB_register_Asm_8
    4132             :     0U, // VMOVD0
    4133             :     0U, // VMOVDcc
    4134             :     0U, // VMOVQ0
    4135             :     0U, // VMOVScc
    4136             :     1040U,      // VST1LNdAsm_16
    4137             :     1040U,      // VST1LNdAsm_32
    4138             :     1040U,      // VST1LNdAsm_8
    4139             :     2064U,      // VST1LNdWB_fixed_Asm_16
    4140             :     2064U,      // VST1LNdWB_fixed_Asm_32
    4141             :     2064U,      // VST1LNdWB_fixed_Asm_8
    4142             :     32784U,     // VST1LNdWB_register_Asm_16
    4143             :     32784U,     // VST1LNdWB_register_Asm_32
    4144             :     32784U,     // VST1LNdWB_register_Asm_8
    4145             :     1040U,      // VST2LNdAsm_16
    4146             :     1040U,      // VST2LNdAsm_32
    4147             :     1040U,      // VST2LNdAsm_8
    4148             :     2064U,      // VST2LNdWB_fixed_Asm_16
    4149             :     2064U,      // VST2LNdWB_fixed_Asm_32
    4150             :     2064U,      // VST2LNdWB_fixed_Asm_8
    4151             :     32784U,     // VST2LNdWB_register_Asm_16
    4152             :     32784U,     // VST2LNdWB_register_Asm_32
    4153             :     32784U,     // VST2LNdWB_register_Asm_8
    4154             :     1040U,      // VST2LNqAsm_16
    4155             :     1040U,      // VST2LNqAsm_32
    4156             :     2064U,      // VST2LNqWB_fixed_Asm_16
    4157             :     2064U,      // VST2LNqWB_fixed_Asm_32
    4158             :     32784U,     // VST2LNqWB_register_Asm_16
    4159             :     32784U,     // VST2LNqWB_register_Asm_32
    4160             :     1040U,      // VST3LNdAsm_16
    4161             :     1040U,      // VST3LNdAsm_32
    4162             :     1040U,      // VST3LNdAsm_8
    4163             :     2064U,      // VST3LNdWB_fixed_Asm_16
    4164             :     2064U,      // VST3LNdWB_fixed_Asm_32
    4165             :     2064U,      // VST3LNdWB_fixed_Asm_8
    4166             :     32784U,     // VST3LNdWB_register_Asm_16
    4167             :     32784U,     // VST3LNdWB_register_Asm_32
    4168             :     32784U,     // VST3LNdWB_register_Asm_8
    4169             :     1040U,      // VST3LNqAsm_16
    4170             :     1040U,      // VST3LNqAsm_32
    4171             :     2064U,      // VST3LNqWB_fixed_Asm_16
    4172             :     2064U,      // VST3LNqWB_fixed_Asm_32
    4173             :     32784U,     // VST3LNqWB_register_Asm_16
    4174             :     32784U,     // VST3LNqWB_register_Asm_32
    4175             :     32U,        // VST3dAsm_16
    4176             :     32U,        // VST3dAsm_32
    4177             :     32U,        // VST3dAsm_8
    4178             :     40U,        // VST3dWB_fixed_Asm_16
    4179             :     40U,        // VST3dWB_fixed_Asm_32
    4180             :     40U,        // VST3dWB_fixed_Asm_8
    4181             :     68656U,     // VST3dWB_register_Asm_16
    4182             :     68656U,     // VST3dWB_register_Asm_32
    4183             :     68656U,     // VST3dWB_register_Asm_8
    4184             :     0U, // VST3qAsm_16
    4185             :     0U, // VST3qAsm_32
    4186             :     0U, // VST3qAsm_8
    4187             :     0U, // VST3qWB_fixed_Asm_16
    4188             :     0U, // VST3qWB_fixed_Asm_32
    4189             :     0U, // VST3qWB_fixed_Asm_8
    4190             :     1048U,      // VST3qWB_register_Asm_16
    4191             :     1048U,      // VST3qWB_register_Asm_32
    4192             :     1048U,      // VST3qWB_register_Asm_8
    4193             :     1040U,      // VST4LNdAsm_16
    4194             :     1040U,      // VST4LNdAsm_32
    4195             :     1040U,      // VST4LNdAsm_8
    4196             :     2064U,      // VST4LNdWB_fixed_Asm_16
    4197             :     2064U,      // VST4LNdWB_fixed_Asm_32
    4198             :     2064U,      // VST4LNdWB_fixed_Asm_8
    4199             :     32784U,     // VST4LNdWB_register_Asm_16
    4200             :     32784U,     // VST4LNdWB_register_Asm_32
    4201             :     32784U,     // VST4LNdWB_register_Asm_8
    4202             :     1040U,      // VST4LNqAsm_16
    4203             :     1040U,      // VST4LNqAsm_32
    4204             :     2064U,      // VST4LNqWB_fixed_Asm_16
    4205             :     2064U,      // VST4LNqWB_fixed_Asm_32
    4206             :     32784U,     // VST4LNqWB_register_Asm_16
    4207             :     32784U,     // VST4LNqWB_register_Asm_32
    4208             :     32U,        // VST4dAsm_16
    4209             :     32U,        // VST4dAsm_32
    4210             :     32U,        // VST4dAsm_8
    4211             :     40U,        // VST4dWB_fixed_Asm_16
    4212             :     40U,        // VST4dWB_fixed_Asm_32
    4213             :     40U,        // VST4dWB_fixed_Asm_8
    4214             :     68656U,     // VST4dWB_register_Asm_16
    4215             :     68656U,     // VST4dWB_register_Asm_32
    4216             :     68656U,     // VST4dWB_register_Asm_8
    4217             :     0U, // VST4qAsm_16
    4218             :     0U, // VST4qAsm_32
    4219             :     0U, // VST4qAsm_8
    4220             :     0U, // VST4qWB_fixed_Asm_16
    4221             :     0U, // VST4qWB_fixed_Asm_32
    4222             :     0U, // VST4qWB_fixed_Asm_8
    4223             :     1048U,      // VST4qWB_register_Asm_16
    4224             :     1048U,      // VST4qWB_register_Asm_32
    4225             :     1048U,      // VST4qWB_register_Asm_8
    4226             :     0U, // WIN__CHKSTK
    4227             :     0U, // WIN__DBZCHK
    4228             :     0U, // t2ABS
    4229             :     0U, // t2ADDSri
    4230             :     0U, // t2ADDSrr
    4231             :     0U, // t2ADDSrs
    4232             :     0U, // t2BR_JT
    4233             :     0U, // t2LDMIA_RET
    4234             :     1024U,      // t2LDRBpcrel
    4235             :     1024U,      // t2LDRConstPool
    4236             :     1024U,      // t2LDRHpcrel
    4237             :     1024U,      // t2LDRSBpcrel
    4238             :     1024U,      // t2LDRSHpcrel
    4239             :     0U, // t2LDRpci_pic
    4240             :     1024U,      // t2LDRpcrel
    4241             :     0U, // t2LEApcrel
    4242             :     0U, // t2LEApcrelJT
    4243             :     0U, // t2MOVCCasr
    4244             :     0U, // t2MOVCCi
    4245             :     0U, // t2MOVCCi16
    4246             :     0U, // t2MOVCCi32imm
    4247             :     0U, // t2MOVCClsl
    4248             :     0U, // t2MOVCClsr
    4249             :     0U, // t2MOVCCr
    4250             :     0U, // t2MOVCCror
    4251             :     56U,        // t2MOVSsi
    4252             :     64U,        // t2MOVSsr
    4253             :     0U, // t2MOVTi16_ga_pcrel
    4254             :     0U, // t2MOV_ga_pcrel
    4255             :     0U, // t2MOVi16_ga_pcrel
    4256             :     0U, // t2MOVi32imm
    4257             :     56U,        // t2MOVsi
    4258             :     64U,        // t2MOVsr
    4259             :     0U, // t2MVNCCi
    4260             :     0U, // t2RSBSri
    4261             :     0U, // t2RSBSrs
    4262             :     0U, // t2STRB_preidx
    4263             :     0U, // t2STRH_preidx
    4264             :     0U, // t2STR_preidx
    4265             :     0U, // t2SUBSri
    4266             :     0U, // t2SUBSrr
    4267             :     0U, // t2SUBSrs
    4268             :     0U, // t2TBB_JT
    4269             :     0U, // t2TBH_JT
    4270             :     0U, // tADCS
    4271             :     0U, // tADDSi3
    4272             :     0U, // tADDSi8
    4273             :     0U, // tADDSrr
    4274             :     0U, // tADDframe
    4275             :     0U, // tADJCALLSTACKDOWN
    4276             :     0U, // tADJCALLSTACKUP
    4277             :     0U, // tBRIND
    4278             :     0U, // tBR_JTr
    4279             :     0U, // tBX_CALL
    4280             :     0U, // tBX_RET
    4281             :     0U, // tBX_RET_vararg
    4282             :     0U, // tBfar
    4283             :     0U, // tLDMIA_UPD
    4284             :     1024U,      // tLDRConstPool
    4285             :     0U, // tLDRLIT_ga_abs
    4286             :     0U, // tLDRLIT_ga_pcrel
    4287             :     0U, // tLDR_postidx
    4288             :     0U, // tLDRpci_pic
    4289             :     0U, // tLEApcrel
    4290             :     0U, // tLEApcrelJT
    4291             :     0U, // tMOVCCr_pseudo
    4292             :     0U, // tPOP_RET
    4293             :     0U, // tSBCS
    4294             :     0U, // tSUBSi3
    4295             :     0U, // tSUBSi8
    4296             :     0U, // tSUBSrr
    4297             :     0U, // tTAILJMPd
    4298             :     0U, // tTAILJMPdND
    4299             :     0U, // tTAILJMPr
    4300             :     0U, // tTBB_JT
    4301             :     0U, // tTBH_JT
    4302             :     0U, // tTPsoft
    4303             :     98304U,     // ADCri
    4304             :     0U, // ADCrr
    4305             :     131072U,    // ADCrsi
    4306             :     0U, // ADCrsr
    4307             :     98304U,     // ADDri
    4308             :     0U, // ADDrr
    4309             :     131072U,    // ADDrsi
    4310             :     0U, // ADDrsr
    4311             :     72U,        // ADR
    4312             :     0U, // AESD
    4313             :     0U, // AESE
    4314             :     0U, // AESIMC
    4315             :     0U, // AESMC
    4316             :     98304U,     // ANDri
    4317             :     0U, // ANDrr
    4318             :     131072U,    // ANDrsi
    4319             :     0U, // ANDrsr
    4320             :     80U,        // BFC
    4321             :     163928U,    // BFI
    4322             :     98304U,     // BICri
    4323             :     0U, // BICrr
    4324             :     131072U,    // BICrsi
    4325             :     0U, // BICrsr
    4326             :     0U, // BKPT
    4327             :     0U, // BL
    4328             :     0U, // BLX
    4329             :     0U, // BLX_pred
    4330             :     0U, // BLXi
    4331             :     0U, // BL_pred
    4332             :     0U, // BX
    4333             :     0U, // BXJ
    4334             :     0U, // BX_RET
    4335             :     0U, // BX_pred
    4336             :     0U, // Bcc
    4337             :     4145U,      // CDP
    4338             :     0U, // CDP2
    4339             :     0U, // CLREX
    4340             :     1024U,      // CLZ
    4341             :     96U,        // CMNri
    4342             :     1024U,      // CMNzrr
    4343             :     104U,       // CMNzrsi
    4344             :     64U,        // CMNzrsr
    4345             :     96U,        // CMPri
    4346             :     1024U,      // CMPrr
    4347             :     104U,       // CMPrsi
    4348             :     64U,        // CMPrsr
    4349             :     0U, // CPS1p
    4350             :     0U, // CPS2p
    4351             :     1112U,      // CPS3p
    4352             :     1112U,      // CRC32B
    4353             :     1112U,      // CRC32CB
    4354             :     1112U,      // CRC32CH
    4355             :     1112U,      // CRC32CW
    4356             :     1112U,      // CRC32H
    4357             :     1112U,      // CRC32W
    4358             :     0U, // DBG
    4359             :     0U, // DMB
    4360             :     0U, // DSB
    4361             :     98304U,     // EORri
    4362             :     0U, // EORrr
    4363             :     131072U,    // EORrsi
    4364             :     0U, // EORrsr
    4365             :     0U, // ERET
    4366             :     1U, // FCONSTD
    4367             :     1U, // FCONSTH
    4368             :     1U, // FCONSTS
    4369             :     33U,        // FLDMXDB_UPD
    4370             :     1136U,      // FLDMXIA
    4371             :     33U,        // FLDMXIA_UPD
    4372             :     0U, // FMSTAT
    4373             :     33U,        // FSTMXDB_UPD
    4374             :     1136U,      // FSTMXIA
    4375             :     33U,        // FSTMXIA_UPD
    4376             :     0U, // HINT
    4377             :     0U, // HLT
    4378             :     0U, // HVC
    4379             :     0U, // ISB
    4380             :     8U, // LDA
    4381             :     8U, // LDAB
    4382             :     8U, // LDAEX
    4383             :     8U, // LDAEXB
    4384             :     0U, // LDAEXD
    4385             :     8U, // LDAEXH
    4386             :     8U, // LDAH
    4387             :     0U, // LDC2L_OFFSET
    4388             :     1U, // LDC2L_OPTION
    4389             :     2U, // LDC2L_POST
    4390             :     0U, // LDC2L_PRE
    4391             :     0U, // LDC2_OFFSET
    4392             :     1U, // LDC2_OPTION
    4393             :     2U, // LDC2_POST
    4394             :     0U, // LDC2_PRE
    4395             :     122U,       // LDCL_OFFSET
    4396             :     196738U,    // LDCL_OPTION
    4397             :     229506U,    // LDCL_POST
    4398             :     138U,       // LDCL_PRE
    4399             :     122U,       // LDC_OFFSET
    4400             :     196738U,    // LDC_OPTION
    4401             :     229506U,    // LDC_POST
    4402             :     138U,       // LDC_PRE
    4403             :     1136U,      // LDMDA
    4404             :     33U,        // LDMDA_UPD
    4405             :     1136U,      // LDMDB
    4406             :     33U,        // LDMDB_UPD
    4407             :     1136U,      // LDMIA
    4408             :     33U,        // LDMIA_UPD
    4409             :     1136U,      // LDMIB
    4410             :     33U,        // LDMIB_UPD
    4411             :     262272U,    // LDRBT_POST_IMM
    4412             :     262272U,    // LDRBT_POST_REG
    4413             :     262272U,    // LDRB_POST_IMM
    4414             :     262272U,    // LDRB_POST_REG
    4415             :     144U,       // LDRB_PRE_IMM
    4416             :     152U,       // LDRB_PRE_REG
    4417             :     160U,       // LDRBi12
    4418             :     168U,       // LDRBrs
    4419             :     294912U,    // LDRD
    4420             :     2424832U,   // LDRD_POST
    4421             :     360448U,    // LDRD_PRE
    4422             :     8U, // LDREX
    4423             :     8U, // LDREXB
    4424             :     0U, // LDREXD
    4425             :     8U, // LDREXH
    4426             :     176U,       // LDRH
    4427             :     393344U,    // LDRHTi
    4428             :     426112U,    // LDRHTr
    4429             :     458880U,    // LDRH_POST
    4430             :     184U,       // LDRH_PRE
    4431             :     176U,       // LDRSB
    4432             :     393344U,    // LDRSBTi
    4433             :     426112U,    // LDRSBTr
    4434             :     458880U,    // LDRSB_POST
    4435             :     184U,       // LDRSB_PRE
    4436             :     176U,       // LDRSH
    4437             :     393344U,    // LDRSHTi
    4438             :     426112U,    // LDRSHTr
    4439             :     458880U,    // LDRSH_POST
    4440             :     184U,       // LDRSH_PRE
    4441             :     262272U,    // LDRT_POST_IMM
    4442             :     262272U,    // LDRT_POST_REG
    4443             :     262272U,    // LDR_POST_IMM
    4444             :     262272U,    // LDR_POST_REG
    4445             :     144U,       // LDR_PRE_IMM
    4446             :     152U,       // LDR_PRE_REG
    4447             :     160U,       // LDRcp
    4448             :     160U,       // LDRi12
    4449             :     168U,       // LDRrs
    4450             :     4690993U,   // MCR
    4451             :     192U,       // MCR2
    4452             :     6788145U,   // MCRR
    4453             :     524312U,    // MCRR2
    4454             :     35651584U,  // MLA
    4455             :     35651584U,  // MLS
    4456             :     0U, // MOVPCLR
    4457             :     1112U,      // MOVTi16
    4458             :     96U,        // MOVi
    4459             :     1024U,      // MOVi16
    4460             :     1024U,      // MOVr
    4461             :     1024U,      // MOVr_TC
    4462             :     104U,       // MOVsi
    4463             :     64U,        // MOVsr
    4464             :     0U, // MRC
    4465             :     0U, // MRC2
    4466             :     0U, // MRRC
    4467             :     0U, // MRRC2
    4468             :     2U, // MRS
    4469             :     200U,       // MRSbanked
    4470             :     2U, // MRSsys
    4471             :     33U,        // MSR
    4472             :     0U, // MSRbanked
    4473             :     3U, // MSRi
    4474             :     0U, // MUL
    4475             :     96U,        // MVNi
    4476             :     1024U,      // MVNr
    4477             :     104U,       // MVNsi
    4478             :     64U,        // MVNsr
    4479             :     98304U,     // ORRri
    4480             :     0U, // ORRrr
    4481             :     131072U,    // ORRrsi
    4482             :     0U, // ORRrsr
    4483             :     8388608U,   // PKHBT
    4484             :     10485760U,  // PKHTB
    4485             :     0U, // PLDWi12
    4486             :     0U, // PLDWrs
    4487             :     0U, // PLDi12
    4488             :     0U, // PLDrs
    4489             :     0U, // PLIi12
    4490             :     0U, // PLIrs
    4491             :     0U, // QADD
    4492             :     0U, // QADD16
    4493             :     0U, // QADD8
    4494             :     0U, // QASX
    4495             :     0U, // QDADD
    4496             :     0U, // QDSUB
    4497             :     0U, // QSAX
    4498             :     0U, // QSUB
    4499             :     0U, // QSUB16
    4500             :     0U, // QSUB8
    4501             :     1024U,      // RBIT
    4502             :     1024U,      // REV
    4503             :     1024U,      // REV16
    4504             :     1024U,      // REVSH
    4505             :     0U, // RFEDA
    4506             :     0U, // RFEDA_UPD
    4507             :     0U, // RFEDB
    4508             :     0U, // RFEDB_UPD
    4509             :     0U, // RFEIA
    4510             :     0U, // RFEIA_UPD
    4511             :     0U, // RFEIB
    4512             :     0U, // RFEIB_UPD
    4513             :     98304U,     // RSBri
    4514             :     0U, // RSBrr
    4515             :     131072U,    // RSBrsi
    4516             :     0U, // RSBrsr
    4517             :     98304U,     // RSCri
    4518             :     0U, // RSCrr
    4519             :     131072U,    // RSCrsi
    4520             :     0U, // RSCrsr
    4521             :     0U, // SADD16
    4522             :     0U, // SADD8
    4523             :     0U, // SASX
    4524             :     0U, // SB
    4525             :     98304U,     // SBCri
    4526             :     0U, // SBCrr
    4527             :     131072U,    // SBCrsi
    4528             :     0U, // SBCrsr
    4529             :     69206016U,  // SBFX
    4530             :     0U, // SDIV
    4531             :     0U, // SEL
    4532             :     0U, // SETEND
    4533             :     0U, // SETPAN
    4534             :     1048U,      // SHA1C
    4535             :     0U, // SHA1H
    4536             :     1048U,      // SHA1M
    4537             :     1048U,      // SHA1P
    4538             :     1048U,      // SHA1SU0
    4539             :     0U, // SHA1SU1
    4540             :     1048U,      // SHA256H
    4541             :     1048U,      // SHA256H2
    4542             :     0U, // SHA256SU0
    4543             :     1048U,      // SHA256SU1
    4544             :     0U, // SHADD16
    4545             :     0U, // SHADD8
    4546             :     0U, // SHASX
    4547             :     0U, // SHSAX
    4548             :     0U, // SHSUB16
    4549             :     0U, // SHSUB8
    4550             :     0U, // SMC
    4551             :     35651584U,  // SMLABB
    4552             :     35651584U,  // SMLABT
    4553             :     35651584U,  // SMLAD
    4554             :     35651584U,  // SMLADX
    4555             :     0U, // SMLAL
    4556             :     35651584U,  // SMLALBB
    4557             :     35651584U,  // SMLALBT
    4558             :     35651584U,  // SMLALD
    4559             :     35651584U,  // SMLALDX
    4560             :     35651584U,  // SMLALTB
    4561             :     35651584U,  // SMLALTT
    4562             :     35651584U,  // SMLATB
    4563             :     35651584U,  // SMLATT
    4564             :     35651584U,  // SMLAWB
    4565             :     35651584U,  // SMLAWT
    4566             :     35651584U,  // SMLSD
    4567             :     35651584U,  // SMLSDX
    4568             :     35651584U,  // SMLSLD
    4569             :     35651584U,  // SMLSLDX
    4570             :     35651584U,  // SMMLA
    4571             :     35651584U,  // SMMLAR
    4572             :     35651584U,  // SMMLS
    4573             :     35651584U,  // SMMLSR
    4574             :     0U, // SMMUL
    4575             :     0U, // SMMULR
    4576             :     0U, // SMUAD
    4577             :     0U, // SMUADX
    4578             :     0U, // SMULBB
    4579             :     0U, // SMULBT
    4580             :     35651584U,  // SMULL
    4581             :     0U, // SMULTB
    4582             :     0U, // SMULTT
    4583             :     0U, // SMULWB
    4584             :     0U, // SMULWT
    4585             :     0U, // SMUSD
    4586             :     0U, // SMUSDX
    4587             :     0U, // SRSDA
    4588             :     0U, // SRSDA_UPD
    4589             :     0U, // SRSDB
    4590             :     0U, // SRSDB_UPD
    4591             :     0U, // SRSIA
    4592             :     0U, // SRSIA_UPD
    4593             :     0U, // SRSIB
    4594             :     0U, // SRSIB_UPD
    4595             :     6352U,      // SSAT
    4596             :     1232U,      // SSAT16
    4597             :     0U, // SSAX
    4598             :     0U, // SSUB16
    4599             :     0U, // SSUB8
    4600             :     0U, // STC2L_OFFSET
    4601             :     1U, // STC2L_OPTION
    4602             :     2U, // STC2L_POST
    4603             :     0U, // STC2L_PRE
    4604             :     0U, // STC2_OFFSET
    4605             :     1U, // STC2_OPTION
    4606             :     2U, // STC2_POST
    4607             :     0U, // STC2_PRE
    4608             :     122U,       // STCL_OFFSET
    4609             :     196738U,    // STCL_OPTION
    4610             :     229506U,    // STCL_POST
    4611             :     138U,       // STCL_PRE
    4612             :     122U,       // STC_OFFSET
    4613             :     196738U,    // STC_OPTION
    4614             :     229506U,    // STC_POST
    4615             :     138U,       // STC_PRE
    4616             :     8U, // STL
    4617             :     8U, // STLB
    4618             :     557056U,    // STLEX
    4619             :     557056U,    // STLEXB
    4620             :     216U,       // STLEXD
    4621             :     557056U,    // STLEXH
    4622             :     8U, // STLH
    4623             :     1136U,      // STMDA
    4624             :     33U,        // STMDA_UPD
    4625             :     1136U,      // STMDB
    4626             :     33U,        // STMDB_UPD
    4627             :     1136U,      // STMIA
    4628             :     33U,        // STMIA_UPD
    4629             :     1136U,      // STMIB
    4630             :     33U,        // STMIB_UPD
    4631             :     262272U,    // STRBT_POST_IMM
    4632             :     262272U,    // STRBT_POST_REG
    4633             :     262272U,    // STRB_POST_IMM
    4634             :     262272U,    // STRB_POST_REG
    4635             :     144U,       // STRB_PRE_IMM
    4636             :     152U,       // STRB_PRE_REG
    4637             :     160U,       // STRBi12
    4638             :     168U,       // STRBrs
    4639             :     294912U,    // STRD
    4640             :     2424920U,   // STRD_POST
    4641             :     360536U,    // STRD_PRE
    4642             :     557056U,    // STREX
    4643             :     557056U,    // STREXB
    4644             :     216U,       // STREXD
    4645             :     557056U,    // STREXH
    4646             :     176U,       // STRH
    4647             :     393344U,    // STRHTi
    4648             :     426112U,    // STRHTr
    4649             :     458880U,    // STRH_POST
    4650             :     184U,       // STRH_PRE
    4651             :     262272U,    // STRT_POST_IMM
    4652             :     262272U,    // STRT_POST_REG
    4653             :     262272U,    // STR_POST_IMM
    4654             :     262272U,    // STR_POST_REG
    4655             :     144U,       // STR_PRE_IMM
    4656             :     152U,       // STR_PRE_REG
    4657             :     160U,       // STRi12
    4658             :     168U,       // STRrs
    4659             :     98304U,     // SUBri
    4660             :     0U, // SUBrr
    4661             :     131072U,    // SUBrsi
    4662             :     0U, // SUBrsr
    4663             :     0U, // SVC
    4664             :     557056U,    // SWP
    4665             :     557056U,    // SWPB
    4666             :     12582912U,  // SXTAB
    4667             :     12582912U,  // SXTAB16
    4668             :     12582912U,  // SXTAH
    4669             :     7168U,      // SXTB
    4670             :     7168U,      // SXTB16
    4671             :     7168U,      // SXTH
    4672             :     96U,        // TEQri
    4673             :     1024U,      // TEQrr
    4674             :     104U,       // TEQrsi
    4675             :     64U,        // TEQrsr
    4676             :     0U, // TRAP
    4677             :     0U, // TRAPNaCl
    4678             :     0U, // TSB
    4679             :     96U,        // TSTri
    4680             :     1024U,      // TSTrr
    4681             :     104U,       // TSTrsi
    4682             :     64U,        // TSTrsr
    4683             :     0U, // UADD16
    4684             :     0U, // UADD8
    4685             :     0U, // UASX
    4686             :     69206016U,  // UBFX
    4687             :     0U, // UDF
    4688             :     0U, // UDIV
    4689             :     0U, // UHADD16
    4690             :     0U, // UHADD8
    4691             :     0U, // UHASX
    4692             :     0U, // UHSAX
    4693             :     0U, // UHSUB16
    4694             :     0U, // UHSUB8
    4695             :     35651584U,  // UMAAL
    4696             :     0U, // UMLAL
    4697             :     35651584U,  // UMULL
    4698             :     0U, // UQADD16
    4699             :     0U, // UQADD8
    4700             :     0U, // UQASX
    4701             :     0U, // UQSAX
    4702             :     0U, // UQSUB16
    4703             :     0U, // UQSUB8
    4704             :     0U, // USAD8
    4705             :     35651584U,  // USADA8
    4706             :     14680064U,  // USAT
    4707             :     0U, // USAT16
    4708             :     0U, // USAX
    4709             :     0U, // USUB16
    4710             :     0U, // USUB8
    4711             :     12582912U,  // UXTAB
    4712             :     12582912U,  // UXTAB16
    4713             :     12582912U,  // UXTAH
    4714             :     7168U,      // UXTB
    4715             :     7168U,      // UXTB16
    4716             :     7168U,      // UXTH
    4717             :     1048U,      // VABALsv2i64
    4718             :     1048U,      // VABALsv4i32
    4719             :     1048U,      // VABALsv8i16
    4720             :     1048U,      // VABALuv2i64
    4721             :     1048U,      // VABALuv4i32
    4722             :     1048U,      // VABALuv8i16
    4723             :     1048U,      // VABAsv16i8
    4724             :     1048U,      // VABAsv2i32
    4725             :     1048U,      // VABAsv4i16
    4726             :     1048U,      // VABAsv4i32
    4727             :     1048U,      // VABAsv8i16
    4728             :     1048U,      // VABAsv8i8
    4729             :     1048U,      // VABAuv16i8
    4730             :     1048U,      // VABAuv2i32
    4731             :     1048U,      // VABAuv4i16
    4732             :     1048U,      // VABAuv4i32
    4733             :     1048U,      // VABAuv8i16
    4734             :     1048U,      // VABAuv8i8
    4735             :     1112U,      // VABDLsv2i64
    4736             :     1112U,      // VABDLsv4i32
    4737             :     1112U,      // VABDLsv8i16
    4738             :     1112U,      // VABDLuv2i64
    4739             :     1112U,      // VABDLuv4i32
    4740             :     1112U,      // VABDLuv8i16
    4741             :     70705U,     // VABDfd
    4742             :     70705U,     // VABDfq
    4743             :     70705U,     // VABDhd
    4744             :     70705U,     // VABDhq
    4745             :     1112U,      // VABDsv16i8
    4746             :     1112U,      // VABDsv2i32
    4747             :     1112U,      // VABDsv4i16
    4748             :     1112U,      // VABDsv4i32
    4749             :     1112U,      // VABDsv8i16
    4750             :     1112U,      // VABDsv8i8
    4751             :     1112U,      // VABDuv16i8
    4752             :     1112U,      // VABDuv2i32
    4753             :     1112U,      // VABDuv4i16
    4754             :     1112U,      // VABDuv4i32
    4755             :     1112U,      // VABDuv8i16
    4756             :     1112U,      // VABDuv8i8
    4757             :     33U,        // VABSD
    4758             :     33U,        // VABSH
    4759             :     33U,        // VABSS
    4760             :     33U,        // VABSfd
    4761             :     33U,        // VABSfq
    4762             :     33U,        // VABShd
    4763             :     33U,        // VABShq
    4764             :     0U, // VABSv16i8
    4765             :     0U, // VABSv2i32
    4766             :     0U, // VABSv4i16
    4767             :     0U, // VABSv4i32
    4768             :     0U, // VABSv8i16
    4769             :     0U, // VABSv8i8
    4770             :     70705U,     // VACGEfd
    4771             :     70705U,     // VACGEfq
    4772             :     70705U,     // VACGEhd
    4773             :     70705U,     // VACGEhq
    4774             :     70705U,     // VACGTfd
    4775             :     70705U,     // VACGTfq
    4776             :     70705U,     // VACGThd
    4777             :     70705U,     // VACGThq
    4778             :     70705U,     // VADDD
    4779             :     70705U,     // VADDH
    4780             :     1112U,      // VADDHNv2i32
    4781             :     1112U,      // VADDHNv4i16
    4782             :     1112U,      // VADDHNv8i8
    4783             :     1112U,      // VADDLsv2i64
    4784             :     1112U,      // VADDLsv4i32
    4785             :     1112U,      // VADDLsv8i16
    4786             :     1112U,      // VADDLuv2i64
    4787             :     1112U,      // VADDLuv4i32
    4788             :     1112U,      // VADDLuv8i16
    4789             :     70705U,     // VADDS
    4790             :     1112U,      // VADDWsv2i64
    4791             :     1112U,      // VADDWsv4i32
    4792             :     1112U,      // VADDWsv8i16
    4793             :     1112U,      // VADDWuv2i64
    4794             :     1112U,      // VADDWuv4i32
    4795             :     1112U,      // VADDWuv8i16
    4796             :     70705U,     // VADDfd
    4797             :     70705U,     // VADDfq
    4798             :     70705U,     // VADDhd
    4799             :     70705U,     // VADDhq
    4800             :     1112U,      // VADDv16i8
    4801             :     1112U,      // VADDv1i64
    4802             :     1112U,      // VADDv2i32
    4803             :     1112U,      // VADDv2i64
    4804             :     1112U,      // VADDv4i16
    4805             :     1112U,      // VADDv4i32
    4806             :     1112U,      // VADDv8i16
    4807             :     1112U,      // VADDv8i8
    4808             :     0U, // VANDd
    4809             :     0U, // VANDq
    4810             :     0U, // VBICd
    4811             :     0U, // VBICiv2i32
    4812             :     0U, // VBICiv4i16
    4813             :     0U, // VBICiv4i32
    4814             :     0U, // VBICiv8i16
    4815             :     0U, // VBICq
    4816             :     589912U,    // VBIFd
    4817             :     589912U,    // VBIFq
    4818             :     589912U,    // VBITd
    4819             :     589912U,    // VBITq
    4820             :     589912U,    // VBSLd
    4821             :     589912U,    // VBSLq
    4822             :     622680U,    // VCADDv2f32
    4823             :     622680U,    // VCADDv4f16
    4824             :     622680U,    // VCADDv4f32
    4825             :     622680U,    // VCADDv8f16
    4826             :     70705U,     // VCEQfd
    4827             :     70705U,     // VCEQfq
    4828             :     70705U,     // VCEQhd
    4829             :     70705U,     // VCEQhq
    4830             :     1112U,      // VCEQv16i8
    4831             :     1112U,      // VCEQv2i32
    4832             :     1112U,      // VCEQv4i16
    4833             :     1112U,      // VCEQv4i32
    4834             :     1112U,      // VCEQv8i16
    4835             :     1112U,      // VCEQv8i8
    4836             :     3U, // VCEQzv16i8
    4837             :     225U,       // VCEQzv2f32
    4838             :     3U, // VCEQzv2i32
    4839             :     225U,       // VCEQzv4f16
    4840             :     225U,       // VCEQzv4f32
    4841             :     3U, // VCEQzv4i16
    4842             :     3U, // VCEQzv4i32
    4843             :     225U,       // VCEQzv8f16
    4844             :     3U, // VCEQzv8i16
    4845             :     3U, // VCEQzv8i8
    4846             :     70705U,     // VCGEfd
    4847             :     70705U,     // VCGEfq
    4848             :     70705U,     // VCGEhd
    4849             :     70705U,     // VCGEhq
    4850             :     1112U,      // VCGEsv16i8
    4851             :     1112U,      // VCGEsv2i32
    4852             :     1112U,      // VCGEsv4i16
    4853             :     1112U,      // VCGEsv4i32
    4854             :     1112U,      // VCGEsv8i16
    4855             :     1112U,      // VCGEsv8i8
    4856             :     1112U,      // VCGEuv16i8
    4857             :     1112U,      // VCGEuv2i32
    4858             :     1112U,      // VCGEuv4i16
    4859             :     1112U,      // VCGEuv4i32
    4860             :     1112U,      // VCGEuv8i16
    4861             :     1112U,      // VCGEuv8i8
    4862             :     3U, // VCGEzv16i8
    4863             :     225U,       // VCGEzv2f32
    4864             :     3U, // VCGEzv2i32
    4865             :     225U,       // VCGEzv4f16
    4866             :     225U,       // VCGEzv4f32
    4867             :     3U, // VCGEzv4i16
    4868             :     3U, // VCGEzv4i32
    4869             :     225U,       // VCGEzv8f16
    4870             :     3U, // VCGEzv8i16
    4871             :     3U, // VCGEzv8i8
    4872             :     70705U,     // VCGTfd
    4873             :     70705U,     // VCGTfq
    4874             :     70705U,     // VCGThd
    4875             :     70705U,     // VCGThq
    4876             :     1112U,      // VCGTsv16i8
    4877             :     1112U,      // VCGTsv2i32
    4878             :     1112U,      // VCGTsv4i16
    4879             :     1112U,      // VCGTsv4i32
    4880             :     1112U,      // VCGTsv8i16
    4881             :     1112U,      // VCGTsv8i8
    4882             :     1112U,      // VCGTuv16i8
    4883             :     1112U,      // VCGTuv2i32
    4884             :     1112U,      // VCGTuv4i16
    4885             :     1112U,      // VCGTuv4i32
    4886             :     1112U,      // VCGTuv8i16
    4887             :     1112U,      // VCGTuv8i8
    4888             :     3U, // VCGTzv16i8
    4889             :     225U,       // VCGTzv2f32
    4890             :     3U, // VCGTzv2i32
    4891             :     225U,       // VCGTzv4f16
    4892             :     225U,       // VCGTzv4f32
    4893             :     3U, // VCGTzv4i16
    4894             :     3U, // VCGTzv4i32
    4895             :     225U,       // VCGTzv8f16
    4896             :     3U, // VCGTzv8i16
    4897             :     3U, // VCGTzv8i8
    4898             :     3U, // VCLEzv16i8
    4899             :     225U,       // VCLEzv2f32
    4900             :     3U, // VCLEzv2i32
    4901             :     225U,       // VCLEzv4f16
    4902             :     225U,       // VCLEzv4f32
    4903             :     3U, // VCLEzv4i16
    4904             :     3U, // VCLEzv4i32
    4905             :     225U,       // VCLEzv8f16
    4906             :     3U, // VCLEzv8i16
    4907             :     3U, // VCLEzv8i8
    4908             :     0U, // VCLSv16i8
    4909             :     0U, // VCLSv2i32
    4910             :     0U, // VCLSv4i16
    4911             :     0U, // VCLSv4i32
    4912             :     0U, // VCLSv8i16
    4913             :     0U, // VCLSv8i8
    4914             :     3U, // VCLTzv16i8
    4915             :     225U,       // VCLTzv2f32
    4916             :     3U, // VCLTzv2i32
    4917             :     225U,       // VCLTzv4f16
    4918             :     225U,       // VCLTzv4f32
    4919             :     3U, // VCLTzv4i16
    4920             :     3U, // VCLTzv4i32
    4921             :     225U,       // VCLTzv8f16
    4922             :     3U, // VCLTzv8i16
    4923             :     3U, // VCLTzv8i8
    4924             :     0U, // VCLZv16i8
    4925             :     0U, // VCLZv2i32
    4926             :     0U, // VCLZv4i16
    4927             :     0U, // VCLZv4i32
    4928             :     0U, // VCLZv8i16
    4929             :     0U, // VCLZv8i8
    4930             :     655384U,    // VCMLAv2f32
    4931             :     17276952U,  // VCMLAv2f32_indexed
    4932             :     655384U,    // VCMLAv4f16
    4933             :     17276952U,  // VCMLAv4f16_indexed
    4934             :     655384U,    // VCMLAv4f32
    4935             :     17276952U,  // VCMLAv4f32_indexed
    4936             :     655384U,    // VCMLAv8f16
    4937             :     17276952U,  // VCMLAv8f16_indexed
    4938             :     33U,        // VCMPD
    4939             :     33U,        // VCMPED
    4940             :     33U,        // VCMPEH
    4941             :     33U,        // VCMPES
    4942             :     0U, // VCMPEZD
    4943             :     0U, // VCMPEZH
    4944             :     0U, // VCMPEZS
    4945             :     33U,        // VCMPH
    4946             :     33U,        // VCMPS
    4947             :     0U, // VCMPZD
    4948             :     0U, // VCMPZH
    4949             :     0U, // VCMPZS
    4950             :     1024U,      // VCNTd
    4951             :     1024U,      // VCNTq
    4952             :     0U, // VCVTANSDf
    4953             :     0U, // VCVTANSDh
    4954             :     0U, // VCVTANSQf
    4955             :     0U, // VCVTANSQh
    4956             :     0U, // VCVTANUDf
    4957             :     0U, // VCVTANUDh
    4958             :     0U, // VCVTANUQf
    4959             :     0U, // VCVTANUQh
    4960             :     0U, // VCVTASD
    4961             :     0U, // VCVTASH
    4962             :     0U, // VCVTASS
    4963             :     0U, // VCVTAUD
    4964             :     0U, // VCVTAUH
    4965             :     0U, // VCVTAUS
    4966             :     0U, // VCVTBDH
    4967             :     0U, // VCVTBHD
    4968             :     0U, // VCVTBHS
    4969             :     0U, // VCVTBSH
    4970             :     0U, // VCVTDS
    4971             :     0U, // VCVTMNSDf
    4972             :     0U, // VCVTMNSDh
    4973             :     0U, // VCVTMNSQf
    4974             :     0U, // VCVTMNSQh
    4975             :     0U, // VCVTMNUDf
    4976             :     0U, // VCVTMNUDh
    4977             :     0U, // VCVTMNUQf
    4978             :     0U, // VCVTMNUQh
    4979             :     0U, // VCVTMSD
    4980             :     0U, // VCVTMSH
    4981             :     0U, // VCVTMSS
    4982             :     0U, // VCVTMUD
    4983             :     0U, // VCVTMUH
    4984             :     0U, // VCVTMUS
    4985             :     0U, // VCVTNNSDf
    4986             :     0U, // VCVTNNSDh
    4987             :     0U, // VCVTNNSQf
    4988             :     0U, // VCVTNNSQh
    4989             :     0U, // VCVTNNUDf
    4990             :     0U, // VCVTNNUDh
    4991             :     0U, // VCVTNNUQf
    4992             :     0U, // VCVTNNUQh
    4993             :     0U, // VCVTNSD
    4994             :     0U, // VCVTNSH
    4995             :     0U, // VCVTNSS
    4996             :     0U, // VCVTNUD
    4997             :     0U, // VCVTNUH
    4998             :     0U, // VCVTNUS
    4999             :     0U, // VCVTPNSDf
    5000             :     0U, // VCVTPNSDh
    5001             :     0U, // VCVTPNSQf
    5002             :     0U, // VCVTPNSQh
    5003             :     0U, // VCVTPNUDf
    5004             :     0U, // VCVTPNUDh
    5005             :     0U, // VCVTPNUQf
    5006             :     0U, // VCVTPNUQh
    5007             :     0U, // VCVTPSD
    5008             :     0U, // VCVTPSH
    5009             :     0U, // VCVTPSS
    5010             :     0U, // VCVTPUD
    5011             :     0U, // VCVTPUH
    5012             :     0U, // VCVTPUS
    5013             :     0U, // VCVTSD
    5014             :     0U, // VCVTTDH
    5015             :     0U, // VCVTTHD
    5016             :     0U, // VCVTTHS
    5017             :     0U, // VCVTTSH
    5018             :     0U, // VCVTf2h
    5019             :     0U, // VCVTf2sd
    5020             :     0U, // VCVTf2sq
    5021             :     0U, // VCVTf2ud
    5022             :     0U, // VCVTf2uq
    5023             :     35U,        // VCVTf2xsd
    5024             :     35U,        // VCVTf2xsq
    5025             :     35U,        // VCVTf2xud
    5026             :     35U,        // VCVTf2xuq
    5027             :     0U, // VCVTh2f
    5028             :     0U, // VCVTh2sd
    5029             :     0U, // VCVTh2sq
    5030             :     0U, // VCVTh2ud
    5031             :     0U, // VCVTh2uq
    5032             :     35U,        // VCVTh2xsd
    5033             :     35U,        // VCVTh2xsq
    5034             :     35U,        // VCVTh2xud
    5035             :     35U,        // VCVTh2xuq
    5036             :     0U, // VCVTs2fd
    5037             :     0U, // VCVTs2fq
    5038             :     0U, // VCVTs2hd
    5039             :     0U, // VCVTs2hq
    5040             :     0U, // VCVTu2fd
    5041             :     0U, // VCVTu2fq
    5042             :     0U, // VCVTu2hd
    5043             :     0U, // VCVTu2hq
    5044             :     35U,        // VCVTxs2fd
    5045             :     35U,        // VCVTxs2fq
    5046             :     35U,        // VCVTxs2hd
    5047             :     35U,        // VCVTxs2hq
    5048             :     35U,        // VCVTxu2fd
    5049             :     35U,        // VCVTxu2fq
    5050             :     35U,        // VCVTxu2hd
    5051             :     35U,        // VCVTxu2hq
    5052             :     70705U,     // VDIVD
    5053             :     70705U,     // VDIVH
    5054             :     70705U,     // VDIVS
    5055             :     1024U,      // VDUP16d
    5056             :     1024U,      // VDUP16q
    5057             :     1024U,      // VDUP32d
    5058             :     1024U,      // VDUP32q
    5059             :     1024U,      // VDUP8d
    5060             :     1024U,      // VDUP8q
    5061             :     9216U,      // VDUPLN16d
    5062             :     9216U,      // VDUPLN16q
    5063             :     9216U,      // VDUPLN32d
    5064             :     9216U,      // VDUPLN32q
    5065             :     9216U,      // VDUPLN8d
    5066             :     9216U,      // VDUPLN8q
    5067             :     0U, // VEORd
    5068             :     0U, // VEORq
    5069             :     35651584U,  // VEXTd16
    5070             :     35651584U,  // VEXTd32
    5071             :     35651584U,  // VEXTd8
    5072             :     35651584U,  // VEXTq16
    5073             :     35651584U,  // VEXTq32
    5074             :     35651584U,  // VEXTq64
    5075             :     35651584U,  // VEXTq8
    5076             :     68659U,     // VFMAD
    5077             :     68659U,     // VFMAH
    5078             :     1112U,      // VFMALD
    5079             :     10328U,     // VFMALDI
    5080             :     1112U,      // VFMALQ
    5081             :     10328U,     // VFMALQI
    5082             :     68659U,     // VFMAS
    5083             :     68659U,     // VFMAfd
    5084             :     68659U,     // VFMAfq
    5085             :     68659U,     // VFMAhd
    5086             :     68659U,     // VFMAhq
    5087             :     68659U,     // VFMSD
    5088             :     68659U,     // VFMSH
    5089             :     1112U,      // VFMSLD
    5090             :     10328U,     // VFMSLDI
    5091             :     1112U,      // VFMSLQ
    5092             :     10328U,     // VFMSLQI
    5093             :     68659U,     // VFMSS
    5094             :     68659U,     // VFMSfd
    5095             :     68659U,     // VFMSfq
    5096             :     68659U,     // VFMShd
    5097             :     68659U,     // VFMShq
    5098             :     68659U,     // VFNMAD
    5099             :     68659U,     // VFNMAH
    5100             :     68659U,     // VFNMAS
    5101             :     68659U,     // VFNMSD
    5102             :     68659U,     // VFNMSH
    5103             :     68659U,     // VFNMSS
    5104             :     9216U,      // VGETLNi32
    5105             :     3U, // VGETLNs16
    5106             :     3U, // VGETLNs8
    5107             :     3U, // VGETLNu16
    5108             :     3U, // VGETLNu8
    5109             :     1112U,      // VHADDsv16i8
    5110             :     1112U,      // VHADDsv2i32
    5111             :     1112U,      // VHADDsv4i16
    5112             :     1112U,      // VHADDsv4i32
    5113             :     1112U,      // VHADDsv8i16
    5114             :     1112U,      // VHADDsv8i8
    5115             :     1112U,      // VHADDuv16i8
    5116             :     1112U,      // VHADDuv2i32
    5117             :     1112U,      // VHADDuv4i16
    5118             :     1112U,      // VHADDuv4i32
    5119             :     1112U,      // VHADDuv8i16
    5120             :     1112U,      // VHADDuv8i8
    5121             :     1112U,      // VHSUBsv16i8
    5122             :     1112U,      // VHSUBsv2i32
    5123             :     1112U,      // VHSUBsv4i16
    5124             :     1112U,      // VHSUBsv4i32
    5125             :     1112U,      // VHSUBsv8i16
    5126             :     1112U,      // VHSUBsv8i8
    5127             :     1112U,      // VHSUBuv16i8
    5128             :     1112U,      // VHSUBuv2i32
    5129             :     1112U,      // VHSUBuv4i16
    5130             :     1112U,      // VHSUBuv4i32
    5131             :     1112U,      // VHSUBuv8i16
    5132             :     1112U,      // VHSUBuv8i8
    5133             :     0U, // VINSH
    5134             :     0U, // VJCVT
    5135             :     32U,        // VLD1DUPd16
    5136             :     44U,        // VLD1DUPd16wb_fixed
    5137             :     11316U,     // VLD1DUPd16wb_register
    5138             :     32U,        // VLD1DUPd32
    5139             :     44U,        // VLD1DUPd32wb_fixed
    5140             :     11316U,     // VLD1DUPd32wb_register
    5141             :     32U,        // VLD1DUPd8
    5142             :     44U,        // VLD1DUPd8wb_fixed
    5143             :     11316U,     // VLD1DUPd8wb_register
    5144             :     32U,        // VLD1DUPq16
    5145             :     44U,        // VLD1DUPq16wb_fixed
    5146             :     11316U,     // VLD1DUPq16wb_register
    5147             :     32U,        // VLD1DUPq32
    5148             :     44U,        // VLD1DUPq32wb_fixed
    5149             :     11316U,     // VLD1DUPq32wb_register
    5150             :     32U,        // VLD1DUPq8
    5151             :     44U,        // VLD1DUPq8wb_fixed
    5152             :     11316U,     // VLD1DUPq8wb_register
    5153             :     700652U,    // VLD1LNd16
    5154             :     733428U,    // VLD1LNd16_UPD
    5155             :     700652U,    // VLD1LNd32
    5156             :     733428U,    // VLD1LNd32_UPD
    5157             :     700652U,    // VLD1LNd8
    5158             :     733428U,    // VLD1LNd8_UPD
    5159             :     0U, // VLD1LNq16Pseudo
    5160             :     0U, // VLD1LNq16Pseudo_UPD
    5161             :     0U, // VLD1LNq32Pseudo
    5162             :     0U, // VLD1LNq32Pseudo_UPD
    5163             :     0U, // VLD1LNq8Pseudo
    5164             :     0U, // VLD1LNq8Pseudo_UPD
    5165             :     32U,        // VLD1d16
    5166             :     32U,        // VLD1d16Q
    5167             :     0U, // VLD1d16QPseudo
    5168             :     44U,        // VLD1d16Qwb_fixed
    5169             :     11316U,     // VLD1d16Qwb_register
    5170             :     32U,        // VLD1d16T
    5171             :     0U, // VLD1d16TPseudo
    5172             :     44U,        // VLD1d16Twb_fixed
    5173             :     11316U,     // VLD1d16Twb_register
    5174             :     44U,        // VLD1d16wb_fixed
    5175             :     11316U,     // VLD1d16wb_register
    5176             :     32U,        // VLD1d32
    5177             :     32U,        // VLD1d32Q
    5178             :     0U, // VLD1d32QPseudo
    5179             :     44U,        // VLD1d32Qwb_fixed
    5180             :     11316U,     // VLD1d32Qwb_register
    5181             :     32U,        // VLD1d32T
    5182             :     0U, // VLD1d32TPseudo
    5183             :     44U,        // VLD1d32Twb_fixed
    5184             :     11316U,     // VLD1d32Twb_register
    5185             :     44U,        // VLD1d32wb_fixed
    5186             :     11316U,     // VLD1d32wb_register
    5187             :     32U,        // VLD1d64
    5188             :     32U,        // VLD1d64Q
    5189             :     0U, // VLD1d64QPseudo
    5190             :     0U, // VLD1d64QPseudoWB_fixed
    5191             :     0U, // VLD1d64QPseudoWB_register
    5192             :     44U,        // VLD1d64Qwb_fixed
    5193             :     11316U,     // VLD1d64Qwb_register
    5194             :     32U,        // VLD1d64T
    5195             :     0U, // VLD1d64TPseudo
    5196             :     0U, // VLD1d64TPseudoWB_fixed
    5197             :     0U, // VLD1d64TPseudoWB_register
    5198             :     44U,        // VLD1d64Twb_fixed
    5199             :     11316U,     // VLD1d64Twb_register
    5200             :     44U,        // VLD1d64wb_fixed
    5201             :     11316U,     // VLD1d64wb_register
    5202             :     32U,        // VLD1d8
    5203             :     32U,        // VLD1d8Q
    5204             :     0U, // VLD1d8QPseudo
    5205             :     44U,        // VLD1d8Qwb_fixed
    5206             :     11316U,     // VLD1d8Qwb_register
    5207             :     32U,        // VLD1d8T
    5208             :     0U, // VLD1d8TPseudo
    5209             :     44U,        // VLD1d8Twb_fixed
    5210             :     11316U,     // VLD1d8Twb_register
    5211             :     44U,        // VLD1d8wb_fixed
    5212             :     11316U,     // VLD1d8wb_register
    5213             :     32U,        // VLD1q16
    5214             :     0U, // VLD1q16HighQPseudo
    5215             :     0U, // VLD1q16HighTPseudo
    5216             :     0U, // VLD1q16LowQPseudo_UPD
    5217             :     0U, // VLD1q16LowTPseudo_UPD
    5218             :     44U,        // VLD1q16wb_fixed
    5219             :     11316U,     // VLD1q16wb_register
    5220             :     32U,        // VLD1q32
    5221             :     0U, // VLD1q32HighQPseudo
    5222             :     0U, // VLD1q32HighTPseudo
    5223             :     0U, // VLD1q32LowQPseudo_UPD
    5224             :     0U, // VLD1q32LowTPseudo_UPD
    5225             :     44U,        // VLD1q32wb_fixed
    5226             :     11316U,     // VLD1q32wb_register
    5227             :     32U,        // VLD1q64
    5228             :     0U, // VLD1q64HighQPseudo
    5229             :     0U, // VLD1q64HighTPseudo
    5230             :     0U, // VLD1q64LowQPseudo_UPD
    5231             :     0U, // VLD1q64LowTPseudo_UPD
    5232             :     44U,        // VLD1q64wb_fixed
    5233             :     11316U,     // VLD1q64wb_register
    5234             :     32U,        // VLD1q8
    5235             :     0U, // VLD1q8HighQPseudo
    5236             :     0U, // VLD1q8HighTPseudo
    5237             :     0U, // VLD1q8LowQPseudo_UPD
    5238             :     0U, // VLD1q8LowTPseudo_UPD
    5239             :     44U,        // VLD1q8wb_fixed
    5240             :     11316U,     // VLD1q8wb_register
    5241             :     32U,        // VLD2DUPd16
    5242             :     44U,        // VLD2DUPd16wb_fixed
    5243             :     11316U,     // VLD2DUPd16wb_register
    5244             :     32U,        // VLD2DUPd16x2
    5245             :     44U,        // VLD2DUPd16x2wb_fixed
    5246             :     11316U,     // VLD2DUPd16x2wb_register
    5247             :     32U,        // VLD2DUPd32
    5248             :     44U,        // VLD2DUPd32wb_fixed
    5249             :     11316U,     // VLD2DUPd32wb_register
    5250             :     32U,        // VLD2DUPd32x2
    5251             :     44U,        // VLD2DUPd32x2wb_fixed
    5252             :     11316U,     // VLD2DUPd32x2wb_register
    5253             :     32U,        // VLD2DUPd8
    5254             :     44U,        // VLD2DUPd8wb_fixed
    5255             :     11316U,     // VLD2DUPd8wb_register
    5256             :     32U,        // VLD2DUPd8x2
    5257             :     44U,        // VLD2DUPd8x2wb_fixed
    5258             :     11316U,     // VLD2DUPd8x2wb_register
    5259             :     0U, // VLD2DUPq16EvenPseudo
    5260             :     0U, // VLD2DUPq16OddPseudo
    5261             :     0U, // VLD2DUPq32EvenPseudo
    5262             :     0U, // VLD2DUPq32OddPseudo
    5263             :     0U, // VLD2DUPq8EvenPseudo
    5264             :     0U, // VLD2DUPq8OddPseudo
    5265             :     767220U,    // VLD2LNd16
    5266             :     0U, // VLD2LNd16Pseudo
    5267             :     0U, // VLD2LNd16Pseudo_UPD
    5268             :     801020U,    // VLD2LNd16_UPD
    5269             :     767220U,    // VLD2LNd32
    5270             :     0U, // VLD2LNd32Pseudo
    5271             :     0U, // VLD2LNd32Pseudo_UPD
    5272             :     801020U,    // VLD2LNd32_UPD
    5273             :     767220U,    // VLD2LNd8
    5274             :     0U, // VLD2LNd8Pseudo
    5275             :     0U, // VLD2LNd8Pseudo_UPD
    5276             :     801020U,    // VLD2LNd8_UPD
    5277             :     767220U,    // VLD2LNq16
    5278             :     0U, // VLD2LNq16Pseudo
    5279             :     0U, // VLD2LNq16Pseudo_UPD
    5280             :     801020U,    // VLD2LNq16_UPD
    5281             :     767220U,    // VLD2LNq32
    5282             :     0U, // VLD2LNq32Pseudo
    5283             :     0U, // VLD2LNq32Pseudo_UPD
    5284             :     801020U,    // VLD2LNq32_UPD
    5285             :     32U,        // VLD2b16
    5286             :     44U,        // VLD2b16wb_fixed
    5287             :     11316U,     // VLD2b16wb_register
    5288             :     32U,        // VLD2b32
    5289             :     44U,        // VLD2b32wb_fixed
    5290             :     11316U,     // VLD2b32wb_register
    5291             :     32U,        // VLD2b8
    5292             :     44U,        // VLD2b8wb_fixed
    5293             :     11316U,     // VLD2b8wb_register
    5294             :     32U,        // VLD2d16
    5295             :     44U,        // VLD2d16wb_fixed
    5296             :     11316U,     // VLD2d16wb_register
    5297             :     32U,        // VLD2d32
    5298             :     44U,        // VLD2d32wb_fixed
    5299             :     11316U,     // VLD2d32wb_register
    5300             :     32U,        // VLD2d8
    5301             :     44U,        // VLD2d8wb_fixed
    5302             :     11316U,     // VLD2d8wb_register
    5303             :     32U,        // VLD2q16
    5304             :     0U, // VLD2q16Pseudo
    5305             :     0U, // VLD2q16PseudoWB_fixed
    5306             :     0U, // VLD2q16PseudoWB_register
    5307             :     44U,        // VLD2q16wb_fixed
    5308             :     11316U,     // VLD2q16wb_register
    5309             :     32U,        // VLD2q32
    5310             :     0U, // VLD2q32Pseudo
    5311             :     0U, // VLD2q32PseudoWB_fixed
    5312             :     0U, // VLD2q32PseudoWB_register
    5313             :     44U,        // VLD2q32wb_fixed
    5314             :     11316U,     // VLD2q32wb_register
    5315             :     32U,        // VLD2q8
    5316             :     0U, // VLD2q8Pseudo
    5317             :     0U, // VLD2q8PseudoWB_fixed
    5318             :     0U, // VLD2q8PseudoWB_register
    5319             :     44U,        // VLD2q8wb_fixed
    5320             :     11316U,     // VLD2q8wb_register
    5321             :     15620U,     // VLD3DUPd16
    5322             :     0U, // VLD3DUPd16Pseudo
    5323             :     0U, // VLD3DUPd16Pseudo_UPD
    5324             :     835844U,    // VLD3DUPd16_UPD
    5325             :     15620U,     // VLD3DUPd32
    5326             :     0U, // VLD3DUPd32Pseudo
    5327             :     0U, // VLD3DUPd32Pseudo_UPD
    5328             :     835844U,    // VLD3DUPd32_UPD
    5329             :     15620U,     // VLD3DUPd8
    5330             :     0U, // VLD3DUPd8Pseudo
    5331             :     0U, // VLD3DUPd8Pseudo_UPD
    5332             :     835844U,    // VLD3DUPd8_UPD
    5333             :     15620U,     // VLD3DUPq16
    5334             :     0U, // VLD3DUPq16EvenPseudo
    5335             :     0U, // VLD3DUPq16OddPseudo
    5336             :     835844U,    // VLD3DUPq16_UPD
    5337             :     15620U,     // VLD3DUPq32
    5338             :     0U, // VLD3DUPq32EvenPseudo
    5339             :     0U, // VLD3DUPq32OddPseudo
    5340             :     835844U,    // VLD3DUPq32_UPD
    5341             :     15620U,     // VLD3DUPq8
    5342             :     0U, // VLD3DUPq8EvenPseudo
    5343             :     0U, // VLD3DUPq8OddPseudo
    5344             :     835844U,    // VLD3DUPq8_UPD
    5345             :     866556U,    // VLD3LNd16
    5346             :     0U, // VLD3LNd16Pseudo
    5347             :     0U, // VLD3LNd16Pseudo_UPD
    5348             :     897292U,    // VLD3LNd16_UPD
    5349             :     866556U,    // VLD3LNd32
    5350             :     0U, // VLD3LNd32Pseudo
    5351             :     0U, // VLD3LNd32Pseudo_UPD
    5352             :     897292U,    // VLD3LNd32_UPD
    5353             :     866556U,    // VLD3LNd8
    5354             :     0U, // VLD3LNd8Pseudo
    5355             :     0U, // VLD3LNd8Pseudo_UPD
    5356             :     897292U,    // VLD3LNd8_UPD
    5357             :     866556U,    // VLD3LNq16
    5358             :     0U, // VLD3LNq16Pseudo
    5359             :     0U, // VLD3LNq16Pseudo_UPD
    5360             :     897292U,    // VLD3LNq16_UPD
    5361             :     866556U,    // VLD3LNq32
    5362             :     0U, // VLD3LNq32Pseudo
    5363             :     0U, // VLD3LNq32Pseudo_UPD
    5364             :     897292U,    // VLD3LNq32_UPD
    5365             :     119537664U, // VLD3d16
    5366             :     0U, // VLD3d16Pseudo
    5367             :     0U, // VLD3d16Pseudo_UPD
    5368             :     153092096U, // VLD3d16_UPD
    5369             :     119537664U, // VLD3d32
    5370             :     0U, // VLD3d32Pseudo
    5371             :     0U, // VLD3d32Pseudo_UPD
    5372             :     153092096U, // VLD3d32_UPD
    5373             :     119537664U, // VLD3d8
    5374             :     0U, // VLD3d8Pseudo
    5375             :     0U, // VLD3d8Pseudo_UPD
    5376             :     153092096U, // VLD3d8_UPD
    5377             :     119537664U, // VLD3q16
    5378             :     0U, // VLD3q16Pseudo_UPD
    5379             :     153092096U, // VLD3q16_UPD
    5380             :     0U, // VLD3q16oddPseudo
    5381             :     0U, // VLD3q16oddPseudo_UPD
    5382             :     119537664U, // VLD3q32
    5383             :     0U, // VLD3q32Pseudo_UPD
    5384             :     153092096U, // VLD3q32_UPD
    5385             :     0U, // VLD3q32oddPseudo
    5386             :     0U, // VLD3q32oddPseudo_UPD
    5387             :     119537664U, // VLD3q8
    5388             :     0U, // VLD3q8Pseudo_UPD
    5389             :     153092096U, // VLD3q8_UPD
    5390             :     0U, // VLD3q8oddPseudo
    5391             :     0U, // VLD3q8oddPseudo_UPD
    5392             :     82196U,     // VLD4DUPd16
    5393             :     0U, // VLD4DUPd16Pseudo
    5394             :     0U, // VLD4DUPd16Pseudo_UPD
    5395             :     17684U,     // VLD4DUPd16_UPD
    5396             :     82196U,     // VLD4DUPd32
    5397             :     0U, // VLD4DUPd32Pseudo
    5398             :     0U, // VLD4DUPd32Pseudo_UPD
    5399             :     17684U,     // VLD4DUPd32_UPD
    5400             :     82196U,     // VLD4DUPd8
    5401             :     0U, // VLD4DUPd8Pseudo
    5402             :     0U, // VLD4DUPd8Pseudo_UPD
    5403             :     17684U,     // VLD4DUPd8_UPD
    5404             :     82196U,     // VLD4DUPq16
    5405             :     0U, // VLD4DUPq16EvenPseudo
    5406             :     0U, // VLD4DUPq16OddPseudo
    5407             :     17684U,     // VLD4DUPq16_UPD
    5408             :     82196U,     // VLD4DUPq32
    5409             :     0U, // VLD4DUPq32EvenPseudo
    5410             :     0U, // VLD4DUPq32OddPseudo
    5411             :     17684U,     // VLD4DUPq32_UPD
    5412             :     82196U,     // VLD4DUPq8
    5413             :     0U, // VLD4DUPq8EvenPseudo
    5414             :     0U, // VLD4DUPq8OddPseudo
    5415             :     17684U,     // VLD4DUPq8_UPD
    5416             :     189347084U, // VLD4LNd16
    5417             :     0U, // VLD4LNd16Pseudo
    5418             :     0U, // VLD4LNd16Pseudo_UPD
    5419             :     284U,       // VLD4LNd16_UPD
    5420             :     189347084U, // VLD4LNd32
    5421             :     0U, // VLD4LNd32Pseudo
    5422             :     0U, // VLD4LNd32Pseudo_UPD
    5423             :     284U,       // VLD4LNd32_UPD
    5424             :     189347084U, // VLD4LNd8
    5425             :     0U, // VLD4LNd8Pseudo
    5426             :     0U, // VLD4LNd8Pseudo_UPD
    5427             :     284U,       // VLD4LNd8_UPD
    5428             :     189347084U, // VLD4LNq16
    5429             :     0U, // VLD4LNq16Pseudo
    5430             :     0U, // VLD4LNq16Pseudo_UPD
    5431             :     284U,       // VLD4LNq16_UPD
    5432             :     189347084U, // VLD4LNq32
    5433             :     0U, // VLD4LNq32Pseudo
    5434             :     0U, // VLD4LNq32Pseudo_UPD
    5435             :     284U,       // VLD4LNq32_UPD
    5436             :     572522496U, // VLD4d16
    5437             :     0U, // VLD4d16Pseudo
    5438             :     0U, // VLD4d16Pseudo_UPD
    5439             :     1646264320U,        // VLD4d16_UPD
    5440             :     572522496U, // VLD4d32
    5441             :     0U, // VLD4d32Pseudo
    5442             :     0U, // VLD4d32Pseudo_UPD
    5443             :     1646264320U,        // VLD4d32_UPD
    5444             :     572522496U, // VLD4d8
    5445             :     0U, // VLD4d8Pseudo
    5446             :     0U, // VLD4d8Pseudo_UPD
    5447             :     1646264320U,        // VLD4d8_UPD
    5448             :     572522496U, // VLD4q16
    5449             :     0U, // VLD4q16Pseudo_UPD
    5450             :     1646264320U,        // VLD4q16_UPD
    5451             :     0U, // VLD4q16oddPseudo
    5452             :     0U, // VLD4q16oddPseudo_UPD
    5453             :     572522496U, // VLD4q32
    5454             :     0U, // VLD4q32Pseudo_UPD
    5455             :     1646264320U,        // VLD4q32_UPD
    5456             :     0U, // VLD4q32oddPseudo
    5457             :     0U, // VLD4q32oddPseudo_UPD
    5458             :     572522496U, // VLD4q8
    5459             :     0U, // VLD4q8Pseudo_UPD
    5460             :     1646264320U,        // VLD4q8_UPD
    5461             :     0U, // VLD4q8oddPseudo
    5462             :     0U, // VLD4q8oddPseudo_UPD
    5463             :     33U,        // VLDMDDB_UPD
    5464             :     1136U,      // VLDMDIA
    5465             :     33U,        // VLDMDIA_UPD
    5466             :     0U, // VLDMQIA
    5467             :     33U,        // VLDMSDB_UPD
    5468             :     1136U,      // VLDMSIA
    5469             :     33U,        // VLDMSIA_UPD
    5470             :     288U,       // VLDRD
    5471             :     296U,       // VLDRH
    5472             :     288U,       // VLDRS
    5473             :     0U, // VLLDM
    5474             :     0U, // VLSTM
    5475             :     1112U,      // VMAXNMD
    5476             :     1112U,      // VMAXNMH
    5477             :     1112U,      // VMAXNMNDf
    5478             :     1112U,      // VMAXNMNDh
    5479             :     1112U,      // VMAXNMNQf
    5480             :     1112U,      // VMAXNMNQh
    5481             :     1112U,      // VMAXNMS
    5482             :     70705U,     // VMAXfd
    5483             :     70705U,     // VMAXfq
    5484             :     70705U,     // VMAXhd
    5485             :     70705U,     // VMAXhq
    5486             :     1112U,      // VMAXsv16i8
    5487             :     1112U,      // VMAXsv2i32
    5488             :     1112U,      // VMAXsv4i16
    5489             :     1112U,      // VMAXsv4i32
    5490             :     1112U,      // VMAXsv8i16
    5491             :     1112U,      // VMAXsv8i8
    5492             :     1112U,      // VMAXuv16i8
    5493             :     1112U,      // VMAXuv2i32
    5494             :     1112U,      // VMAXuv4i16
    5495             :     1112U,      // VMAXuv4i32
    5496             :     1112U,      // VMAXuv8i16
    5497             :     1112U,      // VMAXuv8i8
    5498             :     1112U,      // VMINNMD
    5499             :     1112U,      // VMINNMH
    5500             :     1112U,      // VMINNMNDf
    5501             :     1112U,      // VMINNMNDh
    5502             :     1112U,      // VMINNMNQf
    5503             :     1112U,      // VMINNMNQh
    5504             :     1112U,      // VMINNMS
    5505             :     70705U,     // VMINfd
    5506             :     70705U,     // VMINfq
    5507             :     70705U,     // VMINhd
    5508             :     70705U,     // VMINhq
    5509             :     1112U,      // VMINsv16i8
    5510             :     1112U,      // VMINsv2i32
    5511             :     1112U,      // VMINsv4i16
    5512             :     1112U,      // VMINsv4i32
    5513             :     1112U,      // VMINsv8i16
    5514             :     1112U,      // VMINsv8i8
    5515             :     1112U,      // VMINuv16i8
    5516             :     1112U,      // VMINuv2i32
    5517             :     1112U,      // VMINuv4i16
    5518             :     1112U,      // VMINuv4i32
    5519             :     1112U,      // VMINuv8i16
    5520             :     1112U,      // VMINuv8i8
    5521             :     68659U,     // VMLAD
    5522             :     68659U,     // VMLAH
    5523             :     73752U,     // VMLALslsv2i32
    5524             :     73752U,     // VMLALslsv4i16
    5525             :     73752U,     // VMLALsluv2i32
    5526             :     73752U,     // VMLALsluv4i16
    5527             :     1048U,      // VMLALsv2i64
    5528             :     1048U,      // VMLALsv4i32
    5529             :     1048U,      // VMLALsv8i16
    5530             :     1048U,      // VMLALuv2i64
    5531             :     1048U,      // VMLALuv4i32
    5532             :     1048U,      // VMLALuv8i16
    5533             :     68659U,     // VMLAS
    5534             :     68659U,     // VMLAfd
    5535             :     68659U,     // VMLAfq
    5536             :     68659U,     // VMLAhd
    5537             :     68659U,     // VMLAhq
    5538             :     920627U,    // VMLAslfd
    5539             :     920627U,    // VMLAslfq
    5540             :     920627U,    // VMLAslhd
    5541             :     920627U,    // VMLAslhq
    5542             :     73752U,     // VMLAslv2i32
    5543             :     73752U,     // VMLAslv4i16
    5544             :     73752U,     // VMLAslv4i32
    5545             :     73752U,     // VMLAslv8i16
    5546             :     1048U,      // VMLAv16i8
    5547             :     1048U,      // VMLAv2i32
    5548             :     1048U,      // VMLAv4i16
    5549             :     1048U,      // VMLAv4i32
    5550             :     1048U,      // VMLAv8i16
    5551             :     1048U,      // VMLAv8i8
    5552             :     68659U,     // VMLSD
    5553             :     68659U,     // VMLSH
    5554             :     73752U,     // VMLSLslsv2i32
    5555             :     73752U,     // VMLSLslsv4i16
    5556             :     73752U,     // VMLSLsluv2i32
    5557             :     73752U,     // VMLSLsluv4i16
    5558             :     1048U,      // VMLSLsv2i64
    5559             :     1048U,      // VMLSLsv4i32
    5560             :     1048U,      // VMLSLsv8i16
    5561             :     1048U,      // VMLSLuv2i64
    5562             :     1048U,      // VMLSLuv4i32
    5563             :     1048U,      // VMLSLuv8i16
    5564             :     68659U,     // VMLSS
    5565             :     68659U,     // VMLSfd
    5566             :     68659U,     // VMLSfq
    5567             :     68659U,     // VMLShd
    5568             :     68659U,     // VMLShq
    5569             :     920627U,    // VMLSslfd
    5570             :     920627U,    // VMLSslfq
    5571             :     920627U,    // VMLSslhd
    5572             :     920627U,    // VMLSslhq
    5573             :     73752U,     // VMLSslv2i32
    5574             :     73752U,     // VMLSslv4i16
    5575             :     73752U,     // VMLSslv4i32
    5576             :     73752U,     // VMLSslv8i16
    5577             :     1048U,      // VMLSv16i8
    5578             :     1048U,      // VMLSv2i32
    5579             :     1048U,      // VMLSv4i16
    5580             :     1048U,      // VMLSv4i32
    5581             :     1048U,      // VMLSv8i16
    5582             :     1048U,      // VMLSv8i8
    5583             :     33U,        // VMOVD
    5584             :     0U, // VMOVDRR
    5585             :     0U, // VMOVH
    5586             :     33U,        // VMOVHR
    5587             :     0U, // VMOVLsv2i64
    5588             :     0U, // VMOVLsv4i32
    5589             :     0U, // VMOVLsv8i16
    5590             :     0U, // VMOVLuv2i64
    5591             :     0U, // VMOVLuv4i32
    5592             :     0U, // VMOVLuv8i16
    5593             :     0U, // VMOVNv2i32
    5594             :     0U, // VMOVNv4i16
    5595             :     0U, // VMOVNv8i8
    5596             :     33U,        // VMOVRH
    5597             :     0U, // VMOVRRD
    5598             :     35651584U,  // VMOVRRS
    5599             :     1024U,      // VMOVRS
    5600             :     33U,        // VMOVS
    5601             :     1024U,      // VMOVSR
    5602             :     35651584U,  // VMOVSRR
    5603             :     0U, // VMOVv16i8
    5604             :     0U, // VMOVv1i64
    5605             :     1U, // VMOVv2f32
    5606             :     0U, // VMOVv2i32
    5607             :     0U, // VMOVv2i64
    5608             :     1U, // VMOVv4f32
    5609             :     0U, // VMOVv4i16
    5610             :     0U, // VMOVv4i32
    5611             :     0U, // VMOVv8i16
    5612             :     0U, // VMOVv8i8
    5613             :     4U, // VMRS
    5614             :     5U, // VMRS_FPEXC
    5615             :     5U, // VMRS_FPINST
    5616             :     5U, // VMRS_FPINST2
    5617             :     5U, // VMRS_FPSID
    5618             :     6U, // VMRS_MVFR0
    5619             :     6U, // VMRS_MVFR1
    5620             :     6U, // VMRS_MVFR2
    5621             :     0U, // VMSR
    5622             :     0U, // VMSR_FPEXC
    5623             :     0U, // VMSR_FPINST
    5624             :     0U, // VMSR_FPINST2
    5625             :     0U, // VMSR_FPSID
    5626             :     70705U,     // VMULD
    5627             :     70705U,     // VMULH
    5628             :     1112U,      // VMULLp64
    5629             :     0U, // VMULLp8
    5630             :     10328U,     // VMULLslsv2i32
    5631             :     10328U,     // VMULLslsv4i16
    5632             :     10328U,     // VMULLsluv2i32
    5633             :     10328U,     // VMULLsluv4i16
    5634             :     1112U,      // VMULLsv2i64
    5635             :     1112U,      // VMULLsv4i32
    5636             :     1112U,      // VMULLsv8i16
    5637             :     1112U,      // VMULLuv2i64
    5638             :     1112U,      // VMULLuv4i32
    5639             :     1112U,      // VMULLuv8i16
    5640             :     70705U,     // VMULS
    5641             :     70705U,     // VMULfd
    5642             :     70705U,     // VMULfq
    5643             :     70705U,     // VMULhd
    5644             :     70705U,     // VMULhq
    5645             :     0U, // VMULpd
    5646             :     0U, // VMULpq
    5647             :     955441U,    // VMULslfd
    5648             :     955441U,    // VMULslfq
    5649             :     955441U,    // VMULslhd
    5650             :     955441U,    // VMULslhq
    5651             :     10328U,     // VMULslv2i32
    5652             :     10328U,     // VMULslv4i16
    5653             :     10328U,     // VMULslv4i32
    5654             :     10328U,     // VMULslv8i16
    5655             :     1112U,      // VMULv16i8
    5656             :     1112U,      // VMULv2i32
    5657             :     1112U,      // VMULv4i16
    5658             :     1112U,      // VMULv4i32
    5659             :     1112U,      // VMULv8i16
    5660             :     1112U,      // VMULv8i8
    5661             :     1024U,      // VMVNd
    5662             :     1024U,      // VMVNq
    5663             :     0U, // VMVNv2i32
    5664             :     0U, // VMVNv4i16
    5665             :     0U, // VMVNv4i32
    5666             :     0U, // VMVNv8i16
    5667             :     33U,        // VNEGD
    5668             :     33U,        // VNEGH
    5669             :     33U,        // VNEGS
    5670             :     33U,        // VNEGf32q
    5671             :     33U,        // VNEGfd
    5672             :     33U,        // VNEGhd
    5673             :     33U,        // VNEGhq
    5674             :     0U, // VNEGs16d
    5675             :     0U, // VNEGs16q
    5676             :     0U, // VNEGs32d
    5677             :     0U, // VNEGs32q
    5678             :     0U, // VNEGs8d
    5679             :     0U, // VNEGs8q
    5680             :     68659U,     // VNMLAD
    5681             :     68659U,     // VNMLAH
    5682             :     68659U,     // VNMLAS
    5683             :     68659U,     // VNMLSD
    5684             :     68659U,     // VNMLSH
    5685             :     68659U,     // VNMLSS
    5686             :     70705U,     // VNMULD
    5687             :     70705U,     // VNMULH
    5688             :     70705U,     // VNMULS
    5689             :     0U, // VORNd
    5690             :     0U, // VORNq
    5691             :     0U, // VORRd
    5692             :     0U, // VORRiv2i32
    5693             :     0U, // VORRiv4i16
    5694             :     0U, // VORRiv4i32
    5695             :     0U, // VORRiv8i16
    5696             :     0U, // VORRq
    5697             :     0U, // VPADALsv16i8
    5698             :     0U, // VPADALsv2i32
    5699             :     0U, // VPADALsv4i16
    5700             :     0U, // VPADALsv4i32
    5701             :     0U, // VPADALsv8i16
    5702             :     0U, // VPADALsv8i8
    5703             :     0U, // VPADALuv16i8
    5704             :     0U, // VPADALuv2i32
    5705             :     0U, // VPADALuv4i16
    5706             :     0U, // VPADALuv4i32
    5707             :     0U, // VPADALuv8i16
    5708             :     0U, // VPADALuv8i8
    5709             :     0U, // VPADDLsv16i8
    5710             :     0U, // VPADDLsv2i32
    5711             :     0U, // VPADDLsv4i16
    5712             :     0U, // VPADDLsv4i32
    5713             :     0U, // VPADDLsv8i16
    5714             :     0U, // VPADDLsv8i8
    5715             :     0U, // VPADDLuv16i8
    5716             :     0U, // VPADDLuv2i32
    5717             :     0U, // VPADDLuv4i16
    5718             :     0U, // VPADDLuv4i32
    5719             :     0U, // VPADDLuv8i16
    5720             :     0U, // VPADDLuv8i8
    5721             :     70705U,     // VPADDf
    5722             :     70705U,     // VPADDh
    5723             :     1112U,      // VPADDi16
    5724             :     1112U,      // VPADDi32
    5725             :     1112U,      // VPADDi8
    5726             :     70705U,     // VPMAXf
    5727             :     70705U,     // VPMAXh
    5728             :     1112U,      // VPMAXs16
    5729             :     1112U,      // VPMAXs32
    5730             :     1112U,      // VPMAXs8
    5731             :     1112U,      // VPMAXu16
    5732             :     1112U,      // VPMAXu32
    5733             :     1112U,      // VPMAXu8
    5734             :     70705U,     // VPMINf
    5735             :     70705U,     // VPMINh
    5736             :     1112U,      // VPMINs16
    5737             :     1112U,      // VPMINs32
    5738             :     1112U,      // VPMINs8
    5739             :     1112U,      // VPMINu16
    5740             :     1112U,      // VPMINu32
    5741             :     1112U,      // VPMINu8
    5742             :     0U, // VQABSv16i8
    5743             :     0U, // VQABSv2i32
    5744             :     0U, // VQABSv4i16
    5745             :     0U, // VQABSv4i32
    5746             :     0U, // VQABSv8i16
    5747             :     0U, // VQABSv8i8
    5748             :     1112U,      // VQADDsv16i8
    5749             :     1112U,      // VQADDsv1i64
    5750             :     1112U,      // VQADDsv2i32
    5751             :     1112U,      // VQADDsv2i64
    5752             :     1112U,      // VQADDsv4i16
    5753             :     1112U,      // VQADDsv4i32
    5754             :     1112U,      // VQADDsv8i16
    5755             :     1112U,      // VQADDsv8i8
    5756             :     1112U,      // VQADDuv16i8
    5757             :     1112U,      // VQADDuv1i64
    5758             :     1112U,      // VQADDuv2i32
    5759             :     1112U,      // VQADDuv2i64
    5760             :     1112U,      // VQADDuv4i16
    5761             :     1112U,      // VQADDuv4i32
    5762             :     1112U,      // VQADDuv8i16
    5763             :     1112U,      // VQADDuv8i8
    5764             :     73752U,     // VQDMLALslv2i32
    5765             :     73752U,     // VQDMLALslv4i16
    5766             :     1048U,      // VQDMLALv2i64
    5767             :     1048U,      // VQDMLALv4i32
    5768             :     73752U,     // VQDMLSLslv2i32
    5769             :     73752U,     // VQDMLSLslv4i16
    5770             :     1048U,      // VQDMLSLv2i64
    5771             :     1048U,      // VQDMLSLv4i32
    5772             :     10328U,     // VQDMULHslv2i32
    5773             :     10328U,     // VQDMULHslv4i16
    5774             :     10328U,     // VQDMULHslv4i32
    5775             :     10328U,     // VQDMULHslv8i16
    5776             :     1112U,      // VQDMULHv2i32
    5777             :     1112U,      // VQDMULHv4i16
    5778             :     1112U,      // VQDMULHv4i32
    5779             :     1112U,      // VQDMULHv8i16
    5780             :     10328U,     // VQDMULLslv2i32
    5781             :     10328U,     // VQDMULLslv4i16
    5782             :     1112U,      // VQDMULLv2i64
    5783             :     1112U,      // VQDMULLv4i32
    5784             :     0U, // VQMOVNsuv2i32
    5785             :     0U, // VQMOVNsuv4i16
    5786             :     0U, // VQMOVNsuv8i8
    5787             :     0U, // VQMOVNsv2i32
    5788             :     0U, // VQMOVNsv4i16
    5789             :     0U, // VQMOVNsv8i8
    5790             :     0U, // VQMOVNuv2i32
    5791             :     0U, // VQMOVNuv4i16
    5792             :     0U, // VQMOVNuv8i8
    5793             :     0U, // VQNEGv16i8
    5794             :     0U, // VQNEGv2i32
    5795             :     0U, // VQNEGv4i16
    5796             :     0U, // VQNEGv4i32
    5797             :     0U, // VQNEGv8i16
    5798             :     0U, // VQNEGv8i8
    5799             :     73752U,     // VQRDMLAHslv2i32
    5800             :     73752U,     // VQRDMLAHslv4i16
    5801             :     73752U,     // VQRDMLAHslv4i32
    5802             :     73752U,     // VQRDMLAHslv8i16
    5803             :     1048U,      // VQRDMLAHv2i32
    5804             :     1048U,      // VQRDMLAHv4i16
    5805             :     1048U,      // VQRDMLAHv4i32
    5806             :     1048U,      // VQRDMLAHv8i16
    5807             :     73752U,     // VQRDMLSHslv2i32
    5808             :     73752U,     // VQRDMLSHslv4i16
    5809             :     73752U,     // VQRDMLSHslv4i32
    5810             :     73752U,     // VQRDMLSHslv8i16
    5811             :     1048U,      // VQRDMLSHv2i32
    5812             :     1048U,      // VQRDMLSHv4i16
    5813             :     1048U,      // VQRDMLSHv4i32
    5814             :     1048U,      // VQRDMLSHv8i16
    5815             :     10328U,     // VQRDMULHslv2i32
    5816             :     10328U,     // VQRDMULHslv4i16
    5817             :     10328U,     // VQRDMULHslv4i32
    5818             :     10328U,     // VQRDMULHslv8i16
    5819             :     1112U,      // VQRDMULHv2i32
    5820             :     1112U,      // VQRDMULHv4i16
    5821             :     1112U,      // VQRDMULHv4i32
    5822             :     1112U,      // VQRDMULHv8i16
    5823             :     1112U,      // VQRSHLsv16i8
    5824             :     1112U,      // VQRSHLsv1i64
    5825             :     1112U,      // VQRSHLsv2i32
    5826             :     1112U,      // VQRSHLsv2i64
    5827             :     1112U,      // VQRSHLsv4i16
    5828             :     1112U,      // VQRSHLsv4i32
    5829             :     1112U,      // VQRSHLsv8i16
    5830             :     1112U,      // VQRSHLsv8i8
    5831             :     1112U,      // VQRSHLuv16i8
    5832             :     1112U,      // VQRSHLuv1i64
    5833             :     1112U,      // VQRSHLuv2i32
    5834             :     1112U,      // VQRSHLuv2i64
    5835             :     1112U,      // VQRSHLuv4i16
    5836             :     1112U,      // VQRSHLuv4i32
    5837             :     1112U,      // VQRSHLuv8i16
    5838             :     1112U,      // VQRSHLuv8i8
    5839             :     1112U,      // VQRSHRNsv2i32
    5840             :     1112U,      // VQRSHRNsv4i16
    5841             :     1112U,      // VQRSHRNsv8i8
    5842             :     1112U,      // VQRSHRNuv2i32
    5843             :     1112U,      // VQRSHRNuv4i16
    5844             :     1112U,      // VQRSHRNuv8i8
    5845             :     1112U,      // VQRSHRUNv2i32
    5846             :     1112U,      // VQRSHRUNv4i16
    5847             :     1112U,      // VQRSHRUNv8i8
    5848             :     1112U,      // VQSHLsiv16i8
    5849             :     1112U,      // VQSHLsiv1i64
    5850             :     1112U,      // VQSHLsiv2i32
    5851             :     1112U,      // VQSHLsiv2i64
    5852             :     1112U,      // VQSHLsiv4i16
    5853             :     1112U,      // VQSHLsiv4i32
    5854             :     1112U,      // VQSHLsiv8i16
    5855             :     1112U,      // VQSHLsiv8i8
    5856             :     1112U,      // VQSHLsuv16i8
    5857             :     1112U,      // VQSHLsuv1i64
    5858             :     1112U,      // VQSHLsuv2i32
    5859             :     1112U,      // VQSHLsuv2i64
    5860             :     1112U,      // VQSHLsuv4i16
    5861             :     1112U,      // VQSHLsuv4i32
    5862             :     1112U,      // VQSHLsuv8i16
    5863             :     1112U,      // VQSHLsuv8i8
    5864             :     1112U,      // VQSHLsv16i8
    5865             :     1112U,      // VQSHLsv1i64
    5866             :     1112U,      // VQSHLsv2i32
    5867             :     1112U,      // VQSHLsv2i64
    5868             :     1112U,      // VQSHLsv4i16
    5869             :     1112U,      // VQSHLsv4i32
    5870             :     1112U,      // VQSHLsv8i16
    5871             :     1112U,      // VQSHLsv8i8
    5872             :     1112U,      // VQSHLuiv16i8
    5873             :     1112U,      // VQSHLuiv1i64
    5874             :     1112U,      // VQSHLuiv2i32
    5875             :     1112U,      // VQSHLuiv2i64
    5876             :     1112U,      // VQSHLuiv4i16
    5877             :     1112U,      // VQSHLuiv4i32
    5878             :     1112U,      // VQSHLuiv8i16
    5879             :     1112U,      // VQSHLuiv8i8
    5880             :     1112U,      // VQSHLuv16i8
    5881             :     1112U,      // VQSHLuv1i64
    5882             :     1112U,      // VQSHLuv2i32
    5883             :     1112U,      // VQSHLuv2i64
    5884             :     1112U,      // VQSHLuv4i16
    5885             :     1112U,      // VQSHLuv4i32
    5886             :     1112U,      // VQSHLuv8i16
    5887             :     1112U,      // VQSHLuv8i8
    5888             :     1112U,      // VQSHRNsv2i32
    5889             :     1112U,      // VQSHRNsv4i16
    5890             :     1112U,      // VQSHRNsv8i8
    5891             :     1112U,      // VQSHRNuv2i32
    5892             :     1112U,      // VQSHRNuv4i16
    5893             :     1112U,      // VQSHRNuv8i8
    5894             :     1112U,      // VQSHRUNv2i32
    5895             :     1112U,      // VQSHRUNv4i16
    5896             :     1112U,      // VQSHRUNv8i8
    5897             :     1112U,      // VQSUBsv16i8
    5898             :     1112U,      // VQSUBsv1i64
    5899             :     1112U,      // VQSUBsv2i32
    5900             :     1112U,      // VQSUBsv2i64
    5901             :     1112U,      // VQSUBsv4i16
    5902             :     1112U,      // VQSUBsv4i32
    5903             :     1112U,      // VQSUBsv8i16
    5904             :     1112U,      // VQSUBsv8i8
    5905             :     1112U,      // VQSUBuv16i8
    5906             :     1112U,      // VQSUBuv1i64
    5907             :     1112U,      // VQSUBuv2i32
    5908             :     1112U,      // VQSUBuv2i64
    5909             :     1112U,      // VQSUBuv4i16
    5910             :     1112U,      // VQSUBuv4i32
    5911             :     1112U,      // VQSUBuv8i16
    5912             :     1112U,      // VQSUBuv8i8
    5913             :     1112U,      // VRADDHNv2i32
    5914             :     1112U,      // VRADDHNv4i16
    5915             :     1112U,      // VRADDHNv8i8
    5916             :     0U, // VRECPEd
    5917             :     33U,        // VRECPEfd
    5918             :     33U,        // VRECPEfq
    5919             :     33U,        // VRECPEhd
    5920             :     33U,        // VRECPEhq
    5921             :     0U, // VRECPEq
    5922             :     70705U,     // VRECPSfd
    5923             :     70705U,     // VRECPSfq
    5924             :     70705U,     // VRECPShd
    5925             :     70705U,     // VRECPShq
    5926             :     1024U,      // VREV16d8
    5927             :     1024U,      // VREV16q8
    5928             :     1024U,      // VREV32d16
    5929             :     1024U,      // VREV32d8
    5930             :     1024U,      // VREV32q16
    5931             :     1024U,      // VREV32q8
    5932             :     1024U,      // VREV64d16
    5933             :     1024U,      // VREV64d32
    5934             :     1024U,      // VREV64d8
    5935             :     1024U,      // VREV64q16
    5936             :     1024U,      // VREV64q32
    5937             :     1024U,      // VREV64q8
    5938             :     1112U,      // VRHADDsv16i8
    5939             :     1112U,      // VRHADDsv2i32
    5940             :     1112U,      // VRHADDsv4i16
    5941             :     1112U,      // VRHADDsv4i32
    5942             :     1112U,      // VRHADDsv8i16
    5943             :     1112U,      // VRHADDsv8i8
    5944             :     1112U,      // VRHADDuv16i8
    5945             :     1112U,      // VRHADDuv2i32
    5946             :     1112U,      // VRHADDuv4i16
    5947             :     1112U,      // VRHADDuv4i32
    5948             :     1112U,      // VRHADDuv8i16
    5949             :     1112U,      // VRHADDuv8i8
    5950             :     0U, // VRINTAD
    5951             :     0U, // VRINTAH
    5952             :     0U, // VRINTANDf
    5953             :     0U, // VRINTANDh
    5954             :     0U, // VRINTANQf
    5955             :     0U, // VRINTANQh
    5956             :     0U, // VRINTAS
    5957             :     0U, // VRINTMD
    5958             :     0U, // VRINTMH
    5959             :     0U, // VRINTMNDf
    5960             :     0U, // VRINTMNDh
    5961             :     0U, // VRINTMNQf
    5962             :     0U, // VRINTMNQh
    5963             :     0U, // VRINTMS
    5964             :     0U, // VRINTND
    5965             :     0U, // VRINTNH
    5966             :     0U, // VRINTNNDf
    5967             :     0U, // VRINTNNDh
    5968             :     0U, // VRINTNNQf
    5969             :     0U, // VRINTNNQh
    5970             :     0U, // VRINTNS
    5971             :     0U, // VRINTPD
    5972             :     0U, // VRINTPH
    5973             :     0U, // VRINTPNDf
    5974             :     0U, // VRINTPNDh
    5975             :     0U, // VRINTPNQf
    5976             :     0U, // VRINTPNQh
    5977             :     0U, // VRINTPS
    5978             :     33U,        // VRINTRD
    5979             :     33U,        // VRINTRH
    5980             :     33U,        // VRINTRS
    5981             :     33U,        // VRINTXD
    5982             :     33U,        // VRINTXH
    5983             :     0U, // VRINTXNDf
    5984             :     0U, // VRINTXNDh
    5985             :     0U, // VRINTXNQf
    5986             :     0U, // VRINTXNQh
    5987             :     33U,        // VRINTXS
    5988             :     33U,        // VRINTZD
    5989             :     33U,        // VRINTZH
    5990             :     0U, // VRINTZNDf
    5991             :     0U, // VRINTZNDh
    5992             :     0U, // VRINTZNQf
    5993             :     0U, // VRINTZNQh
    5994             :     33U,        // VRINTZS
    5995             :     1112U,      // VRSHLsv16i8
    5996             :     1112U,      // VRSHLsv1i64
    5997             :     1112U,      // VRSHLsv2i32
    5998             :     1112U,      // VRSHLsv2i64
    5999             :     1112U,      // VRSHLsv4i16
    6000             :     1112U,      // VRSHLsv4i32
    6001             :     1112U,      // VRSHLsv8i16
    6002             :     1112U,      // VRSHLsv8i8
    6003             :     1112U,      // VRSHLuv16i8
    6004             :     1112U,      // VRSHLuv1i64
    6005             :     1112U,      // VRSHLuv2i32
    6006             :     1112U,      // VRSHLuv2i64
    6007             :     1112U,      // VRSHLuv4i16
    6008             :     1112U,      // VRSHLuv4i32
    6009             :     1112U,      // VRSHLuv8i16
    6010             :     1112U,      // VRSHLuv8i8
    6011             :     1112U,      // VRSHRNv2i32
    6012             :     1112U,      // VRSHRNv4i16
    6013             :     1112U,      // VRSHRNv8i8
    6014             :     1112U,      // VRSHRsv16i8
    6015             :     1112U,      // VRSHRsv1i64
    6016             :     1112U,      // VRSHRsv2i32
    6017             :     1112U,      // VRSHRsv2i64
    6018             :     1112U,      // VRSHRsv4i16
    6019             :     1112U,      // VRSHRsv4i32
    6020             :     1112U,      // VRSHRsv8i16
    6021             :     1112U,      // VRSHRsv8i8
    6022             :     1112U,      // VRSHRuv16i8
    6023             :     1112U,      // VRSHRuv1i64
    6024             :     1112U,      // VRSHRuv2i32
    6025             :     1112U,      // VRSHRuv2i64
    6026             :     1112U,      // VRSHRuv4i16
    6027             :     1112U,      // VRSHRuv4i32
    6028             :     1112U,      // VRSHRuv8i16
    6029             :     1112U,      // VRSHRuv8i8
    6030             :     0U, // VRSQRTEd
    6031             :     33U,        // VRSQRTEfd
    6032             :     33U,        // VRSQRTEfq
    6033             :     33U,        // VRSQRTEhd
    6034             :     33U,        // VRSQRTEhq
    6035             :     0U, // VRSQRTEq
    6036             :     70705U,     // VRSQRTSfd
    6037             :     70705U,     // VRSQRTSfq
    6038             :     70705U,     // VRSQRTShd
    6039             :     70705U,     // VRSQRTShq
    6040             :     1048U,      // VRSRAsv16i8
    6041             :     1048U,      // VRSRAsv1i64
    6042             :     1048U,      // VRSRAsv2i32
    6043             :     1048U,      // VRSRAsv2i64
    6044             :     1048U,      // VRSRAsv4i16
    6045             :     1048U,      // VRSRAsv4i32
    6046             :     1048U,      // VRSRAsv8i16
    6047             :     1048U,      // VRSRAsv8i8
    6048             :     1048U,      // VRSRAuv16i8
    6049             :     1048U,      // VRSRAuv1i64
    6050             :     1048U,      // VRSRAuv2i32
    6051             :     1048U,      // VRSRAuv2i64
    6052             :     1048U,      // VRSRAuv4i16
    6053             :     1048U,      // VRSRAuv4i32
    6054             :     1048U,      // VRSRAuv8i16
    6055             :     1048U,      // VRSRAuv8i8
    6056             :     1112U,      // VRSUBHNv2i32
    6057             :     1112U,      // VRSUBHNv4i16
    6058             :     1112U,      // VRSUBHNv8i8
    6059             :     0U, // VSDOTD
    6060             :     0U, // VSDOTDI
    6061             :     0U, // VSDOTQ
    6062             :     0U, // VSDOTQI
    6063             :     1112U,      // VSELEQD
    6064             :     1112U,      // VSELEQH
    6065             :     1112U,      // VSELEQS
    6066             :     1112U,      // VSELGED
    6067             :     1112U,      // VSELGEH
    6068             :     1112U,      // VSELGES
    6069             :     1112U,      // VSELGTD
    6070             :     1112U,      // VSELGTH
    6071             :     1112U,      // VSELGTS
    6072             :     1112U,      // VSELVSD
    6073             :     1112U,      // VSELVSH
    6074             :     1112U,      // VSELVSS
    6075             :     6U, // VSETLNi16
    6076             :     6U, // VSETLNi32
    6077             :     6U, // VSETLNi8
    6078             :     1112U,      // VSHLLi16
    6079             :     1112U,      // VSHLLi32
    6080             :     1112U,      // VSHLLi8
    6081             :     1112U,      // VSHLLsv2i64
    6082             :     1112U,      // VSHLLsv4i32
    6083             :     1112U,      // VSHLLsv8i16
    6084             :     1112U,      // VSHLLuv2i64
    6085             :     1112U,      // VSHLLuv4i32
    6086             :     1112U,      // VSHLLuv8i16
    6087             :     1112U,      // VSHLiv16i8
    6088             :     1112U,      // VSHLiv1i64
    6089             :     1112U,      // VSHLiv2i32
    6090             :     1112U,      // VSHLiv2i64
    6091             :     1112U,      // VSHLiv4i16
    6092             :     1112U,      // VSHLiv4i32
    6093             :     1112U,      // VSHLiv8i16
    6094             :     1112U,      // VSHLiv8i8
    6095             :     1112U,      // VSHLsv16i8
    6096             :     1112U,      // VSHLsv1i64
    6097             :     1112U,      // VSHLsv2i32
    6098             :     1112U,      // VSHLsv2i64
    6099             :     1112U,      // VSHLsv4i16
    6100             :     1112U,      // VSHLsv4i32
    6101             :     1112U,      // VSHLsv8i16
    6102             :     1112U,      // VSHLsv8i8
    6103             :     1112U,      // VSHLuv16i8
    6104             :     1112U,      // VSHLuv1i64
    6105             :     1112U,      // VSHLuv2i32
    6106             :     1112U,      // VSHLuv2i64
    6107             :     1112U,      // VSHLuv4i16
    6108             :     1112U,      // VSHLuv4i32
    6109             :     1112U,      // VSHLuv8i16
    6110             :     1112U,      // VSHLuv8i8
    6111             :     1112U,      // VSHRNv2i32
    6112             :     1112U,      // VSHRNv4i16
    6113             :     1112U,      // VSHRNv8i8
    6114             :     1112U,      // VSHRsv16i8
    6115             :     1112U,      // VSHRsv1i64
    6116             :     1112U,      // VSHRsv2i32
    6117             :     1112U,      // VSHRsv2i64
    6118             :     1112U,      // VSHRsv4i16
    6119             :     1112U,      // VSHRsv4i32
    6120             :     1112U,      // VSHRsv8i16
    6121             :     1112U,      // VSHRsv8i8
    6122             :     1112U,      // VSHRuv16i8
    6123             :     1112U,      // VSHRuv1i64
    6124             :     1112U,      // VSHRuv2i32
    6125             :     1112U,      // VSHRuv2i64
    6126             :     1112U,      // VSHRuv4i16
    6127             :     1112U,      // VSHRuv4i32
    6128             :     1112U,      // VSHRuv8i16
    6129             :     1112U,      // VSHRuv8i8
    6130             :     0U, // VSHTOD
    6131             :     7U, // VSHTOH
    6132             :     0U, // VSHTOS
    6133             :     0U, // VSITOD
    6134             :     0U, // VSITOH
    6135             :     0U, // VSITOS
    6136             :     589912U,    // VSLIv16i8
    6137             :     589912U,    // VSLIv1i64
    6138             :     589912U,    // VSLIv2i32
    6139             :     589912U,    // VSLIv2i64
    6140             :     589912U,    // VSLIv4i16
    6141             :     589912U,    // VSLIv4i32
    6142             :     589912U,    // VSLIv8i16
    6143             :     589912U,    // VSLIv8i8
    6144             :     7U, // VSLTOD
    6145             :     7U, // VSLTOH
    6146             :     7U, // VSLTOS
    6147             :     33U,        // VSQRTD
    6148             :     33U,        // VSQRTH
    6149             :     33U,        // VSQRTS
    6150             :     1048U,      // VSRAsv16i8
    6151             :     1048U,      // VSRAsv1i64
    6152             :     1048U,      // VSRAsv2i32
    6153             :     1048U,      // VSRAsv2i64
    6154             :     1048U,      // VSRAsv4i16
    6155             :     1048U,      // VSRAsv4i32
    6156             :     1048U,      // VSRAsv8i16
    6157             :     1048U,      // VSRAsv8i8
    6158             :     1048U,      // VSRAuv16i8
    6159             :     1048U,      // VSRAuv1i64
    6160             :     1048U,      // VSRAuv2i32
    6161             :     1048U,      // VSRAuv2i64
    6162             :     1048U,      // VSRAuv4i16
    6163             :     1048U,      // VSRAuv4i32
    6164             :     1048U,      // VSRAuv8i16
    6165             :     1048U,      // VSRAuv8i8
    6166             :     589912U,    // VSRIv16i8
    6167             :     589912U,    // VSRIv1i64
    6168             :     589912U,    // VSRIv2i32
    6169             :     589912U,    // VSRIv2i64
    6170             :     589912U,    // VSRIv4i16
    6171             :     589912U,    // VSRIv4i32
    6172             :     589912U,    // VSRIv8i16
    6173             :     589912U,    // VSRIv8i8
    6174             :     308U,       // VST1LNd16
    6175             :     23769404U,  // VST1LNd16_UPD
    6176             :     308U,       // VST1LNd32
    6177             :     23769404U,  // VST1LNd32_UPD
    6178             :     308U,       // VST1LNd8
    6179             :     23769404U,  // VST1LNd8_UPD
    6180             :     0U, // VST1LNq16Pseudo
    6181             :     0U, // VST1LNq16Pseudo_UPD
    6182             :     0U, // VST1LNq32Pseudo
    6183             :     0U, // VST1LNq32Pseudo_UPD
    6184             :     0U, // VST1LNq8Pseudo
    6185             :     0U, // VST1LNq8Pseudo_UPD
    6186             :     0U, // VST1d16
    6187             :     0U, // VST1d16Q
    6188             :     0U, // VST1d16QPseudo
    6189             :     0U, // VST1d16Qwb_fixed
    6190             :     0U, // VST1d16Qwb_register
    6191             :     0U, // VST1d16T
    6192             :     0U, // VST1d16TPseudo
    6193             :     0U, // VST1d16Twb_fixed
    6194             :     0U, // VST1d16Twb_register
    6195             :     0U, // VST1d16wb_fixed
    6196             :     0U, // VST1d16wb_register
    6197             :     0U, // VST1d32
    6198             :     0U, // VST1d32Q
    6199             :     0U, // VST1d32QPseudo
    6200             :     0U, // VST1d32Qwb_fixed
    6201             :     0U, // VST1d32Qwb_register
    6202             :     0U, // VST1d32T
    6203             :     0U, // VST1d32TPseudo
    6204             :     0U, // VST1d32Twb_fixed
    6205             :     0U, // VST1d32Twb_register
    6206             :     0U, // VST1d32wb_fixed
    6207             :     0U, // VST1d32wb_register
    6208             :     0U, // VST1d64
    6209             :     0U, // VST1d64Q
    6210             :     0U, // VST1d64QPseudo
    6211             :     0U, // VST1d64QPseudoWB_fixed
    6212             :     0U, // VST1d64QPseudoWB_register
    6213             :     0U, // VST1d64Qwb_fixed
    6214             :     0U, // VST1d64Qwb_register
    6215             :     0U, // VST1d64T
    6216             :     0U, // VST1d64TPseudo
    6217             :     0U, // VST1d64TPseudoWB_fixed
    6218             :     0U, // VST1d64TPseudoWB_register
    6219             :     0U, // VST1d64Twb_fixed
    6220             :     0U, // VST1d64Twb_register
    6221             :     0U, // VST1d64wb_fixed
    6222             :     0U, // VST1d64wb_register
    6223             :     0U, // VST1d8
    6224             :     0U, // VST1d8Q
    6225             :     0U, // VST1d8QPseudo
    6226             :     0U, // VST1d8Qwb_fixed
    6227             :     0U, // VST1d8Qwb_register
    6228             :     0U, // VST1d8T
    6229             :     0U, // VST1d8TPseudo
    6230             :     0U, // VST1d8Twb_fixed
    6231             :     0U, // VST1d8Twb_register
    6232             :     0U, // VST1d8wb_fixed
    6233             :     0U, // VST1d8wb_register
    6234             :     0U, // VST1q16
    6235             :     0U, // VST1q16HighQPseudo
    6236             :     0U, // VST1q16HighTPseudo
    6237             :     0U, // VST1q16LowQPseudo_UPD
    6238             :     0U, // VST1q16LowTPseudo_UPD
    6239             :     0U, // VST1q16wb_fixed
    6240             :     0U, // VST1q16wb_register
    6241             :     0U, // VST1q32
    6242             :     0U, // VST1q32HighQPseudo
    6243             :     0U, // VST1q32HighTPseudo
    6244             :     0U, // VST1q32LowQPseudo_UPD
    6245             :     0U, // VST1q32LowTPseudo_UPD
    6246             :     0U, // VST1q32wb_fixed
    6247             :     0U, // VST1q32wb_register
    6248             :     0U, // VST1q64
    6249             :     0U, // VST1q64HighQPseudo
    6250             :     0U, // VST1q64HighTPseudo
    6251             :     0U, // VST1q64LowQPseudo_UPD
    6252             :     0U, // VST1q64LowTPseudo_UPD
    6253             :     0U, // VST1q64wb_fixed
    6254             :     0U, // VST1q64wb_register
    6255             :     0U, // VST1q8
    6256             :     0U, // VST1q8HighQPseudo
    6257             :     0U, // VST1q8HighTPseudo
    6258             :     0U, // VST1q8LowQPseudo_UPD
    6259             :     0U, // VST1q8LowTPseudo_UPD
    6260             :     0U, // VST1q8wb_fixed
    6261             :     0U, // VST1q8wb_register
    6262             :     222901484U, // VST2LNd16
    6263             :     0U, // VST2LNd16Pseudo
    6264             :     0U, // VST2LNd16Pseudo_UPD
    6265             :     996596U,    // VST2LNd16_UPD
    6266             :     222901484U, // VST2LNd32
    6267             :     0U, // VST2LNd32Pseudo
    6268             :     0U, // VST2LNd32Pseudo_UPD
    6269             :     996596U,    // VST2LNd32_UPD
    6270             :     222901484U, // VST2LNd8
    6271             :     0U, // VST2LNd8Pseudo
    6272             :     0U, // VST2LNd8Pseudo_UPD
    6273             :     996596U,    // VST2LNd8_UPD
    6274             :     222901484U, // VST2LNq16
    6275             :     0U, // VST2LNq16Pseudo
    6276             :     0U, // VST2LNq16Pseudo_UPD
    6277             :     996596U,    // VST2LNq16_UPD
    6278             :     222901484U, // VST2LNq32
    6279             :     0U, // VST2LNq32Pseudo
    6280             :     0U, // VST2LNq32Pseudo_UPD
    6281             :     996596U,    // VST2LNq32_UPD
    6282             :     0U, // VST2b16
    6283             :     0U, // VST2b16wb_fixed
    6284             :     0U, // VST2b16wb_register
    6285             :     0U, // VST2b32
    6286             :     0U, // VST2b32wb_fixed
    6287             :     0U, // VST2b32wb_register
    6288             :     0U, // VST2b8
    6289             :     0U, // VST2b8wb_fixed
    6290             :     0U, // VST2b8wb_register
    6291             :     0U, // VST2d16
    6292             :     0U, // VST2d16wb_fixed
    6293             :     0U, // VST2d16wb_register
    6294             :     0U, // VST2d32
    6295             :     0U, // VST2d32wb_fixed
    6296             :     0U, // VST2d32wb_register
    6297             :     0U, // VST2d8
    6298             :     0U, // VST2d8wb_fixed
    6299             :     0U, // VST2d8wb_register
    6300             :     0U, // VST2q16
    6301             :     0U, // VST2q16Pseudo
    6302             :     0U, // VST2q16PseudoWB_fixed
    6303             :     0U, // VST2q16PseudoWB_register
    6304             :     0U, // VST2q16wb_fixed
    6305             :     0U, // VST2q16wb_register
    6306             :     0U, // VST2q32
    6307             :     0U, // VST2q32Pseudo
    6308             :     0U, // VST2q32PseudoWB_fixed
    6309             :     0U, // VST2q32PseudoWB_register
    6310             :     0U, // VST2q32wb_fixed
    6311             :     0U, // VST2q32wb_register
    6312             :     0U, // VST2q8
    6313             :     0U, // VST2q8Pseudo
    6314             :     0U, // VST2q8PseudoWB_fixed
    6315             :     0U, // VST2q8PseudoWB_register
    6316             :     0U, // VST2q8wb_fixed
    6317             :     0U, // VST2q8wb_register
    6318             :     256455996U, // VST3LNd16
    6319             :     0U, // VST3LNd16Pseudo
    6320             :     0U, // VST3LNd16Pseudo_UPD
    6321             :     324U,       // VST3LNd16_UPD
    6322             :     256455996U, // VST3LNd32
    6323             :     0U, // VST3LNd32Pseudo
    6324             :     0U, // VST3LNd32Pseudo_UPD
    6325             :     324U,       // VST3LNd32_UPD
    6326             :     256455996U, // VST3LNd8
    6327             :     0U, // VST3LNd8Pseudo
    6328             :     0U, // VST3LNd8Pseudo_UPD
    6329             :     324U,       // VST3LNd8_UPD
    6330             :     256455996U, // VST3LNq16
    6331             :     0U, // VST3LNq16Pseudo
    6332             :     0U, // VST3LNq16Pseudo_UPD
    6333             :     324U,       // VST3LNq16_UPD
    6334             :     256455996U, // VST3LNq32
    6335             :     0U, // VST3LNq32Pseudo
    6336             :     0U, // VST3LNq32Pseudo_UPD
    6337             :     324U,       // VST3LNq32_UPD
    6338             :     287342616U, // VST3d16
    6339             :     0U, // VST3d16Pseudo
    6340             :     0U, // VST3d16Pseudo_UPD
    6341             :     18760U,     // VST3d16_UPD
    6342             :     287342616U, // VST3d32
    6343             :     0U, // VST3d32Pseudo
    6344             :     0U, // VST3d32Pseudo_UPD
    6345             :     18760U,     // VST3d32_UPD
    6346             :     287342616U, // VST3d8
    6347             :     0U, // VST3d8Pseudo
    6348             :     0U, // VST3d8Pseudo_UPD
    6349             :     18760U,     // VST3d8_UPD
    6350             :     287342616U, // VST3q16
    6351             :     0U, // VST3q16Pseudo_UPD
    6352             :     18760U,     // VST3q16_UPD
    6353             :     0U, // VST3q16oddPseudo
    6354             :     0U, // VST3q16oddPseudo_UPD
    6355             :     287342616U, // VST3q32
    6356             :     0U, // VST3q32Pseudo_UPD
    6357             :     18760U,     // VST3q32_UPD
    6358             :     0U, // VST3q32oddPseudo
    6359             :     0U, // VST3q32oddPseudo_UPD
    6360             :     287342616U, // VST3q8
    6361             :     0U, // VST3q8Pseudo_UPD
    6362             :     18760U,     // VST3q8_UPD
    6363             :     0U, // VST3q8oddPseudo
    6364             :     0U, // VST3q8oddPseudo_UPD
    6365             :     323564788U, // VST4LNd16
    6366             :     0U, // VST4LNd16Pseudo
    6367             :     0U, // VST4LNd16Pseudo_UPD
    6368             :     19708U,     // VST4LNd16_UPD
    6369             :     323564788U, // VST4LNd32
    6370             :     0U, // VST4LNd32Pseudo
    6371             :     0U, // VST4LNd32Pseudo_UPD
    6372             :     19708U,     // VST4LNd32_UPD
    6373             :     323564788U, // VST4LNd8
    6374             :     0U, // VST4LNd8Pseudo
    6375             :     0U, // VST4LNd8Pseudo_UPD
    6376             :     19708U,     // VST4LNd8_UPD
    6377             :     323564788U, // VST4LNq16
    6378             :     0U, // VST4LNq16Pseudo
    6379             :     0U, // VST4LNq16Pseudo_UPD
    6380             :     19708U,     // VST4LNq16_UPD
    6381             :     323564788U, // VST4LNq32
    6382             :     0U, // VST4LNq32Pseudo
    6383             :     0U, // VST4LNq32Pseudo_UPD
    6384             :     19708U,     // VST4LNq32_UPD
    6385             :     337674264U, // VST4d16
    6386             :     0U, // VST4d16Pseudo
    6387             :     0U, // VST4d16Pseudo_UPD
    6388             :     1016136U,   // VST4d16_UPD
    6389             :     337674264U, // VST4d32
    6390             :     0U, // VST4d32Pseudo
    6391             :     0U, // VST4d32Pseudo_UPD
    6392             :     1016136U,   // VST4d32_UPD
    6393             :     337674264U, // VST4d8
    6394             :     0U, // VST4d8Pseudo
    6395             :     0U, // VST4d8Pseudo_UPD
    6396             :     1016136U,   // VST4d8_UPD
    6397             :     337674264U, // VST4q16
    6398             :     0U, // VST4q16Pseudo_UPD
    6399             :     1016136U,   // VST4q16_UPD
    6400             :     0U, // VST4q16oddPseudo
    6401             :     0U, // VST4q16oddPseudo_UPD
    6402             :     337674264U, // VST4q32
    6403             :     0U, // VST4q32Pseudo_UPD
    6404             :     1016136U,   // VST4q32_UPD
    6405             :     0U, // VST4q32oddPseudo
    6406             :     0U, // VST4q32oddPseudo_UPD
    6407             :     337674264U, // VST4q8
    6408             :     0U, // VST4q8Pseudo_UPD
    6409             :     1016136U,   // VST4q8_UPD
    6410             :     0U, // VST4q8oddPseudo
    6411             :     0U, // VST4q8oddPseudo_UPD
    6412             :     33U,        // VSTMDDB_UPD
    6413             :     1136U,      // VSTMDIA
    6414             :     33U,        // VSTMDIA_UPD
    6415             :     0U, // VSTMQIA
    6416             :     33U,        // VSTMSDB_UPD
    6417             :     1136U,      // VSTMSIA
    6418             :     33U,        // VSTMSIA_UPD
    6419             :     288U,       // VSTRD
    6420             :     296U,       // VSTRH
    6421             :     288U,       // VSTRS
    6422             :     70705U,     // VSUBD
    6423             :     70705U,     // VSUBH
    6424             :     1112U,      // VSUBHNv2i32
    6425             :     1112U,      // VSUBHNv4i16
    6426             :     1112U,      // VSUBHNv8i8
    6427             :     1112U,      // VSUBLsv2i64
    6428             :     1112U,      // VSUBLsv4i32
    6429             :     1112U,      // VSUBLsv8i16
    6430             :     1112U,      // VSUBLuv2i64
    6431             :     1112U,      // VSUBLuv4i32
    6432             :     1112U,      // VSUBLuv8i16
    6433             :     70705U,     // VSUBS
    6434             :     1112U,      // VSUBWsv2i64
    6435             :     1112U,      // VSUBWsv4i32
    6436             :     1112U,      // VSUBWsv8i16
    6437             :     1112U,      // VSUBWuv2i64
    6438             :     1112U,      // VSUBWuv4i32
    6439             :     1112U,      // VSUBWuv8i16
    6440             :     70705U,     // VSUBfd
    6441             :     70705U,     // VSUBfq
    6442             :     70705U,     // VSUBhd
    6443             :     70705U,     // VSUBhq
    6444             :     1112U,      // VSUBv16i8
    6445             :     1112U,      // VSUBv1i64
    6446             :     1112U,      // VSUBv2i32
    6447             :     1112U,      // VSUBv2i64
    6448             :     1112U,      // VSUBv4i16
    6449             :     1112U,      // VSUBv4i32
    6450             :     1112U,      // VSUBv8i16
    6451             :     1112U,      // VSUBv8i8
    6452             :     1024U,      // VSWPd
    6453             :     1024U,      // VSWPq
    6454             :     336U,       // VTBL1
    6455             :     344U,       // VTBL2
    6456             :     352U,       // VTBL3
    6457             :     0U, // VTBL3Pseudo
    6458             :     360U,       // VTBL4
    6459             :     0U, // VTBL4Pseudo
    6460             :     368U,       // VTBX1
    6461             :     376U,       // VTBX2
    6462             :     384U,       // VTBX3
    6463             :     0U, // VTBX3Pseudo
    6464             :     392U,       // VTBX4
    6465             :     0U, // VTBX4Pseudo
    6466             :     0U, // VTOSHD
    6467             :     7U, // VTOSHH
    6468             :     0U, // VTOSHS
    6469             :     0U, // VTOSIRD
    6470             :     0U, // VTOSIRH
    6471             :     0U, // VTOSIRS
    6472             :     0U, // VTOSIZD
    6473             :     0U, // VTOSIZH
    6474             :     0U, // VTOSIZS
    6475             :     7U, // VTOSLD
    6476             :     7U, // VTOSLH
    6477             :     7U, // VTOSLS
    6478             :     0U, // VTOUHD
    6479             :     7U, // VTOUHH
    6480             :     0U, // VTOUHS
    6481             :     0U, // VTOUIRD
    6482             :     0U, // VTOUIRH
    6483             :     0U, // VTOUIRS
    6484             :     0U, // VTOUIZD
    6485             :     0U, // VTOUIZH
    6486             :     0U, // VTOUIZS
    6487             :     7U, // VTOULD
    6488             :     7U, // VTOULH
    6489             :     7U, // VTOULS
    6490             :     1024U,      // VTRNd16
    6491             :     1024U,      // VTRNd32
    6492             :     1024U,      // VTRNd8
    6493             :     1024U,      // VTRNq16
    6494             :     1024U,      // VTRNq32
    6495             :     1024U,      // VTRNq8
    6496             :     0U, // VTSTv16i8
    6497             :     0U, // VTSTv2i32
    6498             :     0U, // VTSTv4i16
    6499             :     0U, // VTSTv4i32
    6500             :     0U, // VTSTv8i16
    6501             :     0U, // VTSTv8i8
    6502             :     0U, // VUDOTD
    6503             :     0U, // VUDOTDI
    6504             :     0U, // VUDOTQ
    6505             :     0U, // VUDOTQI
    6506             :     0U, // VUHTOD
    6507             :     7U, // VUHTOH
    6508             :     0U, // VUHTOS
    6509             :     0U, // VUITOD
    6510             :     0U, // VUITOH
    6511             :     0U, // VUITOS
    6512             :     7U, // VULTOD
    6513             :     7U, // VULTOH
    6514             :     7U, // VULTOS
    6515             :     1024U,      // VUZPd16
    6516             :     1024U,      // VUZPd8
    6517             :     1024U,      // VUZPq16
    6518             :     1024U,      // VUZPq32
    6519             :     1024U,      // VUZPq8
    6520             :     1024U,      // VZIPd16
    6521             :     1024U,      // VZIPd8
    6522             :     1024U,      // VZIPq16
    6523             :     1024U,      // VZIPq32
    6524             :     1024U,      // VZIPq8
    6525             :     20592U,     // sysLDMDA
    6526             :     401U,       // sysLDMDA_UPD
    6527             :     20592U,     // sysLDMDB
    6528             :     401U,       // sysLDMDB_UPD
    6529             :     20592U,     // sysLDMIA
    6530             :     401U,       // sysLDMIA_UPD
    6531             :     20592U,     // sysLDMIB
    6532             :     401U,       // sysLDMIB_UPD
    6533             :     20592U,     // sysSTMDA
    6534             :     401U,       // sysSTMDA_UPD
    6535             :     20592U,     // sysSTMDB
    6536             :     401U,       // sysSTMDB_UPD
    6537             :     20592U,     // sysSTMIA
    6538             :     401U,       // sysSTMIA_UPD
    6539             :     20592U,     // sysSTMIB
    6540             :     401U,       // sysSTMIB_UPD
    6541             :     0U, // t2ADCri
    6542             :     0U, // t2ADCrr
    6543             :     1048576U,   // t2ADCrs
    6544             :     0U, // t2ADDri
    6545             :     0U, // t2ADDri12
    6546             :     0U, // t2ADDrr
    6547             :     1048576U,   // t2ADDrs
    6548             :     72U,        // t2ADR
    6549             :     0U, // t2ANDri
    6550             :     0U, // t2ANDrr
    6551             :     1048576U,   // t2ANDrs
    6552             :     1081344U,   // t2ASRri
    6553             :     0U, // t2ASRrr
    6554             :     0U, // t2B
    6555             :     80U,        // t2BFC
    6556             :     163928U,    // t2BFI
    6557             :     0U, // t2BICri
    6558             :     0U, // t2BICrr
    6559             :     1048576U,   // t2BICrs
    6560             :     0U, // t2BXJ
    6561             :     0U, // t2Bcc
    6562             :     4145U,      // t2CDP
    6563             :     4145U,      // t2CDP2
    6564             :     0U, // t2CLREX
    6565             :     1024U,      // t2CLZ
    6566             :     1024U,      // t2CMNri
    6567             :     1024U,      // t2CMNzrr
    6568             :     56U,        // t2CMNzrs
    6569             :     1024U,      // t2CMPri
    6570             :     1024U,      // t2CMPrr
    6571             :     56U,        // t2CMPrs
    6572             :     0U, // t2CPS1p
    6573             :     0U, // t2CPS2p
    6574             :     1112U,      // t2CPS3p
    6575             :     1112U,      // t2CRC32B
    6576             :     1112U,      // t2CRC32CB
    6577             :     1112U,      // t2CRC32CH
    6578             :     1112U,      // t2CRC32CW
    6579             :     1112U,      // t2CRC32H
    6580             :     1112U,      // t2CRC32W
    6581             :     0U, // t2DBG
    6582             :     0U, // t2DCPS1
    6583             :     0U, // t2DCPS2
    6584             :     0U, // t2DCPS3
    6585             :     0U, // t2DMB
    6586             :     0U, // t2DSB
    6587             :     0U, // t2EORri
    6588             :     0U, // t2EORrr
    6589             :     1048576U,   // t2EORrs
    6590             :     0U, // t2HINT
    6591             :     0U, // t2HVC
    6592             :     0U, // t2ISB
    6593             :     0U, // t2IT
    6594             :     0U, // t2Int_eh_sjlj_setjmp
    6595             :     0U, // t2Int_eh_sjlj_setjmp_nofp
    6596             :     8U, // t2LDA
    6597             :     8U, // t2LDAB
    6598             :     8U, // t2LDAEX
    6599             :     8U, // t2LDAEXB
    6600             :     557056U,    // t2LDAEXD
    6601             :     8U, // t2LDAEXH
    6602             :     8U, // t2LDAH
    6603             :     122U,       // t2LDC2L_OFFSET
    6604             :     196738U,    // t2LDC2L_OPTION
    6605             :     229506U,    // t2LDC2L_POST
    6606             :     138U,       // t2LDC2L_PRE
    6607             :     122U,       // t2LDC2_OFFSET
    6608             :     196738U,    // t2LDC2_OPTION
    6609             :     229506U,    // t2LDC2_POST
    6610             :     138U,       // t2LDC2_PRE
    6611             :     122U,       // t2LDCL_OFFSET
    6612             :     196738U,    // t2LDCL_OPTION
    6613             :     229506U,    // t2LDCL_POST
    6614             :     138U,       // t2LDCL_PRE
    6615             :     122U,       // t2LDC_OFFSET
    6616             :     196738U,    // t2LDC_OPTION
    6617             :     229506U,    // t2LDC_POST
    6618             :     138U,       // t2LDC_PRE
    6619             :     1136U,      // t2LDMDB
    6620             :     33U,        // t2LDMDB_UPD
    6621             :     1136U,      // t2LDMIA
    6622             :     33U,        // t2LDMIA_UPD
    6623             :     408U,       // t2LDRBT
    6624             :     21632U,     // t2LDRB_POST
    6625             :     416U,       // t2LDRB_PRE
    6626             :     160U,       // t2LDRBi12
    6627             :     408U,       // t2LDRBi8
    6628             :     424U,       // t2LDRBpci
    6629             :     432U,       // t2LDRBs
    6630             :     25493504U,  // t2LDRD_POST
    6631             :     1114112U,   // t2LDRD_PRE
    6632             :     1146880U,   // t2LDRDi8
    6633             :     440U,       // t2LDREX
    6634             :     8U, // t2LDREXB
    6635             :     557056U,    // t2LDREXD
    6636             :     8U, // t2LDREXH
    6637             :     408U,       // t2LDRHT
    6638             :     21632U,     // t2LDRH_POST
    6639             :     416U,       // t2LDRH_PRE
    6640             :     160U,       // t2LDRHi12
    6641             :     408U,       // t2LDRHi8
    6642             :     424U,       // t2LDRHpci
    6643             :     432U,       // t2LDRHs
    6644             :     408U,       // t2LDRSBT
    6645             :     21632U,     // t2LDRSB_POST
    6646             :     416U,       // t2LDRSB_PRE
    6647             :     160U,       // t2LDRSBi12
    6648             :     408U,       // t2LDRSBi8
    6649             :     424U,       // t2LDRSBpci
    6650             :     432U,       // t2LDRSBs
    6651             :     408U,       // t2LDRSHT
    6652             :     21632U,     // t2LDRSH_POST
    6653             :     416U,       // t2LDRSH_PRE
    6654             :     160U,       // t2LDRSHi12
    6655             :     408U,       // t2LDRSHi8
    6656             :     424U,       // t2LDRSHpci
    6657             :     432U,       // t2LDRSHs
    6658             :     408U,       // t2LDRT
    6659             :     21632U,     // t2LDR_POST
    6660             :     416U,       // t2LDR_PRE
    6661             :     160U,       // t2LDRi12
    6662             :     408U,       // t2LDRi8
    6663             :     424U,       // t2LDRpci
    6664             :     432U,       // t2LDRs
    6665             :     0U, // t2LSLri
    6666             :     0U, // t2LSLrr
    6667             :     1081344U,   // t2LSRri
    6668             :     0U, // t2LSRrr
    6669             :     4690993U,   // t2MCR
    6670             :     4690993U,   // t2MCR2
    6671             :     6788145U,   // t2MCRR
    6672             :     6788145U,   // t2MCRR2
    6673             :     35651584U,  // t2MLA
    6674             :     35651584U,  // t2MLS
    6675             :     1112U,      // t2MOVTi16
    6676             :     1024U,      // t2MOVi
    6677             :     1024U,      // t2MOVi16
    6678             :     1024U,      // t2MOVr
    6679             :     22528U,     // t2MOVsra_flag
    6680             :     22528U,     // t2MOVsrl_flag
    6681             :     0U, // t2MRC
    6682             :     0U, // t2MRC2
    6683             :     0U, // t2MRRC
    6684             :     0U, // t2MRRC2
    6685             :     2U, // t2MRS_AR
    6686             :     448U,       // t2MRS_M
    6687             :     200U,       // t2MRSbanked
    6688             :     2U, // t2MRSsys_AR
    6689             :     33U,        // t2MSR_AR
    6690             :     33U,        // t2MSR_M
    6691             :     0U, // t2MSRbanked
    6692             :     0U, // t2MUL
    6693             :     1024U,      // t2MVNi
    6694             :     1024U,      // t2MVNr
    6695             :     56U,        // t2MVNs
    6696             :     0U, // t2ORNri
    6697             :     0U, // t2ORNrr
    6698             :     1048576U,   // t2ORNrs
    6699             :     0U, // t2ORRri
    6700             :     0U, // t2ORRrr
    6701             :     1048576U,   // t2ORRrs
    6702             :     8388608U,   // t2PKHBT
    6703             :     10485760U,  // t2PKHTB
    6704             :     0U, // t2PLDWi12
    6705             :     0U, // t2PLDWi8
    6706             :     0U, // t2PLDWs
    6707             :     0U, // t2PLDi12
    6708             :     0U, // t2PLDi8
    6709             :     0U, // t2PLDpci
    6710             :     0U, // t2PLDs
    6711             :     0U, // t2PLIi12
    6712             :     0U, // t2PLIi8
    6713             :     0U, // t2PLIpci
    6714             :     0U, // t2PLIs
    6715             :     0U, // t2QADD
    6716             :     0U, // t2QADD16
    6717             :     0U, // t2QADD8
    6718             :     0U, // t2QASX
    6719             :     0U, // t2QDADD
    6720             :     0U, // t2QDSUB
    6721             :     0U, // t2QSAX
    6722             :     0U, // t2QSUB
    6723             :     0U, // t2QSUB16
    6724             :     0U, // t2QSUB8
    6725             :     1024U,      // t2RBIT
    6726             :     1024U,      // t2REV
    6727             :     1024U,      // t2REV16
    6728             :     1024U,      // t2REVSH
    6729             :     0U, // t2RFEDB
    6730             :     0U, // t2RFEDBW
    6731             :     0U, // t2RFEIA
    6732             :     0U, // t2RFEIAW
    6733             :     0U, // t2RORri
    6734             :     0U, // t2RORrr
    6735             :     1024U,      // t2RRX
    6736             :     0U, // t2RSBri
    6737             :     0U, // t2RSBrr
    6738             :     1048576U,   // t2RSBrs
    6739             :     0U, // t2SADD16
    6740             :     0U, // t2SADD8
    6741             :     0U, // t2SASX
    6742             :     0U, // t2SB
    6743             :     0U, // t2SBCri
    6744             :     0U, // t2SBCrr
    6745             :     1048576U,   // t2SBCrs
    6746             :     69206016U,  // t2SBFX
    6747             :     0U, // t2SDIV
    6748             :     0U, // t2SEL
    6749             :     0U, // t2SETPAN
    6750             :     0U, // t2SG
    6751             :     0U, // t2SHADD16
    6752             :     0U, // t2SHADD8
    6753             :     0U, // t2SHASX
    6754             :     0U, // t2SHSAX
    6755             :     0U, // t2SHSUB16
    6756             :     0U, // t2SHSUB8
    6757             :     0U, // t2SMC
    6758             :     35651584U,  // t2SMLABB
    6759             :     35651584U,  // t2SMLABT
    6760             :     35651584U,  // t2SMLAD
    6761             :     35651584U,  // t2SMLADX
    6762             :     35651584U,  // t2SMLAL
    6763             :     35651584U,  // t2SMLALBB
    6764             :     35651584U,  // t2SMLALBT
    6765             :     35651584U,  // t2SMLALD
    6766             :     35651584U,  // t2SMLALDX
    6767             :     35651584U,  // t2SMLALTB
    6768             :     35651584U,  // t2SMLALTT
    6769             :     35651584U,  // t2SMLATB
    6770             :     35651584U,  // t2SMLATT
    6771             :     35651584U,  // t2SMLAWB
    6772             :     35651584U,  // t2SMLAWT
    6773             :     35651584U,  // t2SMLSD
    6774             :     35651584U,  // t2SMLSDX
    6775             :     35651584U,  // t2SMLSLD
    6776             :     35651584U,  // t2SMLSLDX
    6777             :     35651584U,  // t2SMMLA
    6778             :     35651584U,  // t2SMMLAR
    6779             :     35651584U,  // t2SMMLS
    6780             :     35651584U,  // t2SMMLSR
    6781             :     0U, // t2SMMUL
    6782             :     0U, // t2SMMULR
    6783             :     0U, // t2SMUAD
    6784             :     0U, // t2SMUADX
    6785             :     0U, // t2SMULBB
    6786             :     0U, // t2SMULBT
    6787             :     35651584U,  // t2SMULL
    6788             :     0U, // t2SMULTB
    6789             :     0U, // t2SMULTT
    6790             :     0U, // t2SMULWB
    6791             :     0U, // t2SMULWT
    6792             :     0U, // t2SMUSD
    6793             :     0U, // t2SMUSDX
    6794             :     0U, // t2SRSDB
    6795             :     0U, // t2SRSDB_UPD
    6796             :     0U, // t2SRSIA
    6797             :     0U, // t2SRSIA_UPD
    6798             :     6352U,      // t2SSAT
    6799             :     1232U,      // t2SSAT16
    6800             :     0U, // t2SSAX
    6801             :     0U, // t2SSUB16
    6802             :     0U, // t2SSUB8
    6803             :     122U,       // t2STC2L_OFFSET
    6804             :     196738U,    // t2STC2L_OPTION
    6805             :     229506U,    // t2STC2L_POST
    6806             :     138U,       // t2STC2L_PRE
    6807             :     122U,       // t2STC2_OFFSET
    6808             :     196738U,    // t2STC2_OPTION
    6809             :     229506U,    // t2STC2_POST
    6810             :     138U,       // t2STC2_PRE
    6811             :     122U,       // t2STCL_OFFSET
    6812             :     196738U,    // t2STCL_OPTION
    6813             :     229506U,    // t2STCL_POST
    6814             :     138U,       // t2STCL_PRE
    6815             :     122U,       // t2STC_OFFSET
    6816             :     196738U,    // t2STC_OPTION
    6817             :     229506U,    // t2STC_POST
    6818             :     138U,       // t2STC_PRE
    6819             :     8U, // t2STL
    6820             :     8U, // t2STLB
    6821             :     557056U,    // t2STLEX
    6822             :     557056U,    // t2STLEXB
    6823             :     371195904U, // t2STLEXD
    6824             :     557056U,    // t2STLEXH
    6825             :     8U, // t2STLH
    6826             :     1136U,      // t2STMDB
    6827             :     33U,        // t2STMDB_UPD
    6828             :     1136U,      // t2STMIA
    6829             :     33U,        // t2STMIA_UPD
    6830             :     408U,       // t2STRBT
    6831             :     21632U,     // t2STRB_POST
    6832             :     416U,       // t2STRB_PRE
    6833             :     160U,       // t2STRBi12
    6834             :     408U,       // t2STRBi8
    6835             :     432U,       // t2STRBs
    6836             :     25493592U,  // t2STRD_POST
    6837             :     1114200U,   // t2STRD_PRE
    6838             :     1146880U,   // t2STRDi8
    6839             :     1179648U,   // t2STREX
    6840             :     557056U,    // t2STREXB
    6841             :     371195904U, // t2STREXD
    6842             :     557056U,    // t2STREXH
    6843             :     408U,       // t2STRHT
    6844             :     21632U,     // t2STRH_POST
    6845             :     416U,       // t2STRH_PRE
    6846             :     160U,       // t2STRHi12
    6847             :     408U,       // t2STRHi8
    6848             :     432U,       // t2STRHs
    6849             :     408U,       // t2STRT
    6850             :     21632U,     // t2STR_POST
    6851             :     416U,       // t2STR_PRE
    6852             :     160U,       // t2STRi12
    6853             :     408U,       // t2STRi8
    6854             :     432U,       // t2STRs
    6855             :     0U, // t2SUBS_PC_LR
    6856             :     0U, // t2SUBri
    6857             :     0U, // t2SUBri12
    6858             :     0U, // t2SUBrr
    6859             :     1048576U,   // t2SUBrs
    6860             :     12582912U,  // t2SXTAB
    6861             :     12582912U,  // t2SXTAB16
    6862             :     12582912U,  // t2SXTAH
    6863             :     7168U,      // t2SXTB
    6864             :     7168U,      // t2SXTB16
    6865             :     7168U,      // t2SXTH
    6866             :     0U, // t2TBB
    6867             :     0U, // t2TBH
    6868             :     1024U,      // t2TEQri
    6869             :     1024U,      // t2TEQrr
    6870             :     56U,        // t2TEQrs
    6871             :     0U, // t2TSB
    6872             :     1024U,      // t2TSTri
    6873             :     1024U,      // t2TSTrr
    6874             :     56U,        // t2TSTrs
    6875             :     1024U,      // t2TT
    6876             :     1024U,      // t2TTA
    6877             :     1024U,      // t2TTAT
    6878             :     1024U,      // t2TTT
    6879             :     0U, // t2UADD16
    6880             :     0U, // t2UADD8
    6881             :     0U, // t2UASX
    6882             :     69206016U,  // t2UBFX
    6883             :     0U, // t2UDF
    6884             :     0U, // t2UDIV
    6885             :     0U, // t2UHADD16
    6886             :     0U, // t2UHADD8
    6887             :     0U, // t2UHASX
    6888             :     0U, // t2UHSAX
    6889             :     0U, // t2UHSUB16
    6890             :     0U, // t2UHSUB8
    6891             :     35651584U,  // t2UMAAL
    6892             :     35651584U,  // t2UMLAL
    6893             :     35651584U,  // t2UMULL
    6894             :     0U, // t2UQADD16
    6895             :     0U, // t2UQADD8
    6896             :     0U, // t2UQASX
    6897             :     0U, // t2UQSAX
    6898             :     0U, // t2UQSUB16
    6899             :     0U, // t2UQSUB8
    6900             :     0U, // t2USAD8
    6901             :     35651584U,  // t2USADA8
    6902             :     14680064U,  // t2USAT
    6903             :     0U, // t2USAT16
    6904             :     0U, // t2USAX
    6905             :     0U, // t2USUB16
    6906             :     0U, // t2USUB8
    6907             :     12582912U,  // t2UXTAB
    6908             :     12582912U,  // t2UXTAB16
    6909             :     12582912U,  // t2UXTAH
    6910             :     7168U,      // t2UXTB
    6911             :     7168U,      // t2UXTB16
    6912             :     7168U,      // t2UXTH
    6913             :     0U, // tADC
    6914             :     1112U,      // tADDhirr
    6915             :     1048U,      // tADDi3
    6916             :     0U, // tADDi8
    6917             :     0U, // tADDrSP
    6918             :     1212416U,   // tADDrSPi
    6919             :     1048U,      // tADDrr
    6920             :     456U,       // tADDspi
    6921             :     1112U,      // tADDspr
    6922             :     464U,       // tADR
    6923             :     0U, // tAND
    6924             :     472U,       // tASRri
    6925             :     0U, // tASRrr
    6926             :     0U, // tB
    6927             :     0U, // tBIC
    6928             :     0U, // tBKPT
    6929             :     0U, // tBL
    6930             :     0U, // tBLXNSr
    6931             :     0U, // tBLXi
    6932             :     0U, // tBLXr
    6933             :     0U, // tBX
    6934             :     0U, // tBXNS
    6935             :     0U, // tBcc
    6936             :     0U, // tCBNZ
    6937             :     0U, // tCBZ
    6938             :     1024U,      // tCMNz
    6939             :     1024U,      // tCMPhir
    6940             :     1024U,      // tCMPi8
    6941             :     1024U,      // tCMPr
    6942             :     0U, // tCPS
    6943             :     0U, // tEOR
    6944             :     0U, // tHINT
    6945             :     0U, // tHLT
    6946             :     0U, // tInt_WIN_eh_sjlj_longjmp
    6947             :     0U, // tInt_eh_sjlj_longjmp
    6948             :     0U, // tInt_eh_sjlj_setjmp
    6949             :     1136U,      // tLDMIA
    6950             :     480U,       // tLDRBi
    6951             :     488U,       // tLDRBr
    6952             :     496U,       // tLDRHi
    6953             :     488U,       // tLDRHr
    6954             :     488U,       // tLDRSB
    6955             :     488U,       // tLDRSH
    6956             :     504U,       // tLDRi
    6957             :     424U,       // tLDRpci
    6958             :     488U,       // tLDRr
    6959             :     512U,       // tLDRspi
    6960             :     1048U,      // tLSLri
    6961             :     0U, // tLSLrr
    6962             :     472U,       // tLSRri
    6963             :     0U, // tLSRrr
    6964             :     0U, // tMOVSr
    6965             :     0U, // tMOVi8
    6966             :     1024U,      // tMOVr
    6967             :     1048U,      // tMUL
    6968             :     0U, // tMVN
    6969             :     0U, // tORR
    6970             :     0U, // tPICADD
    6971             :     0U, // tPOP
    6972             :     0U, // tPUSH
    6973             :     1024U,      // tREV
    6974             :     1024U,      // tREV16
    6975             :     1024U,      // tREVSH
    6976             :     0U, // tROR
    6977             :     0U, // tRSB
    6978             :     0U, // tSBC
    6979             :     0U, // tSETEND
    6980             :     33U,        // tSTMIA_UPD
    6981             :     480U,       // tSTRBi
    6982             :     488U,       // tSTRBr
    6983             :     496U,       // tSTRHi
    6984             :     488U,       // tSTRHr
    6985             :     504U,       // tSTRi
    6986             :     488U,       // tSTRr
    6987             :     512U,       // tSTRspi
    6988             :     1048U,      // tSUBi3
    6989             :     0U, // tSUBi8
    6990             :     1048U,      // tSUBrr
    6991             :     456U,       // tSUBspi
    6992             :     0U, // tSVC
    6993             :     1024U,      // tSXTB
    6994             :     1024U,      // tSXTH
    6995             :     0U, // tTRAP
    6996             :     1024U,      // tTST
    6997             :     0U, // tUDF
    6998             :     1024U,      // tUXTB
    6999             :     1024U,      // tUXTH
    7000             :     0U, // t__brkdiv0
    7001             :   };
    7002             : 
    7003      148562 :   O << "\t";
    7004             : 
    7005             :   // Emit the opcode for the instruction.
    7006             :   uint64_t Bits = 0;
    7007      148562 :   Bits |= (uint64_t)OpInfo0[MI->getOpcode()] << 0;
    7008      148562 :   Bits |= (uint64_t)OpInfo1[MI->getOpcode()] << 32;
    7009             :   assert(Bits != 0 && "Cannot print this instruction.");
    7010      148562 :   O << AsmStrs+(Bits & 4095)-1;
    7011             : 
    7012             : 
    7013             :   // Fragment 0 encoded into 5 bits for 32 unique commands.
    7014      148562 :   switch ((Bits >> 12) & 31) {
    7015           0 :   default: llvm_unreachable("Invalid command number.");
    7016             :   case 0:
    7017             :     // DBG_VALUE, DBG_LABEL, BUNDLE, LIFETIME_START, LIFETIME_END, FENTRY_CAL...
    7018             :     return;
    7019             :     break;
    7020       11610 :   case 1:
    7021             :     // ASRi, ASRr, LSLi, LSLr, LSRi, LSRr, RORi, RORr, ADCri, ADCrr, ADDri, A...
    7022       11610 :     printSBitModifierOperand(MI, 5, STI, O);
    7023       11610 :     printPredicateOperand(MI, 3, STI, O);
    7024       11610 :     break;
    7025        3951 :   case 2:
    7026             :     // ITasm, t2IT
    7027        3951 :     printThumbITMask(MI, 1, STI, O);
    7028        3951 :     break;
    7029       23701 :   case 3:
    7030             :     // LDRBT_POST, LDRConstPool, LDRT_POST, STRBT_POST, STRT_POST, t2LDRBpcre...
    7031       23701 :     printPredicateOperand(MI, 2, STI, O);
    7032       23701 :     break;
    7033        9223 :   case 4:
    7034             :     // RRXi, MOVi, MOVr, MOVr_TC, MVNi, MVNr, t2MOVi, t2MOVr, t2MVNi, t2MVNr,...
    7035        9223 :     printSBitModifierOperand(MI, 4, STI, O);
    7036        9223 :     printPredicateOperand(MI, 2, STI, O);
    7037        9223 :     break;
    7038        8278 :   case 5:
    7039             :     // VLD1LNdAsm_16, VLD1LNdAsm_32, VLD1LNdAsm_8, VLD1LNdWB_fixed_Asm_16, VL...
    7040        8278 :     printPredicateOperand(MI, 4, STI, O);
    7041        8278 :     break;
    7042        3496 :   case 6:
    7043             :     // VLD1LNdWB_register_Asm_16, VLD1LNdWB_register_Asm_32, VLD1LNdWB_regist...
    7044        3496 :     printPredicateOperand(MI, 5, STI, O);
    7045        3496 :     break;
    7046       44789 :   case 7:
    7047             :     // VLD3DUPdAsm_16, VLD3DUPdAsm_32, VLD3DUPdAsm_8, VLD3DUPdWB_fixed_Asm_16...
    7048       44789 :     printPredicateOperand(MI, 3, STI, O);
    7049       44789 :     break;
    7050        1424 :   case 8:
    7051             :     // ADCrsi, ADDrsi, ANDrsi, BICrsi, EORrsi, MLA, MOVsr, MVNsr, ORRrsi, RSB...
    7052        1424 :     printSBitModifierOperand(MI, 6, STI, O);
    7053        1424 :     printPredicateOperand(MI, 4, STI, O);
    7054        1424 :     break;
    7055         278 :   case 9:
    7056             :     // ADCrsr, ADDrsr, ANDrsr, BICrsr, EORrsr, ORRrsr, RSBrsr, RSCrsr, SBCrsr...
    7057         278 :     printSBitModifierOperand(MI, 7, STI, O);
    7058         278 :     printPredicateOperand(MI, 5, STI, O);
    7059         278 :     O << "\t";
    7060         278 :     printOperand(MI, 0, STI, O);
    7061         278 :     O << ", ";
    7062         278 :     printOperand(MI, 1, STI, O);
    7063         278 :     O << ", ";
    7064         278 :     printSORegRegOperand(MI, 2, STI, O);
    7065         278 :     return;
    7066             :     break;
    7067        6354 :   case 10:
    7068             :     // AESD, AESE, AESIMC, AESMC, BKPT, BL, BLX, BLXi, BX, CPS1p, CRC32B, CRC...
    7069        6354 :     printOperand(MI, 0, STI, O);
    7070        6354 :     break;
    7071       10030 :   case 11:
    7072             :     // BLX_pred, BL_pred, BXJ, BX_pred, Bcc, DBG, FLDMXIA, FSTMXIA, HINT, LDM...
    7073       10030 :     printPredicateOperand(MI, 1, STI, O);
    7074       10030 :     break;
    7075       13833 :   case 12:
    7076             :     // BX_RET, ERET, FMSTAT, MOVPCLR, t2CLREX, t2DCPS1, t2DCPS2, t2DCPS3, t2S...
    7077       13833 :     printPredicateOperand(MI, 0, STI, O);
    7078       13833 :     break;
    7079         715 :   case 13:
    7080             :     // CDP, LDRD_POST, LDRD_PRE, MCR, MRC, SMLALBB, SMLALBT, SMLALD, SMLALDX,...
    7081         715 :     printPredicateOperand(MI, 6, STI, O);
    7082         715 :     break;
    7083         107 :   case 14:
    7084             :     // CDP2, LDC2L_OFFSET, LDC2L_OPTION, LDC2L_POST, LDC2L_PRE, LDC2_OFFSET, ...
    7085         107 :     printPImmediate(MI, 0, STI, O);
    7086         107 :     O << ", ";
    7087         107 :     break;
    7088          51 :   case 15:
    7089             :     // CPS2p, CPS3p, t2CPS2p, t2CPS3p, tCPS
    7090          51 :     printCPSIMod(MI, 0, STI, O);
    7091          51 :     break;
    7092         306 :   case 16:
    7093             :     // DMB, DSB
    7094         306 :     printMemBOption(MI, 0, STI, O);
    7095         306 :     return;
    7096             :     break;
    7097          13 :   case 17:
    7098             :     // ISB
    7099          13 :     printInstSyncBOption(MI, 0, STI, O);
    7100          13 :     return;
    7101             :     break;
    7102          12 :   case 18:
    7103             :     // MRC2
    7104          12 :     printPImmediate(MI, 1, STI, O);
    7105          12 :     O << ", ";
    7106          12 :     printOperand(MI, 2, STI, O);
    7107          12 :     O << ", ";
    7108          12 :     printOperand(MI, 0, STI, O);
    7109          12 :     O << ", ";
    7110          12 :     printCImmediate(MI, 3, STI, O);
    7111          12 :     O << ", ";
    7112          12 :     printCImmediate(MI, 4, STI, O);
    7113          12 :     O << ", ";
    7114          12 :     printOperand(MI, 5, STI, O);
    7115          12 :     return;
    7116             :     break;
    7117           8 :   case 19:
    7118             :     // MRRC2
    7119           8 :     printPImmediate(MI, 2, STI, O);
    7120           8 :     O << ", ";
    7121           8 :     printOperand(MI, 3, STI, O);
    7122           8 :     O << ", ";
    7123           8 :     printOperand(MI, 0, STI, O);
    7124           8 :     O << ", ";
    7125           8 :     printOperand(MI, 1, STI, O);
    7126           8 :     O << ", ";
    7127           8 :     printCImmediate(MI, 4, STI, O);
    7128           8 :     return;
    7129             :     break;
    7130          15 :   case 20:
    7131             :     // PLDWi12, PLDi12, PLIi12
    7132          15 :     printAddrModeImm12Operand<false>(MI, 0, STI, O);
    7133          15 :     return;
    7134             :     break;
    7135          14 :   case 21:
    7136             :     // PLDWrs, PLDrs, PLIrs
    7137          14 :     printAddrMode2Operand(MI, 0, STI, O);
    7138          14 :     return;
    7139             :     break;
    7140          28 :   case 22:
    7141             :     // SETEND, tSETEND
    7142          28 :     printSetendOperand(MI, 0, STI, O);
    7143          28 :     return;
    7144             :     break;
    7145          65 :   case 23:
    7146             :     // SMLAL, UMLAL
    7147          65 :     printSBitModifierOperand(MI, 8, STI, O);
    7148          65 :     printPredicateOperand(MI, 6, STI, O);
    7149          65 :     O << "\t";
    7150          65 :     printOperand(MI, 0, STI, O);
    7151          65 :     O << ", ";
    7152          65 :     printOperand(MI, 1, STI, O);
    7153          65 :     O << ", ";
    7154          65 :     printOperand(MI, 2, STI, O);
    7155          65 :     O << ", ";
    7156          65 :     printOperand(MI, 3, STI, O);
    7157          65 :     return;
    7158             :     break;
    7159           0 :   case 24:
    7160             :     // TSB
    7161           0 :     printTraceSyncBOption(MI, 0, STI, O);
    7162           0 :     return;
    7163             :     break;
    7164         351 :   case 25:
    7165             :     // VLD1LNd16_UPD, VLD1LNd32_UPD, VLD1LNd8_UPD, VLD2LNd16, VLD2LNd32, VLD2...
    7166         351 :     printPredicateOperand(MI, 7, STI, O);
    7167         351 :     break;
    7168         121 :   case 26:
    7169             :     // VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
    7170         121 :     printPredicateOperand(MI, 9, STI, O);
    7171         121 :     break;
    7172          69 :   case 27:
    7173             :     // VLD3LNd16_UPD, VLD3LNd32_UPD, VLD3LNd8_UPD, VLD3LNq16_UPD, VLD3LNq32_U...
    7174          69 :     printPredicateOperand(MI, 11, STI, O);
    7175          69 :     break;
    7176         279 :   case 28:
    7177             :     // VLD4DUPd16_UPD, VLD4DUPd32_UPD, VLD4DUPd8_UPD, VLD4DUPq16_UPD, VLD4DUP...
    7178         279 :     printPredicateOperand(MI, 8, STI, O);
    7179         279 :     break;
    7180          39 :   case 29:
    7181             :     // VLD4LNd16_UPD, VLD4LNd32_UPD, VLD4LNd8_UPD, VLD4LNq16_UPD, VLD4LNq32_U...
    7182          39 :     printPredicateOperand(MI, 13, STI, O);
    7183          39 :     break;
    7184          72 :   case 30:
    7185             :     // VSDOTD, VSDOTDI, VSDOTQ, VSDOTQI, VUDOTD, VUDOTDI, VUDOTQ, VUDOTQI
    7186          72 :     printOperand(MI, 1, STI, O);
    7187          72 :     O << ", ";
    7188          72 :     printOperand(MI, 2, STI, O);
    7189          72 :     O << ", ";
    7190          72 :     printOperand(MI, 3, STI, O);
    7191          72 :     break;
    7192        9046 :   case 31:
    7193             :     // tADC, tADDi3, tADDi8, tADDrr, tAND, tASRri, tASRrr, tBIC, tEOR, tLSLri...
    7194        9046 :     printSBitModifierOperand(MI, 1, STI, O);
    7195        9046 :     break;
    7196             :   }
    7197             : 
    7198             : 
    7199             :   // Fragment 1 encoded into 7 bits for 75 unique commands.
    7200      147539 :   switch ((Bits >> 17) & 127) {
    7201           0 :   default: llvm_unreachable("Invalid command number.");
    7202             :   case 0:
    7203             :     // ASRi, ASRr, ITasm, LDRBT_POST, LDRConstPool, LDRT_POST, LSLi, LSLr, LS...
    7204             :     O << ' ';
    7205             :     break;
    7206        1389 :   case 1:
    7207             :     // VLD1LNdAsm_16, VLD1LNdWB_fixed_Asm_16, VLD1LNdWB_register_Asm_16, VLD2...
    7208        1389 :     O << ".16\t";
    7209        1389 :     break;
    7210        2158 :   case 2:
    7211             :     // VLD1LNdAsm_32, VLD1LNdWB_fixed_Asm_32, VLD1LNdWB_register_Asm_32, VLD2...
    7212        2158 :     O << ".32\t";
    7213        2158 :     break;
    7214        1172 :   case 3:
    7215             :     // VLD1LNdAsm_8, VLD1LNdWB_fixed_Asm_8, VLD1LNdWB_register_Asm_8, VLD2LNd...
    7216        1172 :     O << ".8\t";
    7217        1172 :     break;
    7218       94649 :   case 4:
    7219             :     // ADCri, ADCrr, ADCrsi, ADDri, ADDrr, ADDrsi, ADR, ANDri, ANDrr, ANDrsi,...
    7220       94649 :     O << "\t";
    7221       94649 :     break;
    7222        2260 :   case 5:
    7223             :     // AESD, AESE, AESIMC, AESMC, CRC32B, CRC32CB, CRC32CH, CRC32CW, CRC32H, ...
    7224        2260 :     O << ", ";
    7225        2260 :     break;
    7226             :   case 6:
    7227             :     // BKPT, BL, BLX, BLXi, BX, CPS1p, ERET, HLT, HVC, RFEDA, RFEDB, RFEIA, R...
    7228             :     return;
    7229             :     break;
    7230        3636 :   case 7:
    7231             :     // BX_RET
    7232        3636 :     O << "\tlr";
    7233        3636 :     return;
    7234             :     break;
    7235          33 :   case 8:
    7236             :     // CDP2, MCR2, MCRR2
    7237          33 :     printOperand(MI, 1, STI, O);
    7238          33 :     O << ", ";
    7239          33 :     break;
    7240        1808 :   case 9:
    7241             :     // FCONSTD, VABSD, VADDD, VCMPD, VCMPED, VCMPEZD, VCMPZD, VDIVD, VFMAD, V...
    7242        1808 :     O << ".f64\t";
    7243        1808 :     printOperand(MI, 0, STI, O);
    7244        1808 :     break;
    7245        1339 :   case 10:
    7246             :     // FCONSTH, VABDhd, VABDhq, VABSH, VABShd, VABShq, VACGEhd, VACGEhq, VACG...
    7247        1339 :     O << ".f16\t";
    7248        1339 :     printOperand(MI, 0, STI, O);
    7249        1339 :     break;
    7250        3775 :   case 11:
    7251             :     // FCONSTS, VABDfd, VABDfq, VABSS, VABSfd, VABSfq, VACGEfd, VACGEfq, VACG...
    7252        3775 :     O << ".f32\t";
    7253        3775 :     printOperand(MI, 0, STI, O);
    7254        3775 :     break;
    7255         635 :   case 12:
    7256             :     // FMSTAT
    7257         635 :     O << "\tAPSR_nzcv, fpscr";
    7258         635 :     return;
    7259             :     break;
    7260          74 :   case 13:
    7261             :     // LDC2L_OFFSET, LDC2L_OPTION, LDC2L_POST, LDC2L_PRE, LDC2_OFFSET, LDC2_O...
    7262          74 :     printCImmediate(MI, 1, STI, O);
    7263          74 :     O << ", ";
    7264          74 :     break;
    7265        3252 :   case 14:
    7266             :     // MOVPCLR
    7267        3252 :     O << "\tpc, lr";
    7268        3252 :     return;
    7269             :     break;
    7270             :   case 15:
    7271             :     // RFEDA_UPD, RFEDB_UPD, RFEIA_UPD, RFEIB_UPD
    7272             :     O << '!';
    7273             :     return;
    7274             :     break;
    7275         537 :   case 16:
    7276             :     // VABALsv2i64, VABAsv2i32, VABAsv4i32, VABDLsv2i64, VABDsv2i32, VABDsv4i...
    7277         537 :     O << ".s32\t";
    7278         537 :     printOperand(MI, 0, STI, O);
    7279         537 :     O << ", ";
    7280         537 :     break;
    7281         601 :   case 17:
    7282             :     // VABALsv4i32, VABAsv4i16, VABAsv8i16, VABDLsv4i32, VABDsv4i16, VABDsv8i...
    7283         601 :     O << ".s16\t";
    7284         601 :     printOperand(MI, 0, STI, O);
    7285         601 :     O << ", ";
    7286         601 :     break;
    7287         449 :   case 18:
    7288             :     // VABALsv8i16, VABAsv16i8, VABAsv8i8, VABDLsv8i16, VABDsv16i8, VABDsv8i8...
    7289         449 :     O << ".s8\t";
    7290         449 :     printOperand(MI, 0, STI, O);
    7291         449 :     O << ", ";
    7292         449 :     break;
    7293         395 :   case 19:
    7294             :     // VABALuv2i64, VABAuv2i32, VABAuv4i32, VABDLuv2i64, VABDuv2i32, VABDuv4i...
    7295         395 :     O << ".u32\t";
    7296         395 :     printOperand(MI, 0, STI, O);
    7297         395 :     O << ", ";
    7298         395 :     break;
    7299         466 :   case 20:
    7300             :     // VABALuv4i32, VABAuv4i16, VABAuv8i16, VABDLuv4i32, VABDuv4i16, VABDuv8i...
    7301         466 :     O << ".u16\t";
    7302         466 :     printOperand(MI, 0, STI, O);
    7303         466 :     O << ", ";
    7304         466 :     break;
    7305         407 :   case 21:
    7306             :     // VABALuv8i16, VABAuv16i8, VABAuv8i8, VABDLuv8i16, VABDuv16i8, VABDuv8i8...
    7307         407 :     O << ".u8\t";
    7308         407 :     printOperand(MI, 0, STI, O);
    7309         407 :     O << ", ";
    7310         407 :     break;
    7311         243 :   case 22:
    7312             :     // VADDHNv2i32, VADDv1i64, VADDv2i64, VMOVNv2i32, VMOVv1i64, VMOVv2i64, V...
    7313         243 :     O << ".i64\t";
    7314         243 :     printOperand(MI, 0, STI, O);
    7315         243 :     O << ", ";
    7316         243 :     break;
    7317         731 :   case 23:
    7318             :     // VADDHNv4i16, VADDv2i32, VADDv4i32, VBICiv2i32, VBICiv4i32, VCEQv2i32, ...
    7319         731 :     O << ".i32\t";
    7320         731 :     printOperand(MI, 0, STI, O);
    7321         731 :     O << ", ";
    7322         731 :     break;
    7323         438 :   case 24:
    7324             :     // VADDHNv8i8, VADDv4i16, VADDv8i16, VBICiv4i16, VBICiv8i16, VCEQv4i16, V...
    7325         438 :     O << ".i16\t";
    7326         438 :     printOperand(MI, 0, STI, O);
    7327         438 :     O << ", ";
    7328         438 :     break;
    7329         336 :   case 25:
    7330             :     // VADDv16i8, VADDv8i8, VCEQv16i8, VCEQv8i8, VCEQzv16i8, VCEQzv8i8, VCLZv...
    7331         336 :     O << ".i8\t";
    7332         336 :     printOperand(MI, 0, STI, O);
    7333         336 :     O << ", ";
    7334         336 :     break;
    7335          34 :   case 26:
    7336             :     // VCVTBDH, VCVTTDH
    7337          34 :     O << ".f16.f64\t";
    7338          34 :     printOperand(MI, 0, STI, O);
    7339          34 :     O << ", ";
    7340          34 :     printOperand(MI, 1, STI, O);
    7341          34 :     return;
    7342             :     break;
    7343          35 :   case 27:
    7344             :     // VCVTBHD, VCVTTHD
    7345          35 :     O << ".f64.f16\t";
    7346          35 :     printOperand(MI, 0, STI, O);
    7347          35 :     O << ", ";
    7348          35 :     printOperand(MI, 1, STI, O);
    7349          35 :     return;
    7350             :     break;
    7351         302 :   case 28:
    7352             :     // VCVTBHS, VCVTTHS, VCVTh2f
    7353         302 :     O << ".f32.f16\t";
    7354         302 :     printOperand(MI, 0, STI, O);
    7355         302 :     O << ", ";
    7356         302 :     printOperand(MI, 1, STI, O);
    7357         302 :     return;
    7358             :     break;
    7359         151 :   case 29:
    7360             :     // VCVTBSH, VCVTTSH, VCVTf2h
    7361         151 :     O << ".f16.f32\t";
    7362         151 :     printOperand(MI, 0, STI, O);
    7363         151 :     O << ", ";
    7364         151 :     printOperand(MI, 1, STI, O);
    7365         151 :     return;
    7366             :     break;
    7367          79 :   case 30:
    7368             :     // VCVTDS
    7369          79 :     O << ".f64.f32\t";
    7370          79 :     printOperand(MI, 0, STI, O);
    7371          79 :     O << ", ";
    7372          79 :     printOperand(MI, 1, STI, O);
    7373          79 :     return;
    7374             :     break;
    7375          31 :   case 31:
    7376             :     // VCVTSD
    7377          31 :     O << ".f32.f64\t";
    7378          31 :     printOperand(MI, 0, STI, O);
    7379          31 :     O << ", ";
    7380          31 :     printOperand(MI, 1, STI, O);
    7381          31 :     return;
    7382             :     break;
    7383          99 :   case 32:
    7384             :     // VCVTf2sd, VCVTf2sq, VCVTf2xsd, VCVTf2xsq, VTOSIRS, VTOSIZS, VTOSLS
    7385          99 :     O << ".s32.f32\t";
    7386          99 :     printOperand(MI, 0, STI, O);
    7387          99 :     O << ", ";
    7388          99 :     printOperand(MI, 1, STI, O);
    7389          99 :     break;
    7390          83 :   case 33:
    7391             :     // VCVTf2ud, VCVTf2uq, VCVTf2xud, VCVTf2xuq, VTOUIRS, VTOUIZS, VTOULS
    7392          83 :     O << ".u32.f32\t";
    7393          83 :     printOperand(MI, 0, STI, O);
    7394          83 :     O << ", ";
    7395          83 :     printOperand(MI, 1, STI, O);
    7396          83 :     break;
    7397          24 :   case 34:
    7398             :     // VCVTh2sd, VCVTh2sq, VCVTh2xsd, VCVTh2xsq, VTOSHH
    7399          24 :     O << ".s16.f16\t";
    7400          24 :     printOperand(MI, 0, STI, O);
    7401          24 :     O << ", ";
    7402          24 :     printOperand(MI, 1, STI, O);
    7403          24 :     break;
    7404          24 :   case 35:
    7405             :     // VCVTh2ud, VCVTh2uq, VCVTh2xud, VCVTh2xuq, VTOUHH
    7406          24 :     O << ".u16.f16\t";
    7407          24 :     printOperand(MI, 0, STI, O);
    7408          24 :     O << ", ";
    7409          24 :     printOperand(MI, 1, STI, O);
    7410          24 :     break;
    7411         124 :   case 36:
    7412             :     // VCVTs2fd, VCVTs2fq, VCVTxs2fd, VCVTxs2fq, VSITOS, VSLTOS
    7413         124 :     O << ".f32.s32\t";
    7414         124 :     printOperand(MI, 0, STI, O);
    7415         124 :     O << ", ";
    7416         124 :     printOperand(MI, 1, STI, O);
    7417         124 :     break;
    7418          24 :   case 37:
    7419             :     // VCVTs2hd, VCVTs2hq, VCVTxs2hd, VCVTxs2hq, VSHTOH
    7420          24 :     O << ".f16.s16\t";
    7421          24 :     printOperand(MI, 0, STI, O);
    7422          24 :     O << ", ";
    7423          24 :     printOperand(MI, 1, STI, O);
    7424          24 :     break;
    7425          87 :   case 38:
    7426             :     // VCVTu2fd, VCVTu2fq, VCVTxu2fd, VCVTxu2fq, VUITOS, VULTOS
    7427          87 :     O << ".f32.u32\t";
    7428          87 :     printOperand(MI, 0, STI, O);
    7429          87 :     O << ", ";
    7430          87 :     printOperand(MI, 1, STI, O);
    7431          87 :     break;
    7432          24 :   case 39:
    7433             :     // VCVTu2hd, VCVTu2hq, VCVTxu2hd, VCVTxu2hq, VUHTOH
    7434          24 :     O << ".f16.u16\t";
    7435          24 :     printOperand(MI, 0, STI, O);
    7436          24 :     O << ", ";
    7437          24 :     printOperand(MI, 1, STI, O);
    7438          24 :     break;
    7439        3766 :   case 40:
    7440             :     // VEXTq64, VLD1d64, VLD1d64Q, VLD1d64Qwb_fixed, VLD1d64Qwb_register, VLD...
    7441        3766 :     O << ".64\t";
    7442        3766 :     break;
    7443          47 :   case 41:
    7444             :     // VJCVT, VTOSIRD, VTOSIZD, VTOSLD
    7445          47 :     O << ".s32.f64\t";
    7446          47 :     printOperand(MI, 0, STI, O);
    7447          47 :     O << ", ";
    7448          47 :     printOperand(MI, 1, STI, O);
    7449          47 :     break;
    7450         454 :   case 42:
    7451             :     // VLD1LNd16, VLD1LNd16_UPD, VLD2LNd16, VLD2LNd16_UPD, VLD2LNq16, VLD2LNq...
    7452         454 :     O << ".16\t{";
    7453         454 :     break;
    7454         693 :   case 43:
    7455             :     // VLD1LNd32, VLD1LNd32_UPD, VLD2LNd32, VLD2LNd32_UPD, VLD2LNq32, VLD2LNq...
    7456         693 :     O << ".32\t{";
    7457         693 :     break;
    7458         384 :   case 44:
    7459             :     // VLD1LNd8, VLD1LNd8_UPD, VLD2LNd8, VLD2LNd8_UPD, VLD3DUPd8, VLD3DUPd8_U...
    7460         384 :     O << ".8\t{";
    7461         384 :     break;
    7462          58 :   case 45:
    7463             :     // VMSR
    7464          58 :     O << "\tfpscr, ";
    7465          58 :     printOperand(MI, 0, STI, O);
    7466          58 :     return;
    7467             :     break;
    7468          16 :   case 46:
    7469             :     // VMSR_FPEXC
    7470          16 :     O << "\tfpexc, ";
    7471          16 :     printOperand(MI, 0, STI, O);
    7472          16 :     return;
    7473             :     break;
    7474           2 :   case 47:
    7475             :     // VMSR_FPINST
    7476           2 :     O << "\tfpinst, ";
    7477           2 :     printOperand(MI, 0, STI, O);
    7478           2 :     return;
    7479             :     break;
    7480           2 :   case 48:
    7481             :     // VMSR_FPINST2
    7482           2 :     O << "\tfpinst2, ";
    7483           2 :     printOperand(MI, 0, STI, O);
    7484           2 :     return;
    7485             :     break;
    7486          20 :   case 49:
    7487             :     // VMSR_FPSID
    7488          20 :     O << "\tfpsid, ";
    7489          20 :     printOperand(MI, 0, STI, O);
    7490          20 :     return;
    7491             :     break;
    7492          19 :   case 50:
    7493             :     // VMULLp8, VMULpd, VMULpq
    7494          19 :     O << ".p8\t";
    7495          19 :     printOperand(MI, 0, STI, O);
    7496          19 :     O << ", ";
    7497          19 :     printOperand(MI, 1, STI, O);
    7498          19 :     O << ", ";
    7499          19 :     printOperand(MI, 2, STI, O);
    7500          19 :     return;
    7501             :     break;
    7502         172 :   case 51:
    7503             :     // VQADDsv1i64, VQADDsv2i64, VQMOVNsuv2i32, VQMOVNsv2i32, VQRSHLsv1i64, V...
    7504         172 :     O << ".s64\t";
    7505         172 :     printOperand(MI, 0, STI, O);
    7506         172 :     O << ", ";
    7507         172 :     break;
    7508         186 :   case 52:
    7509             :     // VQADDuv1i64, VQADDuv2i64, VQMOVNuv2i32, VQRSHLuv1i64, VQRSHLuv2i64, VQ...
    7510         186 :     O << ".u64\t";
    7511         186 :     printOperand(MI, 0, STI, O);
    7512         186 :     O << ", ";
    7513         186 :     break;
    7514          36 :   case 53:
    7515             :     // VSDOTDI, VSDOTQI, VUDOTDI, VUDOTQI
    7516          36 :     printVectorIndex(MI, 4, STI, O);
    7517          36 :     return;
    7518             :     break;
    7519           1 :   case 54:
    7520             :     // VSHTOD
    7521           1 :     O << ".f64.s16\t";
    7522           1 :     printOperand(MI, 0, STI, O);
    7523           1 :     O << ", ";
    7524           1 :     printOperand(MI, 1, STI, O);
    7525           1 :     O << ", ";
    7526           1 :     printFBits16(MI, 2, STI, O);
    7527           1 :     return;
    7528             :     break;
    7529           1 :   case 55:
    7530             :     // VSHTOS
    7531           1 :     O << ".f32.s16\t";
    7532           1 :     printOperand(MI, 0, STI, O);
    7533           1 :     O << ", ";
    7534           1 :     printOperand(MI, 1, STI, O);
    7535           1 :     O << ", ";
    7536           1 :     printFBits16(MI, 2, STI, O);
    7537           1 :     return;
    7538             :     break;
    7539          47 :   case 56:
    7540             :     // VSITOD, VSLTOD
    7541          47 :     O << ".f64.s32\t";
    7542          47 :     printOperand(MI, 0, STI, O);
    7543          47 :     O << ", ";
    7544          47 :     printOperand(MI, 1, STI, O);
    7545          47 :     break;
    7546          16 :   case 57:
    7547             :     // VSITOH, VSLTOH
    7548          16 :     O << ".f16.s32\t";
    7549          16 :     printOperand(MI, 0, STI, O);
    7550          16 :     O << ", ";
    7551          16 :     printOperand(MI, 1, STI, O);
    7552          16 :     break;
    7553           1 :   case 58:
    7554             :     // VTOSHD
    7555           1 :     O << ".s16.f64\t";
    7556           1 :     printOperand(MI, 0, STI, O);
    7557           1 :     O << ", ";
    7558           1 :     printOperand(MI, 1, STI, O);
    7559           1 :     O << ", ";
    7560           1 :     printFBits16(MI, 2, STI, O);
    7561           1 :     return;
    7562             :     break;
    7563           1 :   case 59:
    7564             :     // VTOSHS
    7565           1 :     O << ".s16.f32\t";
    7566           1 :     printOperand(MI, 0, STI, O);
    7567           1 :     O << ", ";
    7568           1 :     printOperand(MI, 1, STI, O);
    7569           1 :     O << ", ";
    7570           1 :     printFBits16(MI, 2, STI, O);
    7571           1 :     return;
    7572             :     break;
    7573          20 :   case 60:
    7574             :     // VTOSIRH, VTOSIZH, VTOSLH
    7575          20 :     O << ".s32.f16\t";
    7576          20 :     printOperand(MI, 0, STI, O);
    7577          20 :     O << ", ";
    7578          20 :     printOperand(MI, 1, STI, O);
    7579          20 :     break;
    7580           1 :   case 61:
    7581             :     // VTOUHD
    7582           1 :     O << ".u16.f64\t";
    7583           1 :     printOperand(MI, 0, STI, O);
    7584           1 :     O << ", ";
    7585           1 :     printOperand(MI, 1, STI, O);
    7586           1 :     O << ", ";
    7587           1 :     printFBits16(MI, 2, STI, O);
    7588           1 :     return;
    7589             :     break;
    7590           1 :   case 62:
    7591             :     // VTOUHS
    7592           1 :     O << ".u16.f32\t";
    7593           1 :     printOperand(MI, 0, STI, O);
    7594           1 :     O << ", ";
    7595           1 :     printOperand(MI, 1, STI, O);
    7596           1 :     O << ", ";
    7597           1 :     printFBits16(MI, 2, STI, O);
    7598           1 :     return;
    7599             :     break;
    7600          34 :   case 63:
    7601             :     // VTOUIRD, VTOUIZD, VTOULD
    7602          34 :     O << ".u32.f64\t";
    7603          34 :     printOperand(MI, 0, STI, O);
    7604          34 :     O << ", ";
    7605          34 :     printOperand(MI, 1, STI, O);
    7606          34 :     break;
    7607          20 :   case 64:
    7608             :     // VTOUIRH, VTOUIZH, VTOULH
    7609          20 :     O << ".u32.f16\t";
    7610          20 :     printOperand(MI, 0, STI, O);
    7611          20 :     O << ", ";
    7612          20 :     printOperand(MI, 1, STI, O);
    7613          20 :     break;
    7614           1 :   case 65:
    7615             :     // VUHTOD
    7616           1 :     O << ".f64.u16\t";
    7617           1 :     printOperand(MI, 0, STI, O);
    7618           1 :     O << ", ";
    7619           1 :     printOperand(MI, 1, STI, O);
    7620           1 :     O << ", ";
    7621           1 :     printFBits16(MI, 2, STI, O);
    7622           1 :     return;
    7623             :     break;
    7624           1 :   case 66:
    7625             :     // VUHTOS
    7626           1 :     O << ".f32.u16\t";
    7627           1 :     printOperand(MI, 0, STI, O);
    7628           1 :     O << ", ";
    7629           1 :     printOperand(MI, 1, STI, O);
    7630           1 :     O << ", ";
    7631           1 :     printFBits16(MI, 2, STI, O);
    7632           1 :     return;
    7633             :     break;
    7634          31 :   case 67:
    7635             :     // VUITOD, VULTOD
    7636          31 :     O << ".f64.u32\t";
    7637          31 :     printOperand(MI, 0, STI, O);
    7638          31 :     O << ", ";
    7639          31 :     printOperand(MI, 1, STI, O);
    7640          31 :     break;
    7641          16 :   case 68:
    7642             :     // VUITOH, VULTOH
    7643          16 :     O << ".f16.u32\t";
    7644          16 :     printOperand(MI, 0, STI, O);
    7645          16 :     O << ", ";
    7646          16 :     printOperand(MI, 1, STI, O);
    7647          16 :     break;
    7648        6261 :   case 69:
    7649             :     // t2ADCrr, t2ADCrs, t2ADDri, t2ADDrr, t2ADDrs, t2ADR, t2ANDrr, t2ANDrs, ...
    7650        6261 :     O << ".w\t";
    7651        6261 :     break;
    7652          20 :   case 70:
    7653             :     // t2SRSDB, t2SRSIA
    7654          20 :     O << "\tsp, ";
    7655          20 :     printOperand(MI, 0, STI, O);
    7656          20 :     return;
    7657             :     break;
    7658          20 :   case 71:
    7659             :     // t2SRSDB_UPD, t2SRSIA_UPD
    7660          20 :     O << "\tsp!, ";
    7661          20 :     printOperand(MI, 0, STI, O);
    7662          20 :     return;
    7663             :     break;
    7664          22 :   case 72:
    7665             :     // t2SUBS_PC_LR
    7666          22 :     O << "\tpc, lr, ";
    7667          22 :     printOperand(MI, 0, STI, O);
    7668          22 :     return;
    7669             :     break;
    7670        6180 :   case 73:
    7671             :     // tADC, tADDi3, tADDi8, tADDrr, tAND, tASRri, tASRrr, tBIC, tEOR, tLSLri...
    7672        6180 :     printPredicateOperand(MI, 4, STI, O);
    7673        6180 :     O << "\t";
    7674        6180 :     printOperand(MI, 0, STI, O);
    7675        6180 :     O << ", ";
    7676        6180 :     break;
    7677        2866 :   case 74:
    7678             :     // tMOVi8, tMVN, tRSB
    7679        2866 :     printPredicateOperand(MI, 3, STI, O);
    7680        2866 :     O << "\t";
    7681        2866 :     printOperand(MI, 0, STI, O);
    7682        2866 :     O << ", ";
    7683        2866 :     printOperand(MI, 2, STI, O);
    7684        2866 :     break;
    7685             :   }
    7686             : 
    7687             : 
    7688             :   // Fragment 2 encoded into 6 bits for 60 unique commands.
    7689      134960 :   switch ((Bits >> 24) & 63) {
    7690           0 :   default: llvm_unreachable("Invalid command number.");
    7691       89990 :   case 0:
    7692             :     // ASRi, ASRr, LDRBT_POST, LDRConstPool, LDRT_POST, LSLi, LSLr, LSRi, LSR...
    7693       89990 :     printOperand(MI, 0, STI, O);
    7694       89990 :     break;
    7695        3951 :   case 1:
    7696             :     // ITasm, t2IT
    7697        3951 :     printMandatoryPredicateOperand(MI, 0, STI, O);
    7698        3951 :     return;
    7699             :     break;
    7700           0 :   case 2:
    7701             :     // VLD3DUPdAsm_16, VLD3DUPdAsm_32, VLD3DUPdAsm_8, VLD3DUPdWB_fixed_Asm_16...
    7702           0 :     printVectorListThreeAllLanes(MI, 0, STI, O);
    7703           0 :     O << ", ";
    7704           0 :     printAddrMode6Operand(MI, 1, STI, O);
    7705           0 :     break;
    7706           0 :   case 3:
    7707             :     // VLD3DUPqAsm_16, VLD3DUPqAsm_32, VLD3DUPqAsm_8, VLD3DUPqWB_fixed_Asm_16...
    7708           0 :     printVectorListThreeSpacedAllLanes(MI, 0, STI, O);
    7709           0 :     O << ", ";
    7710           0 :     printAddrMode6Operand(MI, 1, STI, O);
    7711           0 :     break;
    7712          76 :   case 4:
    7713             :     // VLD3dAsm_16, VLD3dAsm_32, VLD3dAsm_8, VLD3dWB_fixed_Asm_16, VLD3dWB_fi...
    7714          76 :     printVectorListThree(MI, 0, STI, O);
    7715          76 :     O << ", ";
    7716          76 :     break;
    7717           0 :   case 5:
    7718             :     // VLD3qAsm_16, VLD3qAsm_32, VLD3qAsm_8, VLD3qWB_fixed_Asm_16, VLD3qWB_fi...
    7719           0 :     printVectorListThreeSpaced(MI, 0, STI, O);
    7720           0 :     O << ", ";
    7721           0 :     printAddrMode6Operand(MI, 1, STI, O);
    7722           0 :     break;
    7723           0 :   case 6:
    7724             :     // VLD4DUPdAsm_16, VLD4DUPdAsm_32, VLD4DUPdAsm_8, VLD4DUPdWB_fixed_Asm_16...
    7725           0 :     printVectorListFourAllLanes(MI, 0, STI, O);
    7726           0 :     O << ", ";
    7727           0 :     printAddrMode6Operand(MI, 1, STI, O);
    7728           0 :     break;
    7729           0 :   case 7:
    7730             :     // VLD4DUPqAsm_16, VLD4DUPqAsm_32, VLD4DUPqAsm_8, VLD4DUPqWB_fixed_Asm_16...
    7731           0 :     printVectorListFourSpacedAllLanes(MI, 0, STI, O);
    7732           0 :     O << ", ";
    7733           0 :     printAddrMode6Operand(MI, 1, STI, O);
    7734           0 :     break;
    7735         221 :   case 8:
    7736             :     // VLD4dAsm_16, VLD4dAsm_32, VLD4dAsm_8, VLD4dWB_fixed_Asm_16, VLD4dWB_fi...
    7737         221 :     printVectorListFour(MI, 0, STI, O);
    7738         221 :     O << ", ";
    7739         221 :     break;
    7740           0 :   case 9:
    7741             :     // VLD4qAsm_16, VLD4qAsm_32, VLD4qAsm_8, VLD4qWB_fixed_Asm_16, VLD4qWB_fi...
    7742           0 :     printVectorListFourSpaced(MI, 0, STI, O);
    7743           0 :     O << ", ";
    7744           0 :     printAddrMode6Operand(MI, 1, STI, O);
    7745           0 :     break;
    7746        6658 :   case 10:
    7747             :     // AESD, AESE, MCR2, MCRR2, SHA1C, SHA1M, SHA1P, SHA1SU0, SHA1SU1, SHA256...
    7748        6658 :     printOperand(MI, 2, STI, O);
    7749        6658 :     break;
    7750        8202 :   case 11:
    7751             :     // AESIMC, AESMC, CRC32B, CRC32CB, CRC32CH, CRC32CW, CRC32H, CRC32W, FLDM...
    7752        8202 :     printOperand(MI, 1, STI, O);
    7753        8202 :     break;
    7754         848 :   case 12:
    7755             :     // CDP, LDCL_OFFSET, LDCL_OPTION, LDCL_POST, LDCL_PRE, LDC_OFFSET, LDC_OP...
    7756         848 :     printPImmediate(MI, 0, STI, O);
    7757         848 :     O << ", ";
    7758         848 :     break;
    7759          14 :   case 13:
    7760             :     // CDP2
    7761          14 :     printCImmediate(MI, 2, STI, O);
    7762          14 :     O << ", ";
    7763          14 :     printCImmediate(MI, 3, STI, O);
    7764          14 :     O << ", ";
    7765          14 :     printCImmediate(MI, 4, STI, O);
    7766          14 :     O << ", ";
    7767          14 :     printOperand(MI, 5, STI, O);
    7768          14 :     return;
    7769             :     break;
    7770          51 :   case 14:
    7771             :     // CPS2p, CPS3p, t2CPS2p, t2CPS3p, tCPS
    7772          51 :     printCPSIFlag(MI, 1, STI, O);
    7773          51 :     break;
    7774        6968 :   case 15:
    7775             :     // FCONSTD, FCONSTH, FCONSTS, VABDfd, VABDfq, VABDhd, VABDhq, VABSD, VABS...
    7776        6968 :     O << ", ";
    7777        6968 :     break;
    7778          97 :   case 16:
    7779             :     // LDAEXD, LDREXD
    7780          97 :     printGPRPairOperand(MI, 0, STI, O);
    7781          97 :     O << ", ";
    7782          97 :     printAddrMode7Operand(MI, 1, STI, O);
    7783          97 :     return;
    7784             :     break;
    7785          28 :   case 17:
    7786             :     // LDC2L_OFFSET, LDC2_OFFSET, STC2L_OFFSET, STC2_OFFSET
    7787          28 :     printAddrMode5Operand<false>(MI, 2, STI, O);
    7788          28 :     return;
    7789             :     break;
    7790          38 :   case 18:
    7791             :     // LDC2L_OPTION, LDC2L_POST, LDC2_OPTION, LDC2_POST, STC2L_OPTION, STC2L_...
    7792          38 :     printAddrMode7Operand(MI, 2, STI, O);
    7793          38 :     O << ", ";
    7794          38 :     break;
    7795           8 :   case 19:
    7796             :     // LDC2L_PRE, LDC2_PRE, STC2L_PRE, STC2_PRE
    7797           8 :     printAddrMode5Operand<true>(MI, 2, STI, O);
    7798             :     O << '!';
    7799             :     return;
    7800             :     break;
    7801          69 :   case 20:
    7802             :     // MRC, t2MRC, t2MRC2
    7803          69 :     printPImmediate(MI, 1, STI, O);
    7804          69 :     O << ", ";
    7805          69 :     printOperand(MI, 2, STI, O);
    7806          69 :     O << ", ";
    7807          69 :     printOperand(MI, 0, STI, O);
    7808          69 :     O << ", ";
    7809          69 :     printCImmediate(MI, 3, STI, O);
    7810          69 :     O << ", ";
    7811          69 :     printCImmediate(MI, 4, STI, O);
    7812          69 :     O << ", ";
    7813          69 :     printOperand(MI, 5, STI, O);
    7814          69 :     return;
    7815             :     break;
    7816          46 :   case 21:
    7817             :     // MRRC, t2MRRC, t2MRRC2
    7818          46 :     printPImmediate(MI, 2, STI, O);
    7819          46 :     O << ", ";
    7820          46 :     printOperand(MI, 3, STI, O);
    7821          46 :     O << ", ";
    7822          46 :     printOperand(MI, 0, STI, O);
    7823          46 :     O << ", ";
    7824          46 :     printOperand(MI, 1, STI, O);
    7825          46 :     O << ", ";
    7826          46 :     printCImmediate(MI, 4, STI, O);
    7827          46 :     return;
    7828             :     break;
    7829         372 :   case 22:
    7830             :     // MSR, MSRi, t2MSR_AR, t2MSR_M
    7831         372 :     printMSRMaskOperand(MI, 0, STI, O);
    7832         372 :     O << ", ";
    7833         372 :     break;
    7834         132 :   case 23:
    7835             :     // MSRbanked, t2MSRbanked
    7836         132 :     printBankedRegOperand(MI, 0, STI, O);
    7837         132 :     O << ", ";
    7838         132 :     printOperand(MI, 1, STI, O);
    7839         132 :     return;
    7840             :     break;
    7841         458 :   case 24:
    7842             :     // VBICiv2i32, VBICiv4i16, VBICiv4i32, VBICiv8i16, VMOVv16i8, VMOVv1i64, ...
    7843         458 :     printNEONModImmOperand(MI, 1, STI, O);
    7844         458 :     return;
    7845             :     break;
    7846         151 :   case 25:
    7847             :     // VCMPEZD, VCMPEZH, VCMPEZS, VCMPZD, VCMPZH, VCMPZS, tRSB
    7848         151 :     O << ", #0";
    7849         151 :     return;
    7850             :     break;
    7851             :   case 26:
    7852             :     // VCVTf2sd, VCVTf2sq, VCVTf2ud, VCVTf2uq, VCVTh2sd, VCVTh2sq, VCVTh2ud, ...
    7853             :     return;
    7854             :     break;
    7855          41 :   case 27:
    7856             :     // VLD1DUPd16, VLD1DUPd16wb_fixed, VLD1DUPd16wb_register, VLD1DUPd32, VLD...
    7857          41 :     printVectorListOneAllLanes(MI, 0, STI, O);
    7858          41 :     O << ", ";
    7859          41 :     break;
    7860          82 :   case 28:
    7861             :     // VLD1DUPq16, VLD1DUPq16wb_fixed, VLD1DUPq16wb_register, VLD1DUPq32, VLD...
    7862          82 :     printVectorListTwoAllLanes(MI, 0, STI, O);
    7863          82 :     O << ", ";
    7864          82 :     break;
    7865         212 :   case 29:
    7866             :     // VLD1d16, VLD1d16wb_fixed, VLD1d16wb_register, VLD1d32, VLD1d32wb_fixed...
    7867         212 :     printVectorListOne(MI, 0, STI, O);
    7868         212 :     O << ", ";
    7869         212 :     break;
    7870        2678 :   case 30:
    7871             :     // VLD1q16, VLD1q16wb_fixed, VLD1q16wb_register, VLD1q32, VLD1q32wb_fixed...
    7872        2678 :     printVectorListTwo(MI, 0, STI, O);
    7873        2678 :     O << ", ";
    7874        2678 :     break;
    7875          51 :   case 31:
    7876             :     // VLD2DUPd16x2, VLD2DUPd16x2wb_fixed, VLD2DUPd16x2wb_register, VLD2DUPd3...
    7877          51 :     printVectorListTwoSpacedAllLanes(MI, 0, STI, O);
    7878          51 :     O << ", ";
    7879          51 :     break;
    7880          45 :   case 32:
    7881             :     // VLD2b16, VLD2b16wb_fixed, VLD2b16wb_register, VLD2b32, VLD2b32wb_fixed...
    7882          45 :     printVectorListTwoSpaced(MI, 0, STI, O);
    7883          45 :     O << ", ";
    7884          45 :     break;
    7885         311 :   case 33:
    7886             :     // VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8_UPD, VST2LNd16_UPD, VST2LNd32_U...
    7887         311 :     printOperand(MI, 4, STI, O);
    7888         311 :     break;
    7889          58 :   case 34:
    7890             :     // VST1d16, VST1d32, VST1d64, VST1d8
    7891          58 :     printVectorListOne(MI, 2, STI, O);
    7892          58 :     O << ", ";
    7893          58 :     printAddrMode6Operand(MI, 0, STI, O);
    7894          58 :     return;
    7895             :     break;
    7896          92 :   case 35:
    7897             :     // VST1d16Q, VST1d32Q, VST1d64Q, VST1d8Q, VST2q16, VST2q32, VST2q8
    7898          92 :     printVectorListFour(MI, 2, STI, O);
    7899          92 :     O << ", ";
    7900          92 :     printAddrMode6Operand(MI, 0, STI, O);
    7901          92 :     return;
    7902             :     break;
    7903          71 :   case 36:
    7904             :     // VST1d16Qwb_fixed, VST1d32Qwb_fixed, VST1d64Qwb_fixed, VST1d8Qwb_fixed,...
    7905          71 :     printVectorListFour(MI, 3, STI, O);
    7906          71 :     O << ", ";
    7907          71 :     printAddrMode6Operand(MI, 1, STI, O);
    7908             :     O << '!';
    7909             :     return;
    7910             :     break;
    7911          34 :   case 37:
    7912             :     // VST1d16Qwb_register, VST1d32Qwb_register, VST1d64Qwb_register, VST1d8Q...
    7913          34 :     printVectorListFour(MI, 4, STI, O);
    7914          34 :     O << ", ";
    7915          34 :     printAddrMode6Operand(MI, 1, STI, O);
    7916          34 :     O << ", ";
    7917          34 :     printOperand(MI, 3, STI, O);
    7918          34 :     return;
    7919             :     break;
    7920          18 :   case 38:
    7921             :     // VST1d16T, VST1d32T, VST1d64T, VST1d8T
    7922          18 :     printVectorListThree(MI, 2, STI, O);
    7923          18 :     O << ", ";
    7924          18 :     printAddrMode6Operand(MI, 0, STI, O);
    7925          18 :     return;
    7926             :     break;
    7927          22 :   case 39:
    7928             :     // VST1d16Twb_fixed, VST1d32Twb_fixed, VST1d64Twb_fixed, VST1d8Twb_fixed
    7929          22 :     printVectorListThree(MI, 3, STI, O);
    7930          22 :     O << ", ";
    7931          22 :     printAddrMode6Operand(MI, 1, STI, O);
    7932             :     O << '!';
    7933             :     return;
    7934             :     break;
    7935          18 :   case 40:
    7936             :     // VST1d16Twb_register, VST1d32Twb_register, VST1d64Twb_register, VST1d8T...
    7937          18 :     printVectorListThree(MI, 4, STI, O);
    7938          18 :     O << ", ";
    7939          18 :     printAddrMode6Operand(MI, 1, STI, O);
    7940          18 :     O << ", ";
    7941          18 :     printOperand(MI, 3, STI, O);
    7942          18 :     return;
    7943             :     break;
    7944          78 :   case 41:
    7945             :     // VST1d16wb_fixed, VST1d32wb_fixed, VST1d64wb_fixed, VST1d8wb_fixed
    7946          78 :     printVectorListOne(MI, 3, STI, O);
    7947          78 :     O << ", ";
    7948          78 :     printAddrMode6Operand(MI, 1, STI, O);
    7949             :     O << '!';
    7950             :     return;
    7951             :     break;
    7952          44 :   case 42:
    7953             :     // VST1d16wb_register, VST1d32wb_register, VST1d64wb_register, VST1d8wb_r...
    7954          44 :     printVectorListOne(MI, 4, STI, O);
    7955          44 :     O << ", ";
    7956          44 :     printAddrMode6Operand(MI, 1, STI, O);
    7957          44 :     O << ", ";
    7958          44 :     printOperand(MI, 3, STI, O);
    7959          44 :     return;
    7960             :     break;
    7961        1541 :   case 43:
    7962             :     // VST1q16, VST1q32, VST1q64, VST1q8, VST2d16, VST2d32, VST2d8
    7963        1541 :     printVectorListTwo(MI, 2, STI, O);
    7964        1541 :     O << ", ";
    7965        1541 :     printAddrMode6Operand(MI, 0, STI, O);
    7966        1541 :     return;
    7967             :     break;
    7968         134 :   case 44:
    7969             :     // VST1q16wb_fixed, VST1q32wb_fixed, VST1q64wb_fixed, VST1q8wb_fixed, VST...
    7970         134 :     printVectorListTwo(MI, 3, STI, O);
    7971         134 :     O << ", ";
    7972         134 :     printAddrMode6Operand(MI, 1, STI, O);
    7973             :     O << '!';
    7974             :     return;
    7975             :     break;
    7976          80 :   case 45:
    7977             :     // VST1q16wb_register, VST1q32wb_register, VST1q64wb_register, VST1q8wb_r...
    7978          80 :     printVectorListTwo(MI, 4, STI, O);
    7979          80 :     O << ", ";
    7980          80 :     printAddrMode6Operand(MI, 1, STI, O);
    7981          80 :     O << ", ";
    7982          80 :     printOperand(MI, 3, STI, O);
    7983          80 :     return;
    7984             :     break;
    7985          14 :   case 46:
    7986             :     // VST2b16, VST2b32, VST2b8
    7987          14 :     printVectorListTwoSpaced(MI, 2, STI, O);
    7988          14 :     O << ", ";
    7989          14 :     printAddrMode6Operand(MI, 0, STI, O);
    7990          14 :     return;
    7991             :     break;
    7992          12 :   case 47:
    7993             :     // VST2b16wb_fixed, VST2b32wb_fixed, VST2b8wb_fixed
    7994          12 :     printVectorListTwoSpaced(MI, 3, STI, O);
    7995          12 :     O << ", ";
    7996          12 :     printAddrMode6Operand(MI, 1, STI, O);
    7997             :     O << '!';
    7998             :     return;
    7999             :     break;
    8000          13 :   case 48:
    8001             :     // VST2b16wb_register, VST2b32wb_register, VST2b8wb_register
    8002          13 :     printVectorListTwoSpaced(MI, 4, STI, O);
    8003          13 :     O << ", ";
    8004          13 :     printAddrMode6Operand(MI, 1, STI, O);
    8005          13 :     O << ", ";
    8006          13 :     printOperand(MI, 3, STI, O);
    8007          13 :     return;
    8008             :     break;
    8009         328 :   case 49:
    8010             :     // t2DMB, t2DSB
    8011         328 :     printMemBOption(MI, 0, STI, O);
    8012         328 :     return;
    8013             :     break;
    8014          22 :   case 50:
    8015             :     // t2ISB
    8016          22 :     printInstSyncBOption(MI, 0, STI, O);
    8017          22 :     return;
    8018             :     break;
    8019          53 :   case 51:
    8020             :     // t2PLDWi12, t2PLDi12, t2PLIi12
    8021          53 :     printAddrModeImm12Operand<false>(MI, 0, STI, O);
    8022          53 :     return;
    8023             :     break;
    8024          24 :   case 52:
    8025             :     // t2PLDWi8, t2PLDi8, t2PLIi8
    8026          24 :     printT2AddrModeImm8Operand<false>(MI, 0, STI, O);
    8027          24 :     return;
    8028             :     break;
    8029          60 :   case 53:
    8030             :     // t2PLDWs, t2PLDs, t2PLIs
    8031          60 :     printT2AddrModeSoRegOperand(MI, 0, STI, O);
    8032          60 :     return;
    8033             :     break;
    8034          39 :   case 54:
    8035             :     // t2PLDpci, t2PLIpci
    8036          39 :     printThumbLdrLabelOperand(MI, 0, STI, O);
    8037          39 :     return;
    8038             :     break;
    8039          27 :   case 55:
    8040             :     // t2TBB
    8041          27 :     printAddrModeTBB(MI, 0, STI, O);
    8042          27 :     return;
    8043             :     break;
    8044           9 :   case 56:
    8045             :     // t2TBH
    8046           9 :     printAddrModeTBH(MI, 0, STI, O);
    8047           9 :     return;
    8048             :     break;
    8049           0 :   case 57:
    8050             :     // t2TSB
    8051           0 :     printTraceSyncBOption(MI, 0, STI, O);
    8052           0 :     return;
    8053             :     break;
    8054        3443 :   case 58:
    8055             :     // tADC, tADDi8, tAND, tASRrr, tBIC, tEOR, tLSLrr, tLSRrr, tORR, tROR, tS...
    8056        3443 :     printOperand(MI, 3, STI, O);
    8057        3443 :     return;
    8058             :     break;
    8059        3539 :   case 59:
    8060             :     // tPOP, tPUSH
    8061        3539 :     printRegisterList(MI, 2, STI, O);
    8062        3539 :     return;
    8063             :     break;
    8064             :   }
    8065             : 
    8066             : 
    8067             :   // Fragment 3 encoded into 5 bits for 30 unique commands.
    8068      116844 :   switch ((Bits >> 30) & 31) {
    8069           0 :   default: llvm_unreachable("Invalid command number.");
    8070       89997 :   case 0:
    8071             :     // ASRi, ASRr, LDRBT_POST, LDRConstPool, LDRT_POST, LSLi, LSLr, LSRi, LSR...
    8072       89997 :     O << ", ";
    8073       89997 :     break;
    8074             :   case 1:
    8075             :     // VLD3DUPdAsm_16, VLD3DUPdAsm_32, VLD3DUPdAsm_8, VLD3DUPqAsm_16, VLD3DUP...
    8076             :     return;
    8077             :     break;
    8078             :   case 2:
    8079             :     // VLD3DUPdWB_fixed_Asm_16, VLD3DUPdWB_fixed_Asm_32, VLD3DUPdWB_fixed_Asm...
    8080             :     O << '!';
    8081             :     return;
    8082             :     break;
    8083        2768 :   case 3:
    8084             :     // VLD3dAsm_16, VLD3dAsm_32, VLD3dAsm_8, VLD3dWB_fixed_Asm_16, VLD3dWB_fi...
    8085        2768 :     printAddrMode6Operand(MI, 1, STI, O);
    8086        2768 :     break;
    8087        6316 :   case 4:
    8088             :     // CDP, MCR, MCRR, MSR, VABDfd, VABDfq, VABDhd, VABDhq, VABSD, VABSH, VAB...
    8089        6316 :     printOperand(MI, 1, STI, O);
    8090        6316 :     break;
    8091         441 :   case 5:
    8092             :     // FCONSTD, FCONSTH, FCONSTS, VMOVv2f32, VMOVv4f32
    8093         441 :     printFPImmOperand(MI, 1, STI, O);
    8094         441 :     return;
    8095             :     break;
    8096         361 :   case 6:
    8097             :     // FLDMXDB_UPD, FLDMXIA_UPD, FSTMXDB_UPD, FSTMXIA_UPD, LDMDA_UPD, LDMDB_U...
    8098         361 :     O << "!, ";
    8099         361 :     printRegisterList(MI, 4, STI, O);
    8100         361 :     break;
    8101          13 :   case 7:
    8102             :     // LDC2L_OPTION, LDC2_OPTION, STC2L_OPTION, STC2_OPTION
    8103          13 :     printCoprocOptionImm(MI, 3, STI, O);
    8104          13 :     return;
    8105             :     break;
    8106          25 :   case 8:
    8107             :     // LDC2L_POST, LDC2_POST, STC2L_POST, STC2_POST
    8108          25 :     printPostIdxImm8s4Operand(MI, 3, STI, O);
    8109          25 :     return;
    8110             :     break;
    8111         711 :   case 9:
    8112             :     // LDCL_OFFSET, LDCL_OPTION, LDCL_POST, LDCL_PRE, LDC_OFFSET, LDC_OPTION,...
    8113         711 :     printCImmediate(MI, 1, STI, O);
    8114         711 :     O << ", ";
    8115         711 :     break;
    8116          20 :   case 10:
    8117             :     // MRS, t2MRS_AR
    8118          20 :     O << ", apsr";
    8119          20 :     return;
    8120             :     break;
    8121           9 :   case 11:
    8122             :     // MRSsys, t2MRSsys_AR
    8123           9 :     O << ", spsr";
    8124           9 :     return;
    8125             :     break;
    8126          63 :   case 12:
    8127             :     // MSRi
    8128          63 :     printModImmOperand(MI, 1, STI, O);
    8129          63 :     return;
    8130             :     break;
    8131          27 :   case 13:
    8132             :     // VCEQzv16i8, VCEQzv2i32, VCEQzv4i16, VCEQzv4i32, VCEQzv8i16, VCEQzv8i8,...
    8133          27 :     O << ", #0";
    8134          27 :     return;
    8135             :     break;
    8136         617 :   case 14:
    8137             :     // VCVTf2xsd, VCVTf2xsq, VCVTf2xud, VCVTf2xuq, VCVTh2xsd, VCVTh2xsq, VCVT...
    8138         617 :     printOperand(MI, 2, STI, O);
    8139         617 :     break;
    8140          64 :   case 15:
    8141             :     // VGETLNs16, VGETLNs8, VGETLNu16, VGETLNu8
    8142          64 :     printVectorIndex(MI, 2, STI, O);
    8143          64 :     return;
    8144             :     break;
    8145         638 :   case 16:
    8146             :     // VLD1DUPd16wb_fixed, VLD1DUPd16wb_register, VLD1DUPd32wb_fixed, VLD1DUP...
    8147         638 :     printAddrMode6Operand(MI, 2, STI, O);
    8148         638 :     break;
    8149             :   case 17:
    8150             :     // VLD1LNd16, VLD1LNd16_UPD, VLD1LNd32, VLD1LNd32_UPD, VLD1LNd8, VLD1LNd8...
    8151             :     O << '[';
    8152             :     break;
    8153         163 :   case 18:
    8154             :     // VLD3DUPd16, VLD3DUPd16_UPD, VLD3DUPd32, VLD3DUPd32_UPD, VLD3DUPd8, VLD...
    8155         163 :     O << "[], ";
    8156         163 :     printOperand(MI, 1, STI, O);
    8157         163 :     O << "[], ";
    8158         163 :     printOperand(MI, 2, STI, O);
    8159         163 :     break;
    8160          36 :   case 19:
    8161             :     // VMRS
    8162          36 :     O << ", fpscr";
    8163          36 :     return;
    8164             :     break;
    8165           2 :   case 20:
    8166             :     // VMRS_FPEXC
    8167           2 :     O << ", fpexc";
    8168           2 :     return;
    8169             :     break;
    8170           2 :   case 21:
    8171             :     // VMRS_FPINST
    8172           2 :     O << ", fpinst";
    8173           2 :     return;
    8174             :     break;
    8175           2 :   case 22:
    8176             :     // VMRS_FPINST2
    8177           2 :     O << ", fpinst2";
    8178           2 :     return;
    8179             :     break;
    8180          32 :   case 23:
    8181             :     // VMRS_FPSID
    8182          32 :     O << ", fpsid";
    8183          32 :     return;
    8184             :     break;
    8185          19 :   case 24:
    8186             :     // VMRS_MVFR0
    8187          19 :     O << ", mvfr0";
    8188          19 :     return;
    8189             :     break;
    8190          19 :   case 25:
    8191             :     // VMRS_MVFR1
    8192          19 :     O << ", mvfr1";
    8193          19 :     return;
    8194             :     break;
    8195          11 :   case 26:
    8196             :     // VMRS_MVFR2
    8197          11 :     O << ", mvfr2";
    8198          11 :     return;
    8199             :     break;
    8200         229 :   case 27:
    8201             :     // VSETLNi16, VSETLNi32, VSETLNi8
    8202         229 :     printVectorIndex(MI, 3, STI, O);
    8203         229 :     O << ", ";
    8204         229 :     printOperand(MI, 2, STI, O);
    8205         229 :     return;
    8206             :     break;
    8207          16 :   case 28:
    8208             :     // VSHTOH, VTOSHH, VTOUHH, VUHTOH
    8209          16 :     printFBits16(MI, 2, STI, O);
    8210          16 :     return;
    8211             :     break;
    8212          24 :   case 29:
    8213             :     // VSLTOD, VSLTOH, VSLTOS, VTOSLD, VTOSLH, VTOSLS, VTOULD, VTOULH, VTOULS...
    8214          24 :     printFBits32(MI, 2, STI, O);
    8215          24 :     return;
    8216             :     break;
    8217             :   }
    8218             : 
    8219             : 
    8220             :   // Fragment 4 encoded into 7 bits for 65 unique commands.
    8221      102324 :   switch ((Bits >> 35) & 127) {
    8222           0 :   default: llvm_unreachable("Invalid command number.");
    8223       43599 :   case 0:
    8224             :     // ASRi, ASRr, LDRConstPool, LSLi, LSLr, LSRi, LSRr, RORi, RORr, RRXi, t2...
    8225       43599 :     printOperand(MI, 1, STI, O);
    8226       43599 :     break;
    8227         298 :   case 1:
    8228             :     // LDRBT_POST, LDRT_POST, STRBT_POST, STRT_POST, LDA, LDAB, LDAEX, LDAEXB...
    8229         298 :     printAddrMode7Operand(MI, 1, STI, O);
    8230         298 :     return;
    8231             :     break;
    8232           0 :   case 2:
    8233             :     // VLD1LNdAsm_16, VLD1LNdAsm_32, VLD1LNdAsm_8, VLD1LNdWB_fixed_Asm_16, VL...
    8234           0 :     printAddrMode6Operand(MI, 2, STI, O);
    8235           0 :     break;
    8236        3081 :   case 3:
    8237             :     // VLD3DUPdWB_register_Asm_16, VLD3DUPdWB_register_Asm_32, VLD3DUPdWB_reg...
    8238        3081 :     printOperand(MI, 3, STI, O);
    8239        3081 :     break;
    8240             :   case 4:
    8241             :     // VLD3dAsm_16, VLD3dAsm_32, VLD3dAsm_8, VLD4dAsm_16, VLD4dAsm_32, VLD4dA...
    8242             :     return;
    8243             :     break;
    8244             :   case 5:
    8245             :     // VLD3dWB_fixed_Asm_16, VLD3dWB_fixed_Asm_32, VLD3dWB_fixed_Asm_8, VLD4d...
    8246             :     O << '!';
    8247             :     return;
    8248             :     break;
    8249        3177 :   case 6:
    8250             :     // VLD3dWB_register_Asm_16, VLD3dWB_register_Asm_32, VLD3dWB_register_Asm...
    8251        3177 :     O << ", ";
    8252        3177 :     break;
    8253         120 :   case 7:
    8254             :     // t2MOVSsi, t2MOVsi, t2CMNzrs, t2CMPrs, t2MVNs, t2TEQrs, t2TSTrs
    8255         120 :     printT2SOOperand(MI, 1, STI, O);
    8256         120 :     return;
    8257             :     break;
    8258          71 :   case 8:
    8259             :     // t2MOVSsr, t2MOVsr, CMNzrsr, CMPrsr, MOVsr, MVNsr, TEQrsr, TSTrsr
    8260          71 :     printSORegRegOperand(MI, 1, STI, O);
    8261          71 :     return;
    8262             :     break;
    8263         251 :   case 9:
    8264             :     // ADR, t2ADR
    8265         251 :     printAdrLabelOperand<0>(MI, 1, STI, O);
    8266         251 :     return;
    8267             :     break;
    8268         223 :   case 10:
    8269             :     // BFC, t2BFC
    8270         223 :     printBitfieldInvMaskImmOperand(MI, 2, STI, O);
    8271         223 :     return;
    8272             :     break;
    8273        7534 :   case 11:
    8274             :     // BFI, CPS3p, CRC32B, CRC32CB, CRC32CH, CRC32CW, CRC32H, CRC32W, MOVTi16...
    8275        7534 :     printOperand(MI, 2, STI, O);
    8276        7534 :     break;
    8277        4346 :   case 12:
    8278             :     // CMNri, CMPri, MOVi, MVNi, TEQri, TSTri
    8279        4346 :     printModImmOperand(MI, 1, STI, O);
    8280        4346 :     return;
    8281             :     break;
    8282         113 :   case 13:
    8283             :     // CMNzrsi, CMPrsi, MOVsi, MVNsi, TEQrsi, TSTrsi
    8284         113 :     printSORegImmOperand(MI, 1, STI, O);
    8285         113 :     return;
    8286             :     break;
    8287         619 :   case 14:
    8288             :     // FLDMXIA, FSTMXIA, LDMDA, LDMDB, LDMIA, LDMIB, STMDA, STMDB, STMIA, STM...
    8289         619 :     printRegisterList(MI, 3, STI, O);
    8290         619 :     break;
    8291         248 :   case 15:
    8292             :     // LDCL_OFFSET, LDC_OFFSET, STCL_OFFSET, STC_OFFSET, t2LDC2L_OFFSET, t2LD...
    8293         248 :     printAddrMode5Operand<false>(MI, 2, STI, O);
    8294         248 :     return;
    8295             :     break;
    8296        3767 :   case 16:
    8297             :     // LDCL_OPTION, LDCL_POST, LDC_OPTION, LDC_POST, LDRBT_POST_IMM, LDRBT_PO...
    8298        3767 :     printAddrMode7Operand(MI, 2, STI, O);
    8299        3767 :     break;
    8300         166 :   case 17:
    8301             :     // LDCL_PRE, LDC_PRE, STCL_PRE, STC_PRE, t2LDC2L_PRE, t2LDC2_PRE, t2LDCL_...
    8302         166 :     printAddrMode5Operand<true>(MI, 2, STI, O);
    8303             :     O << '!';
    8304             :     return;
    8305             :     break;
    8306          92 :   case 18:
    8307             :     // LDRB_PRE_IMM, LDR_PRE_IMM, STRB_PRE_IMM, STR_PRE_IMM
    8308          92 :     printAddrModeImm12Operand<true>(MI, 2, STI, O);
    8309             :     O << '!';
    8310             :     return;
    8311             :     break;
    8312          35 :   case 19:
    8313             :     // LDRB_PRE_REG, LDR_PRE_REG, STRB_PRE_REG, STR_PRE_REG
    8314          35 :     printAddrMode2Operand(MI, 2, STI, O);
    8315             :     O << '!';
    8316             :     return;
    8317             :     break;
    8318        7800 :   case 20:
    8319             :     // LDRBi12, LDRcp, LDRi12, STRBi12, STRi12, t2LDRBi12, t2LDRHi12, t2LDRSB...
    8320        7800 :     printAddrModeImm12Operand<false>(MI, 1, STI, O);
    8321        7800 :     return;
    8322             :     break;
    8323         436 :   case 21:
    8324             :     // LDRBrs, LDRrs, STRBrs, STRrs
    8325         436 :     printAddrMode2Operand(MI, 1, STI, O);
    8326         436 :     return;
    8327             :     break;
    8328         766 :   case 22:
    8329             :     // LDRH, LDRSB, LDRSH, STRH
    8330         766 :     printAddrMode3Operand<false>(MI, 1, STI, O);
    8331         766 :     return;
    8332             :     break;
    8333          33 :   case 23:
    8334             :     // LDRH_PRE, LDRSB_PRE, LDRSH_PRE, STRH_PRE
    8335          33 :     printAddrMode3Operand<true>(MI, 2, STI, O);
    8336             :     O << '!';
    8337             :     return;
    8338             :     break;
    8339          12 :   case 24:
    8340             :     // MCR2
    8341          12 :     printCImmediate(MI, 3, STI, O);
    8342          12 :     O << ", ";
    8343          12 :     printCImmediate(MI, 4, STI, O);
    8344          12 :     O << ", ";
    8345          12 :     printOperand(MI, 5, STI, O);
    8346          12 :     return;
    8347             :     break;
    8348         132 :   case 25:
    8349             :     // MRSbanked, t2MRSbanked
    8350         132 :     printBankedRegOperand(MI, 1, STI, O);
    8351         132 :     return;
    8352             :     break;
    8353         101 :   case 26:
    8354             :     // SSAT, SSAT16, t2SSAT, t2SSAT16
    8355         101 :     printImmPlusOneOperand(MI, 1, STI, O);
    8356         101 :     O << ", ";
    8357         101 :     printOperand(MI, 2, STI, O);
    8358         101 :     break;
    8359          73 :   case 27:
    8360             :     // STLEXD, STREXD
    8361          73 :     printGPRPairOperand(MI, 1, STI, O);
    8362          73 :     O << ", ";
    8363          73 :     printAddrMode7Operand(MI, 2, STI, O);
    8364          73 :     return;
    8365             :     break;
    8366          51 :   case 28:
    8367             :     // VCEQzv2f32, VCEQzv4f16, VCEQzv4f32, VCEQzv8f16, VCGEzv2f32, VCGEzv4f16...
    8368          51 :     O << ", #0";
    8369          51 :     return;
    8370             :     break;
    8371         178 :   case 29:
    8372             :     // VLD1LNd16, VLD1LNd32, VLD1LNd8, VST2LNd16, VST2LNd32, VST2LNd8, VST2LN...
    8373         178 :     printNoHashImmediate(MI, 4, STI, O);
    8374         178 :     break;
    8375         156 :   case 30:
    8376             :     // VLD1LNd16_UPD, VLD1LNd32_UPD, VLD1LNd8_UPD, VLD2LNd16, VLD2LNd32, VLD2...
    8377         156 :     printNoHashImmediate(MI, 6, STI, O);
    8378         156 :     break;
    8379         121 :   case 31:
    8380             :     // VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
    8381         121 :     printNoHashImmediate(MI, 8, STI, O);
    8382         121 :     O << "], ";
    8383         121 :     break;
    8384          66 :   case 32:
    8385             :     // VLD3DUPd16, VLD3DUPd16_UPD, VLD3DUPd32, VLD3DUPd32_UPD, VLD3DUPd8, VLD...
    8386          66 :     O << "[]}, ";
    8387          66 :     break;
    8388          69 :   case 33:
    8389             :     // VLD3LNd16_UPD, VLD3LNd32_UPD, VLD3LNd8_UPD, VLD3LNq16_UPD, VLD3LNq32_U...
    8390          69 :     printNoHashImmediate(MI, 10, STI, O);
    8391          69 :     O << "], ";
    8392          69 :     printOperand(MI, 1, STI, O);
    8393             :     O << '[';
    8394          69 :     printNoHashImmediate(MI, 10, STI, O);
    8395          69 :     O << "], ";
    8396          69 :     printOperand(MI, 2, STI, O);
    8397             :     O << '[';
    8398          69 :     printNoHashImmediate(MI, 10, STI, O);
    8399          69 :     break;
    8400          97 :   case 34:
    8401             :     // VLD4DUPd16, VLD4DUPd16_UPD, VLD4DUPd32, VLD4DUPd32_UPD, VLD4DUPd8, VLD...
    8402          97 :     O << "[], ";
    8403          97 :     printOperand(MI, 3, STI, O);
    8404          97 :     O << "[]}, ";
    8405          97 :     break;
    8406          39 :   case 35:
    8407             :     // VLD4LNd16_UPD, VLD4LNd32_UPD, VLD4LNd8_UPD, VLD4LNq16_UPD, VLD4LNq32_U...
    8408          39 :     printNoHashImmediate(MI, 12, STI, O);
    8409          39 :     O << "], ";
    8410          39 :     printOperand(MI, 1, STI, O);
    8411             :     O << '[';
    8412          39 :     printNoHashImmediate(MI, 12, STI, O);
    8413          39 :     O << "], ";
    8414          39 :     printOperand(MI, 2, STI, O);
    8415             :     O << '[';
    8416          39 :     printNoHashImmediate(MI, 12, STI, O);
    8417          39 :     O << "], ";
    8418          39 :     printOperand(MI, 3, STI, O);
    8419             :     O << '[';
    8420          39 :     printNoHashImmediate(MI, 12, STI, O);
    8421          39 :     O << "]}, ";
    8422          39 :     printAddrMode6Operand(MI, 5, STI, O);
    8423          39 :     printAddrMode6OffsetOperand(MI, 7, STI, O);
    8424          39 :     return;
    8425             :     break;
    8426        4479 :   case 36:
    8427             :     // VLDRD, VLDRS, VSTRD, VSTRS
    8428        4479 :     printAddrMode5Operand<false>(MI, 1, STI, O);
    8429        4479 :     return;
    8430             :     break;
    8431         522 :   case 37:
    8432             :     // VLDRH, VSTRH
    8433         522 :     printAddrMode5FP16Operand<false>(MI, 1, STI, O);
    8434         522 :     return;
    8435             :     break;
    8436          94 :   case 38:
    8437             :     // VST1LNd16, VST1LNd32, VST1LNd8
    8438          94 :     printNoHashImmediate(MI, 3, STI, O);
    8439          94 :     O << "]}, ";
    8440          94 :     printAddrMode6Operand(MI, 0, STI, O);
    8441          94 :     return;
    8442             :     break;
    8443          75 :   case 39:
    8444             :     // VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8_UPD, VST3LNd16, VST3LNd32, VST3...
    8445          75 :     printNoHashImmediate(MI, 5, STI, O);
    8446          75 :     break;
    8447          21 :   case 40:
    8448             :     // VST3LNd16_UPD, VST3LNd32_UPD, VST3LNd8_UPD, VST3LNq16_UPD, VST3LNq32_U...
    8449          21 :     printNoHashImmediate(MI, 7, STI, O);
    8450          21 :     O << "], ";
    8451          21 :     printOperand(MI, 5, STI, O);
    8452             :     O << '[';
    8453          21 :     printNoHashImmediate(MI, 7, STI, O);
    8454          21 :     O << "], ";
    8455          21 :     printOperand(MI, 6, STI, O);
    8456             :     O << '[';
    8457          21 :     printNoHashImmediate(MI, 7, STI, O);
    8458          21 :     O << "]}, ";
    8459          21 :     printAddrMode6Operand(MI, 1, STI, O);
    8460          21 :     printAddrMode6OffsetOperand(MI, 3, STI, O);
    8461          21 :     return;
    8462             :     break;
    8463         178 :   case 41:
    8464             :     // VST3d16_UPD, VST3d32_UPD, VST3d8_UPD, VST3q16_UPD, VST3q32_UPD, VST3q8...
    8465         178 :     printOperand(MI, 5, STI, O);
    8466         178 :     O << ", ";
    8467         178 :     printOperand(MI, 6, STI, O);
    8468         178 :     break;
    8469          10 :   case 42:
    8470             :     // VTBL1
    8471          10 :     printVectorListOne(MI, 1, STI, O);
    8472          10 :     O << ", ";
    8473          10 :     printOperand(MI, 2, STI, O);
    8474          10 :     return;
    8475             :     break;
    8476          10 :   case 43:
    8477             :     // VTBL2
    8478          10 :     printVectorListTwo(MI, 1, STI, O);
    8479          10 :     O << ", ";
    8480          10 :     printOperand(MI, 2, STI, O);
    8481          10 :     return;
    8482             :     break;
    8483           5 :   case 44:
    8484             :     // VTBL3
    8485           5 :     printVectorListThree(MI, 1, STI, O);
    8486           5 :     O << ", ";
    8487           5 :     printOperand(MI, 2, STI, O);
    8488           5 :     return;
    8489             :     break;
    8490           5 :   case 45:
    8491             :     // VTBL4
    8492           5 :     printVectorListFour(MI, 1, STI, O);
    8493           5 :     O << ", ";
    8494           5 :     printOperand(MI, 2, STI, O);
    8495           5 :     return;
    8496             :     break;
    8497           5 :   case 46:
    8498             :     // VTBX1
    8499           5 :     printVectorListOne(MI, 2, STI, O);
    8500           5 :     O << ", ";
    8501           5 :     printOperand(MI, 3, STI, O);
    8502           5 :     return;
    8503             :     break;
    8504           5 :   case 47:
    8505             :     // VTBX2
    8506           5 :     printVectorListTwo(MI, 2, STI, O);
    8507           5 :     O << ", ";
    8508           5 :     printOperand(MI, 3, STI, O);
    8509           5 :     return;
    8510             :     break;
    8511           6 :   case 48:
    8512             :     // VTBX3
    8513           6 :     printVectorListThree(MI, 2, STI, O);
    8514           6 :     O << ", ";
    8515           6 :     printOperand(MI, 3, STI, O);
    8516           6 :     return;
    8517             :     break;
    8518           6 :   case 49:
    8519             :     // VTBX4
    8520           6 :     printVectorListFour(MI, 2, STI, O);
    8521           6 :     O << ", ";
    8522           6 :     printOperand(MI, 3, STI, O);
    8523           6 :     return;
    8524             :     break;
    8525           6 :   case 50:
    8526             :     // sysLDMDA_UPD, sysLDMDB_UPD, sysLDMIA_UPD, sysLDMIB_UPD, sysSTMDA_UPD, ...
    8527           6 :     O << " ^";
    8528           6 :     return;
    8529             :     break;
    8530         167 :   case 51:
    8531             :     // t2LDRBT, t2LDRBi8, t2LDRHT, t2LDRHi8, t2LDRSBT, t2LDRSBi8, t2LDRSHT, t...
    8532         167 :     printT2AddrModeImm8Operand<false>(MI, 1, STI, O);
    8533         167 :     return;
    8534             :     break;
    8535         171 :   case 52:
    8536             :     // t2LDRB_PRE, t2LDRH_PRE, t2LDRSB_PRE, t2LDRSH_PRE, t2LDR_PRE, t2STRB_PR...
    8537         171 :     printT2AddrModeImm8Operand<true>(MI, 2, STI, O);
    8538             :     O << '!';
    8539             :     return;
    8540             :     break;
    8541        1250 :   case 53:
    8542             :     // t2LDRBpci, t2LDRHpci, t2LDRSBpci, t2LDRSHpci, t2LDRpci, tLDRpci
    8543        1250 :     printThumbLdrLabelOperand(MI, 1, STI, O);
    8544        1250 :     return;
    8545             :     break;
    8546         346 :   case 54:
    8547             :     // t2LDRBs, t2LDRHs, t2LDRSBs, t2LDRSHs, t2LDRs, t2STRBs, t2STRHs, t2STRs
    8548         346 :     printT2AddrModeSoRegOperand(MI, 1, STI, O);
    8549         346 :     return;
    8550             :     break;
    8551          68 :   case 55:
    8552             :     // t2LDREX
    8553          68 :     printT2AddrModeImm0_1020s4Operand(MI, 1, STI, O);
    8554          68 :     return;
    8555             :     break;
    8556         144 :   case 56:
    8557             :     // t2MRS_M
    8558         144 :     printMSRMaskOperand(MI, 1, STI, O);
    8559         144 :     return;
    8560             :     break;
    8561        1696 :   case 57:
    8562             :     // tADDspi, tSUBspi
    8563        1696 :     printThumbS4ImmOperand(MI, 2, STI, O);
    8564        1696 :     return;
    8565             :     break;
    8566         105 :   case 58:
    8567             :     // tADR
    8568         105 :     printAdrLabelOperand<2>(MI, 1, STI, O);
    8569         105 :     return;
    8570             :     break;
    8571         543 :   case 59:
    8572             :     // tASRri, tLSRri
    8573         543 :     printThumbSRImm(MI, 3, STI, O);
    8574         543 :     return;
    8575             :     break;
    8576        1425 :   case 60:
    8577             :     // tLDRBi, tSTRBi
    8578        1425 :     printThumbAddrModeImm5S1Operand(MI, 1, STI, O);
    8579        1425 :     return;
    8580             :     break;
    8581         388 :   case 61:
    8582             :     // tLDRBr, tLDRHr, tLDRSB, tLDRSH, tLDRr, tSTRBr, tSTRHr, tSTRr
    8583         388 :     printThumbAddrModeRROperand(MI, 1, STI, O);
    8584         388 :     return;
    8585             :     break;
    8586         690 :   case 62:
    8587             :     // tLDRHi, tSTRHi
    8588         690 :     printThumbAddrModeImm5S2Operand(MI, 1, STI, O);
    8589         690 :     return;
    8590             :     break;
    8591        2693 :   case 63:
    8592             :     // tLDRi, tSTRi
    8593        2693 :     printThumbAddrModeImm5S4Operand(MI, 1, STI, O);
    8594        2693 :     return;
    8595             :     break;
    8596        1875 :   case 64:
    8597             :     // tLDRspi, tSTRspi
    8598        1875 :     printThumbAddrModeSPOperand(MI, 1, STI, O);
    8599        1875 :     return;
    8600             :     break;
    8601             :   }
    8602             : 
    8603             : 
    8604             :   // Fragment 5 encoded into 5 bits for 23 unique commands.
    8605       62818 :   switch ((Bits >> 42) & 31) {
    8606           0 :   default: llvm_unreachable("Invalid command number.");
    8607       26677 :   case 0:
    8608             :     // ASRi, ASRr, LSLi, LSLr, LSRi, LSRr, RORi, RORr, VLD1LNdWB_register_Asm...
    8609       26677 :     O << ", ";
    8610       26677 :     break;
    8611             :   case 1:
    8612             :     // LDRConstPool, RRXi, VLD1LNdAsm_16, VLD1LNdAsm_32, VLD1LNdAsm_8, VLD2LN...
    8613             :     return;
    8614             :     break;
    8615             :   case 2:
    8616             :     // VLD1LNdWB_fixed_Asm_16, VLD1LNdWB_fixed_Asm_32, VLD1LNdWB_fixed_Asm_8,...
    8617             :     O << '!';
    8618             :     return;
    8619             :     break;
    8620         502 :   case 3:
    8621             :     // VLD3dWB_register_Asm_16, VLD3dWB_register_Asm_32, VLD3dWB_register_Asm...
    8622         502 :     printOperand(MI, 3, STI, O);
    8623         502 :     break;
    8624          33 :   case 4:
    8625             :     // CDP, t2CDP, t2CDP2
    8626          33 :     printCImmediate(MI, 2, STI, O);
    8627          33 :     O << ", ";
    8628          33 :     printCImmediate(MI, 3, STI, O);
    8629          33 :     O << ", ";
    8630          33 :     printCImmediate(MI, 4, STI, O);
    8631          33 :     O << ", ";
    8632          33 :     printOperand(MI, 5, STI, O);
    8633          33 :     return;
    8634             :     break;
    8635        2380 :   case 5:
    8636             :     // MCR, MCRR, VABDfd, VABDfq, VABDhd, VABDhq, VACGEfd, VACGEfq, VACGEhd, ...
    8637        2380 :     printOperand(MI, 2, STI, O);
    8638        2380 :     break;
    8639          76 :   case 6:
    8640             :     // SSAT, t2SSAT
    8641          76 :     printShiftImmOperand(MI, 3, STI, O);
    8642          76 :     return;
    8643             :     break;
    8644         604 :   case 7:
    8645             :     // SXTB, SXTB16, SXTH, UXTB, UXTB16, UXTH, t2SXTB, t2SXTB16, t2SXTH, t2UX...
    8646         604 :     printRotImmOperand(MI, 2, STI, O);
    8647         604 :     return;
    8648             :     break;
    8649         114 :   case 8:
    8650             :     // VCMLAv2f32_indexed, VCMLAv4f16_indexed, VCMLAv4f32_indexed, VCMLAv8f16...
    8651         114 :     printVectorIndex(MI, 4, STI, O);
    8652         114 :     break;
    8653         403 :   case 9:
    8654             :     // VDUPLN16d, VDUPLN16q, VDUPLN32d, VDUPLN32q, VDUPLN8d, VDUPLN8q, VGETLN...
    8655         403 :     printVectorIndex(MI, 2, STI, O);
    8656         403 :     return;
    8657             :     break;
    8658          84 :   case 10:
    8659             :     // VFMALDI, VFMALQI, VFMSLDI, VFMSLQI, VMULLslsv2i32, VMULLslsv4i16, VMUL...
    8660          84 :     printVectorIndex(MI, 3, STI, O);
    8661          84 :     return;
    8662             :     break;
    8663         262 :   case 11:
    8664             :     // VLD1DUPd16wb_register, VLD1DUPd32wb_register, VLD1DUPd8wb_register, VL...
    8665         262 :     printOperand(MI, 4, STI, O);
    8666         262 :     return;
    8667             :     break;
    8668         249 :   case 12:
    8669             :     // VLD1LNd16, VLD1LNd16_UPD, VLD1LNd32, VLD1LNd32_UPD, VLD1LNd8, VLD1LNd8...
    8670         249 :     O << "]}, ";
    8671         249 :     break;
    8672         229 :   case 13:
    8673             :     // VLD2LNd16, VLD2LNd32, VLD2LNd8, VLD2LNq16, VLD2LNq32, VLD4LNd16, VLD4L...
    8674         229 :     O << "], ";
    8675         229 :     break;
    8676          70 :   case 14:
    8677             :     // VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
    8678          70 :     printOperand(MI, 1, STI, O);
    8679             :     O << '[';
    8680          70 :     printNoHashImmediate(MI, 8, STI, O);
    8681          70 :     break;
    8682          29 :   case 15:
    8683             :     // VLD3DUPd16, VLD3DUPd32, VLD3DUPd8, VLD3DUPq16, VLD3DUPq32, VLD3DUPq8
    8684          29 :     printAddrMode6Operand(MI, 3, STI, O);
    8685          29 :     return;
    8686             :     break;
    8687          80 :   case 16:
    8688             :     // VLD3DUPd16_UPD, VLD3DUPd32_UPD, VLD3DUPd8_UPD, VLD3DUPq16_UPD, VLD3DUP...
    8689          80 :     printAddrMode6Operand(MI, 4, STI, O);
    8690          80 :     break;
    8691          54 :   case 17:
    8692             :     // VLD4DUPd16_UPD, VLD4DUPd32_UPD, VLD4DUPd8_UPD, VLD4DUPq16_UPD, VLD4DUP...
    8693          54 :     printAddrMode6Operand(MI, 5, STI, O);
    8694          54 :     printAddrMode6OffsetOperand(MI, 7, STI, O);
    8695          54 :     return;
    8696             :     break;
    8697          73 :   case 18:
    8698             :     // VST3d16_UPD, VST3d32_UPD, VST3d8_UPD, VST3q16_UPD, VST3q32_UPD, VST3q8...
    8699          73 :     O << "}, ";
    8700          73 :     printAddrMode6Operand(MI, 1, STI, O);
    8701          73 :     printAddrMode6OffsetOperand(MI, 3, STI, O);
    8702          73 :     return;
    8703             :     break;
    8704          51 :   case 19:
    8705             :     // VST4LNd16_UPD, VST4LNd32_UPD, VST4LNd8_UPD, VST4LNq16_UPD, VST4LNq32_U...
    8706          51 :     printOperand(MI, 5, STI, O);
    8707             :     O << '[';
    8708          51 :     printNoHashImmediate(MI, 8, STI, O);
    8709          51 :     O << "], ";
    8710          51 :     printOperand(MI, 6, STI, O);
    8711             :     O << '[';
    8712          51 :     printNoHashImmediate(MI, 8, STI, O);
    8713          51 :     O << "], ";
    8714          51 :     printOperand(MI, 7, STI, O);
    8715             :     O << '[';
    8716          51 :     printNoHashImmediate(MI, 8, STI, O);
    8717          51 :     O << "]}, ";
    8718          51 :     printAddrMode6Operand(MI, 1, STI, O);
    8719          51 :     printAddrMode6OffsetOperand(MI, 3, STI, O);
    8720          51 :     return;
    8721             :     break;
    8722           2 :   case 20:
    8723             :     // sysLDMDA, sysLDMDB, sysLDMIA, sysLDMIB, sysSTMDA, sysSTMDB, sysSTMIA, ...
    8724           2 :     O << " ^";
    8725           2 :     return;
    8726             :     break;
    8727        1215 :   case 21:
    8728             :     // t2LDRB_POST, t2LDRH_POST, t2LDRSB_POST, t2LDRSH_POST, t2LDR_POST, t2ST...
    8729        1215 :     printT2AddrModeImm8OffsetOperand(MI, 3, STI, O);
    8730        1215 :     return;
    8731             :     break;
    8732           5 :   case 22:
    8733             :     // t2MOVsra_flag, t2MOVsrl_flag
    8734           5 :     O << ", #1";
    8735           5 :     return;
    8736             :     break;
    8737             :   }
    8738             : 
    8739             : 
    8740             :   // Fragment 6 encoded into 6 bits for 38 unique commands.
    8741       30301 :   switch ((Bits >> 47) & 63) {
    8742           0 :   default: llvm_unreachable("Invalid command number.");
    8743       14626 :   case 0:
    8744             :     // ASRi, ASRr, LSLi, LSLr, LSRi, LSRr, RORi, RORr, ADCrr, ADDrr, ANDrr, B...
    8745       14626 :     printOperand(MI, 2, STI, O);
    8746       14626 :     break;
    8747         122 :   case 1:
    8748             :     // VLD1LNdWB_register_Asm_16, VLD1LNdWB_register_Asm_32, VLD1LNdWB_regist...
    8749         122 :     printOperand(MI, 4, STI, O);
    8750         122 :     break;
    8751             :   case 2:
    8752             :     // VLD3dWB_register_Asm_16, VLD3dWB_register_Asm_32, VLD3dWB_register_Asm...
    8753             :     return;
    8754             :     break;
    8755        5588 :   case 3:
    8756             :     // ADCri, ADDri, ANDri, BICri, EORri, ORRri, RSBri, RSCri, SBCri, SUBri
    8757        5588 :     printModImmOperand(MI, 2, STI, O);
    8758        5588 :     return;
    8759             :     break;
    8760         780 :   case 4:
    8761             :     // ADCrsi, ADDrsi, ANDrsi, BICrsi, EORrsi, ORRrsi, RSBrsi, RSCrsi, SBCrsi...
    8762         780 :     printSORegImmOperand(MI, 2, STI, O);
    8763         780 :     return;
    8764             :     break;
    8765          71 :   case 5:
    8766             :     // BFI, t2BFI
    8767          71 :     printBitfieldInvMaskImmOperand(MI, 3, STI, O);
    8768          71 :     return;
    8769             :     break;
    8770          96 :   case 6:
    8771             :     // LDCL_OPTION, LDC_OPTION, STCL_OPTION, STC_OPTION, t2LDC2L_OPTION, t2LD...
    8772          96 :     printCoprocOptionImm(MI, 3, STI, O);
    8773          96 :     return;
    8774             :     break;
    8775         201 :   case 7:
    8776             :     // LDCL_POST, LDC_POST, STCL_POST, STC_POST, t2LDC2L_POST, t2LDC2_POST, t...
    8777         201 :     printPostIdxImm8s4Operand(MI, 3, STI, O);
    8778         201 :     return;
    8779             :     break;
    8780        1837 :   case 8:
    8781             :     // LDRBT_POST_IMM, LDRBT_POST_REG, LDRB_POST_IMM, LDRB_POST_REG, LDRT_POS...
    8782        1837 :     printAddrMode2OffsetOperand(MI, 3, STI, O);
    8783        1837 :     return;
    8784             :     break;
    8785         108 :   case 9:
    8786             :     // LDRD, STRD
    8787         108 :     printAddrMode3Operand<false>(MI, 2, STI, O);
    8788         108 :     return;
    8789             :     break;
    8790         107 :   case 10:
    8791             :     // LDRD_POST, STRD_POST, t2LDRD_POST, t2STRD_POST
    8792         107 :     printAddrMode7Operand(MI, 3, STI, O);
    8793         107 :     break;
    8794          12 :   case 11:
    8795             :     // LDRD_PRE, STRD_PRE
    8796          12 :     printAddrMode3Operand<true>(MI, 3, STI, O);
    8797             :     O << '!';
    8798             :     return;
    8799             :     break;
    8800          25 :   case 12:
    8801             :     // LDRHTi, LDRSBTi, LDRSHTi, STRHTi
    8802          25 :     printPostIdxImm8Operand(MI, 3, STI, O);
    8803          25 :     return;
    8804             :     break;
    8805          27 :   case 13:
    8806             :     // LDRHTr, LDRSBTr, LDRSHTr, STRHTr
    8807          27 :     printPostIdxRegOperand(MI, 3, STI, O);
    8808          27 :     return;
    8809             :     break;
    8810         366 :   case 14:
    8811             :     // LDRH_POST, LDRSB_POST, LDRSH_POST, STRH_POST
    8812         366 :     printAddrMode3OffsetOperand(MI, 3, STI, O);
    8813         366 :     return;
    8814             :     break;
    8815         156 :   case 15:
    8816             :     // MCR, MCRR, VCMLAv2f32_indexed, VCMLAv4f16_indexed, VCMLAv4f32_indexed,...
    8817         156 :     O << ", ";
    8818         156 :     break;
    8819           7 :   case 16:
    8820             :     // MCRR2
    8821           7 :     printCImmediate(MI, 4, STI, O);
    8822           7 :     return;
    8823             :     break;
    8824         335 :   case 17:
    8825             :     // STLEX, STLEXB, STLEXH, STREX, STREXB, STREXH, SWP, SWPB, t2LDAEXD, t2L...
    8826         335 :     printAddrMode7Operand(MI, 2, STI, O);
    8827         335 :     return;
    8828             :     break;
    8829         397 :   case 18:
    8830             :     // VBIFd, VBIFq, VBITd, VBITq, VBSLd, VBSLq, VLD4LNd16, VLD4LNd32, VLD4LN...
    8831         397 :     printOperand(MI, 3, STI, O);
    8832         397 :     break;
    8833          32 :   case 19:
    8834             :     // VCADDv2f32, VCADDv4f16, VCADDv4f32, VCADDv8f16
    8835          32 :     printComplexRotationOp<180, 90>(MI, 3, STI, O);
    8836          32 :     return;
    8837             :     break;
    8838          48 :   case 20:
    8839             :     // VCMLAv2f32, VCMLAv4f16, VCMLAv4f32, VCMLAv8f16
    8840          48 :     printComplexRotationOp<90, 0>(MI, 4, STI, O);
    8841          48 :     return;
    8842             :     break;
    8843         183 :   case 21:
    8844             :     // VLD1LNd16, VLD1LNd32, VLD1LNd8, VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8...
    8845         183 :     printAddrMode6Operand(MI, 1, STI, O);
    8846         183 :     break;
    8847          44 :   case 22:
    8848             :     // VLD1LNd16_UPD, VLD1LNd32_UPD, VLD1LNd8_UPD
    8849          44 :     printAddrMode6Operand(MI, 2, STI, O);
    8850          44 :     printAddrMode6OffsetOperand(MI, 4, STI, O);
    8851          44 :     return;
    8852             :     break;
    8853          50 :   case 23:
    8854             :     // VLD2LNd16, VLD2LNd32, VLD2LNd8, VLD2LNq16, VLD2LNq32
    8855          50 :     printOperand(MI, 1, STI, O);
    8856             :     O << '[';
    8857          50 :     printNoHashImmediate(MI, 6, STI, O);
    8858          50 :     O << "]}, ";
    8859          50 :     printAddrMode6Operand(MI, 2, STI, O);
    8860          50 :     return;
    8861             :     break;
    8862          27 :   case 24:
    8863             :     // VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
    8864          27 :     O << "]}, ";
    8865          27 :     printAddrMode6Operand(MI, 3, STI, O);
    8866          27 :     printAddrMode6OffsetOperand(MI, 5, STI, O);
    8867          27 :     return;
    8868             :     break;
    8869          37 :   case 25:
    8870             :     // VLD3DUPd16_UPD, VLD3DUPd32_UPD, VLD3DUPd8_UPD, VLD3DUPq16_UPD, VLD3DUP...
    8871          37 :     printAddrMode6OffsetOperand(MI, 6, STI, O);
    8872          37 :     return;
    8873             :     break;
    8874          43 :   case 26:
    8875             :     // VLD3LNd16, VLD3LNd32, VLD3LNd8, VLD3LNq16, VLD3LNq32
    8876          43 :     O << "], ";
    8877          43 :     printOperand(MI, 2, STI, O);
    8878             :     O << '[';
    8879          43 :     printNoHashImmediate(MI, 8, STI, O);
    8880          43 :     O << "]}, ";
    8881          43 :     printAddrMode6Operand(MI, 3, STI, O);
    8882          43 :     return;
    8883             :     break;
    8884          22 :   case 27:
    8885             :     // VLD3LNd16_UPD, VLD3LNd32_UPD, VLD3LNd8_UPD, VLD3LNq16_UPD, VLD3LNq32_U...
    8886          22 :     printAddrMode6Operand(MI, 4, STI, O);
    8887          22 :     printAddrMode6OffsetOperand(MI, 6, STI, O);
    8888          22 :     return;
    8889             :     break;
    8890          16 :   case 28:
    8891             :     // VMLAslfd, VMLAslfq, VMLAslhd, VMLAslhq, VMLSslfd, VMLSslfq, VMLSslhd, ...
    8892          16 :     printVectorIndex(MI, 4, STI, O);
    8893          16 :     return;
    8894             :     break;
    8895          25 :   case 29:
    8896             :     // VMULslfd, VMULslfq, VMULslhd, VMULslhq
    8897          25 :     printVectorIndex(MI, 3, STI, O);
    8898          25 :     return;
    8899             :     break;
    8900          19 :   case 30:
    8901             :     // VST2LNd16_UPD, VST2LNd32_UPD, VST2LNd8_UPD, VST2LNq16_UPD, VST2LNq32_U...
    8902          19 :     printOperand(MI, 5, STI, O);
    8903             :     O << '[';
    8904          19 :     printNoHashImmediate(MI, 6, STI, O);
    8905          19 :     O << "]}, ";
    8906          19 :     printAddrMode6Operand(MI, 1, STI, O);
    8907          19 :     printAddrMode6OffsetOperand(MI, 3, STI, O);
    8908          19 :     return;
    8909             :     break;
    8910         105 :   case 31:
    8911             :     // VST4d16_UPD, VST4d32_UPD, VST4d8_UPD, VST4q16_UPD, VST4q32_UPD, VST4q8...
    8912         105 :     printOperand(MI, 7, STI, O);
    8913         105 :     O << "}, ";
    8914         105 :     printAddrMode6Operand(MI, 1, STI, O);
    8915         105 :     printAddrMode6OffsetOperand(MI, 3, STI, O);
    8916         105 :     return;
    8917             :     break;
    8918         452 :   case 32:
    8919             :     // t2ADCrs, t2ADDrs, t2ANDrs, t2BICrs, t2EORrs, t2ORNrs, t2ORRrs, t2RSBrs...
    8920         452 :     printT2SOOperand(MI, 2, STI, O);
    8921         452 :     return;
    8922             :     break;
    8923          85 :   case 33:
    8924             :     // t2ASRri, t2LSRri
    8925          85 :     printThumbSRImm(MI, 2, STI, O);
    8926          85 :     return;
    8927             :     break;
    8928          60 :   case 34:
    8929             :     // t2LDRD_PRE, t2STRD_PRE
    8930          60 :     printT2AddrModeImm8s4Operand<true>(MI, 3, STI, O);
    8931             :     O << '!';
    8932             :     return;
    8933             :     break;
    8934         287 :   case 35:
    8935             :     // t2LDRDi8, t2STRDi8
    8936         287 :     printT2AddrModeImm8s4Operand<false>(MI, 2, STI, O);
    8937         287 :     return;
    8938             :     break;
    8939          74 :   case 36:
    8940             :     // t2STREX
    8941          74 :     printT2AddrModeImm0_1020s4Operand(MI, 2, STI, O);
    8942          74 :     return;
    8943             :     break;
    8944         989 :   case 37:
    8945             :     // tADDrSPi
    8946         989 :     printThumbS4ImmOperand(MI, 2, STI, O);
    8947         989 :     return;
    8948             :     break;
    8949             :   }
    8950             : 
    8951             : 
    8952             :   // Fragment 7 encoded into 4 bits for 13 unique commands.
    8953       15591 :   switch ((Bits >> 53) & 15) {
    8954           0 :   default: llvm_unreachable("Invalid command number.");
    8955             :   case 0:
    8956             :     // ASRi, ASRr, LSLi, LSLr, LSRi, LSRr, RORi, RORr, VLD1LNdWB_register_Asm...
    8957             :     return;
    8958             :     break;
    8959        1532 :   case 1:
    8960             :     // LDRD_POST, MLA, MLS, SBFX, SMLABB, SMLABT, SMLAD, SMLADX, SMLALBB, SML...
    8961        1532 :     O << ", ";
    8962        1532 :     break;
    8963          59 :   case 2:
    8964             :     // MCR, t2MCR, t2MCR2
    8965          59 :     printCImmediate(MI, 3, STI, O);
    8966          59 :     O << ", ";
    8967          59 :     printCImmediate(MI, 4, STI, O);
    8968          59 :     O << ", ";
    8969          59 :     printOperand(MI, 5, STI, O);
    8970          59 :     return;
    8971             :     break;
    8972          45 :   case 3:
    8973             :     // MCRR, t2MCRR, t2MCRR2
    8974          45 :     printOperand(MI, 3, STI, O);
    8975          45 :     O << ", ";
    8976          45 :     printCImmediate(MI, 4, STI, O);
    8977          45 :     return;
    8978             :     break;
    8979          47 :   case 4:
    8980             :     // PKHBT, t2PKHBT
    8981          47 :     printPKHLSLShiftImm(MI, 3, STI, O);
    8982          47 :     return;
    8983             :     break;
    8984          26 :   case 5:
    8985             :     // PKHTB, t2PKHTB
    8986          26 :     printPKHASRShiftImm(MI, 3, STI, O);
    8987          26 :     return;
    8988             :     break;
    8989         341 :   case 6:
    8990             :     // SXTAB, SXTAB16, SXTAH, UXTAB, UXTAB16, UXTAH, t2SXTAB, t2SXTAB16, t2SX...
    8991         341 :     printRotImmOperand(MI, 3, STI, O);
    8992         341 :     return;
    8993             :     break;
    8994          84 :   case 7:
    8995             :     // USAT, t2USAT
    8996          84 :     printShiftImmOperand(MI, 3, STI, O);
    8997          84 :     return;
    8998             :     break;
    8999          52 :   case 8:
    9000             :     // VCMLAv2f32_indexed, VCMLAv4f16_indexed, VCMLAv4f32_indexed, VCMLAv8f16...
    9001          52 :     printComplexRotationOp<90, 0>(MI, 5, STI, O);
    9002          52 :     return;
    9003             :     break;
    9004         211 :   case 9:
    9005             :     // VLD3d16, VLD3d16_UPD, VLD3d32, VLD3d32_UPD, VLD3d8, VLD3d8_UPD, VLD3q1...
    9006         211 :     O << "}, ";
    9007         211 :     break;
    9008             :   case 10:
    9009             :     // VLD4LNd16, VLD4LNd32, VLD4LNd8, VLD4LNq16, VLD4LNq32, VST2LNd16, VST2L...
    9010             :     O << '[';
    9011             :     break;
    9012          42 :   case 11:
    9013             :     // VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8_UPD
    9014          42 :     printAddrMode6OffsetOperand(MI, 3, STI, O);
    9015          42 :     return;
    9016             :     break;
    9017          77 :   case 12:
    9018             :     // t2LDRD_POST, t2STRD_POST
    9019          77 :     printT2AddrModeImm8s4OffsetOperand(MI, 4, STI, O);
    9020          77 :     return;
    9021             :     break;
    9022             :   }
    9023             : 
    9024             : 
    9025             :   // Fragment 8 encoded into 4 bits for 12 unique commands.
    9026        1903 :   switch ((Bits >> 57) & 15) {
    9027           0 :   default: llvm_unreachable("Invalid command number.");
    9028          30 :   case 0:
    9029             :     // LDRD_POST, STRD_POST
    9030          30 :     printAddrMode3OffsetOperand(MI, 4, STI, O);
    9031          30 :     return;
    9032             :     break;
    9033        1204 :   case 1:
    9034             :     // MLA, MLS, SMLABB, SMLABT, SMLAD, SMLADX, SMLALBB, SMLALBT, SMLALD, SML...
    9035        1204 :     printOperand(MI, 3, STI, O);
    9036             :     break;
    9037         169 :   case 2:
    9038             :     // SBFX, UBFX, t2SBFX, t2UBFX
    9039         169 :     printImmPlusOneOperand(MI, 3, STI, O);
    9040         169 :     return;
    9041             :     break;
    9042          69 :   case 3:
    9043             :     // VLD3d16, VLD3d32, VLD3d8, VLD3q16, VLD3q32, VLD3q8
    9044          69 :     printAddrMode6Operand(MI, 3, STI, O);
    9045          69 :     return;
    9046             :     break;
    9047          85 :   case 4:
    9048             :     // VLD3d16_UPD, VLD3d32_UPD, VLD3d8_UPD, VLD3q16_UPD, VLD3q32_UPD, VLD3q8...
    9049          85 :     printAddrMode6Operand(MI, 4, STI, O);
    9050          85 :     printAddrMode6OffsetOperand(MI, 6, STI, O);
    9051          85 :     return;
    9052             :     break;
    9053          47 :   case 5:
    9054             :     // VLD4LNd16, VLD4LNd32, VLD4LNd8, VLD4LNq16, VLD4LNq32
    9055          47 :     printNoHashImmediate(MI, 10, STI, O);
    9056          47 :     O << "]}, ";
    9057          47 :     printAddrMode6Operand(MI, 4, STI, O);
    9058          47 :     return;
    9059             :     break;
    9060          37 :   case 6:
    9061             :     // VST2LNd16, VST2LNd32, VST2LNd8, VST2LNq16, VST2LNq32
    9062          37 :     printNoHashImmediate(MI, 4, STI, O);
    9063          37 :     O << "]}, ";
    9064          37 :     printAddrMode6Operand(MI, 0, STI, O);
    9065          37 :     return;
    9066             :     break;
    9067          33 :   case 7:
    9068             :     // VST3LNd16, VST3LNd32, VST3LNd8, VST3LNq16, VST3LNq32
    9069          33 :     printNoHashImmediate(MI, 5, STI, O);
    9070          33 :     O << "], ";
    9071          33 :     printOperand(MI, 4, STI, O);
    9072             :     O << '[';
    9073          33 :     printNoHashImmediate(MI, 5, STI, O);
    9074          33 :     O << "]}, ";
    9075          33 :     printAddrMode6Operand(MI, 0, STI, O);
    9076          33 :     return;
    9077             :     break;
    9078          57 :   case 8:
    9079             :     // VST3d16, VST3d32, VST3d8, VST3q16, VST3q32, VST3q8
    9080          57 :     printAddrMode6Operand(MI, 0, STI, O);
    9081          57 :     return;
    9082             :     break;
    9083          43 :   case 9:
    9084             :     // VST4LNd16, VST4LNd32, VST4LNd8, VST4LNq16, VST4LNq32
    9085          43 :     printNoHashImmediate(MI, 6, STI, O);
    9086          43 :     O << "], ";
    9087          43 :     printOperand(MI, 4, STI, O);
    9088             :     O << '[';
    9089          43 :     printNoHashImmediate(MI, 6, STI, O);
    9090          43 :     O << "], ";
    9091          43 :     printOperand(MI, 5, STI, O);
    9092             :     O << '[';
    9093          43 :     printNoHashImmediate(MI, 6, STI, O);
    9094          43 :     O << "]}, ";
    9095          43 :     printAddrMode6Operand(MI, 0, STI, O);
    9096          43 :     return;
    9097             :     break;
    9098          65 :   case 10:
    9099             :     // VST4d16, VST4d32, VST4d8, VST4q16, VST4q32, VST4q8
    9100          65 :     printOperand(MI, 5, STI, O);
    9101          65 :     O << "}, ";
    9102          65 :     printAddrMode6Operand(MI, 0, STI, O);
    9103          65 :     return;
    9104             :     break;
    9105          64 :   case 11:
    9106             :     // t2STLEXD, t2STREXD
    9107          64 :     printAddrMode7Operand(MI, 3, STI, O);
    9108          64 :     return;
    9109             :     break;
    9110             :   }
    9111             : 
    9112             : 
    9113             :   // Fragment 9 encoded into 1 bits for 2 unique commands.
    9114        1204 :   if ((Bits >> 61) & 1) {
    9115             :     // VLD4d16, VLD4d16_UPD, VLD4d32, VLD4d32_UPD, VLD4d8, VLD4d8_UPD, VLD4q1...
    9116         161 :     O << "}, ";
    9117             :   } else {
    9118             :     // MLA, MLS, SMLABB, SMLABT, SMLAD, SMLADX, SMLALBB, SMLALBT, SMLALD, SML...
    9119             :     return;
    9120             :   }
    9121             : 
    9122             : 
    9123             :   // Fragment 10 encoded into 1 bits for 2 unique commands.
    9124         161 :   if ((Bits >> 62) & 1) {
    9125             :     // VLD4d16_UPD, VLD4d32_UPD, VLD4d8_UPD, VLD4q16_UPD, VLD4q32_UPD, VLD4q8...
    9126          99 :     printAddrMode6Operand(MI, 5, STI, O);
    9127          99 :     printAddrMode6OffsetOperand(MI, 7, STI, O);
    9128          99 :     return;
    9129             :   } else {
    9130             :     // VLD4d16, VLD4d32, VLD4d8, VLD4q16, VLD4q32, VLD4q8
    9131          62 :     printAddrMode6Operand(MI, 4, STI, O);
    9132          62 :     return;
    9133             :   }
    9134             : 
    9135             : }
    9136             : 
    9137             : 
    9138             : /// getRegisterName - This method is automatically generated by tblgen
    9139             : /// from the register set description.  This returns the assembler name
    9140             : /// for the specified register.
    9141      288361 : const char *ARMInstPrinter::getRegisterName(unsigned RegNo) {
    9142             :   assert(RegNo && RegNo < 289 && "Invalid register number!");
    9143             : 
    9144             :   static const char AsmStrs[] = {
    9145             :   /* 0 */ 'D', '4', '_', 'D', '6', '_', 'D', '8', '_', 'D', '1', '0', 0,
    9146             :   /* 13 */ 'D', '7', '_', 'D', '8', '_', 'D', '9', '_', 'D', '1', '0', 0,
    9147             :   /* 26 */ 'Q', '7', '_', 'Q', '8', '_', 'Q', '9', '_', 'Q', '1', '0', 0,
    9148             :   /* 39 */ 'd', '1', '0', 0,
    9149             :   /* 43 */ 'q', '1', '0', 0,
    9150             :   /* 47 */ 'r', '1', '0', 0,
    9151             :   /* 51 */ 's', '1', '0', 0,
    9152             :   /* 55 */ 'D', '1', '4', '_', 'D', '1', '6', '_', 'D', '1', '8', '_', 'D', '2', '0', 0,
    9153             :   /* 71 */ 'D', '1', '7', '_', 'D', '1', '8', '_', 'D', '1', '9', '_', 'D', '2', '0', 0,
    9154             :   /* 87 */ 'd', '2', '0', 0,
    9155             :   /* 91 */ 's', '2', '0', 0,
    9156             :   /* 95 */ 'D', '2', '4', '_', 'D', '2', '6', '_', 'D', '2', '8', '_', 'D', '3', '0', 0,
    9157             :   /* 111 */ 'D', '2', '7', '_', 'D', '2', '8', '_', 'D', '2', '9', '_', 'D', '3', '0', 0,
    9158             :   /* 127 */ 'd', '3', '0', 0,
    9159             :   /* 131 */ 's', '3', '0', 0,
    9160             :   /* 135 */ 'd', '0', 0,
    9161             :   /* 138 */ 'q', '0', 0,
    9162             :   /* 141 */ 'm', 'v', 'f', 'r', '0', 0,
    9163             :   /* 147 */ 's', '0', 0,
    9164             :   /* 150 */ 'D', '9', '_', 'D', '1', '0', '_', 'D', '1', '1', 0,
    9165             :   /* 161 */ 'D', '5', '_', 'D', '7', '_', 'D', '9', '_', 'D', '1', '1', 0,
    9166             :   /* 174 */ 'Q', '8', '_', 'Q', '9', '_', 'Q', '1', '0', '_', 'Q', '1', '1', 0,
    9167             :   /* 188 */ 'R', '1', '0', '_', 'R', '1', '1', 0,
    9168             :   /* 196 */ 'd', '1', '1', 0,
    9169             :   /* 200 */ 'q', '1', '1', 0,
    9170             :   /* 204 */ 'r', '1', '1', 0,
    9171             :   /* 208 */ 's', '1', '1', 0,
    9172             :   /* 212 */ 'D', '1', '9', '_', 'D', '2', '0', '_', 'D', '2', '1', 0,
    9173             :   /* 224 */ 'D', '1', '5', '_', 'D', '1', '7', '_', 'D', '1', '9', '_', 'D', '2', '1', 0,
    9174             :   /* 240 */ 'd', '2', '1', 0,
    9175             :   /* 244 */ 's', '2', '1', 0,
    9176             :   /* 248 */ 'D', '2', '9', '_', 'D', '3', '0', '_', 'D', '3', '1', 0,
    9177             :   /* 260 */ 'D', '2', '5', '_', 'D', '2', '7', '_', 'D', '2', '9', '_', 'D', '3', '1', 0,
    9178             :   /* 276 */ 'd', '3', '1', 0,
    9179             :   /* 280 */ 's', '3', '1', 0,
    9180             :   /* 284 */ 'Q', '0', '_', 'Q', '1', 0,
    9181             :   /* 290 */ 'R', '0', '_', 'R', '1', 0,
    9182             :   /* 296 */ 'd', '1', 0,
    9183             :   /* 299 */ 'q', '1', 0,
    9184             :   /* 302 */ 'm', 'v', 'f', 'r', '1', 0,
    9185             :   /* 308 */ 's', '1', 0,
    9186             :   /* 311 */ 'D', '6', '_', 'D', '8', '_', 'D', '1', '0', '_', 'D', '1', '2', 0,
    9187             :   /* 325 */ 'D', '9', '_', 'D', '1', '0', '_', 'D', '1', '1', '_', 'D', '1', '2', 0,
    9188             :   /* 340 */ 'Q', '9', '_', 'Q', '1', '0', '_', 'Q', '1', '1', '_', 'Q', '1', '2', 0,
    9189             :   /* 355 */ 'd', '1', '2', 0,
    9190             :   /* 359 */ 'q', '1', '2', 0,
    9191             :   /* 363 */ 'r', '1', '2', 0,
    9192             :   /* 367 */ 's', '1', '2', 0,
    9193             :   /* 371 */ 'D', '1', '6', '_', 'D', '1', '8', '_', 'D', '2', '0', '_', 'D', '2', '2', 0,
    9194             :   /* 387 */ 'D', '1', '9', '_', 'D', '2', '0', '_', 'D', '2', '1', '_', 'D', '2', '2', 0,
    9195             :   /* 403 */ 'd', '2', '2', 0,
    9196             :   /* 407 */ 's', '2', '2', 0,
    9197             :   /* 411 */ 'D', '0', '_', 'D', '2', 0,
    9198             :   /* 417 */ 'D', '0', '_', 'D', '1', '_', 'D', '2', 0,
    9199             :   /* 426 */ 'Q', '1', '_', 'Q', '2', 0,
    9200             :   /* 432 */ 'd', '2', 0,
    9201             :   /* 435 */ 'q', '2', 0,
    9202             :   /* 438 */ 'm', 'v', 'f', 'r', '2', 0,
    9203             :   /* 444 */ 's', '2', 0,
    9204             :   /* 447 */ 'f', 'p', 'i', 'n', 's', 't', '2', 0,
    9205             :   /* 455 */ 'D', '7', '_', 'D', '9', '_', 'D', '1', '1', '_', 'D', '1', '3', 0,
    9206             :   /* 469 */ 'D', '1', '1', '_', 'D', '1', '2', '_', 'D', '1', '3', 0,
    9207             :   /* 481 */ 'Q', '1', '0', '_', 'Q', '1', '1', '_', 'Q', '1', '2', '_', 'Q', '1', '3', 0,
    9208             :   /* 497 */ 'd', '1', '3', 0,
    9209             :   /* 501 */ 'q', '1', '3', 0,
    9210             :   /* 505 */ 's', '1', '3', 0,
    9211             :   /* 509 */ 'D', '1', '7', '_', 'D', '1', '9', '_', 'D', '2', '1', '_', 'D', '2', '3', 0,
    9212             :   /* 525 */ 'D', '2', '1', '_', 'D', '2', '2', '_', 'D', '2', '3', 0,
    9213             :   /* 537 */ 'd', '2', '3', 0,
    9214             :   /* 541 */ 's', '2', '3', 0,
    9215             :   /* 545 */ 'D', '1', '_', 'D', '3', 0,
    9216             :   /* 551 */ 'D', '1', '_', 'D', '2', '_', 'D', '3', 0,
    9217             :   /* 560 */ 'Q', '0', '_', 'Q', '1', '_', 'Q', '2', '_', 'Q', '3', 0,
    9218             :   /* 572 */ 'R', '2', '_', 'R', '3', 0,
    9219             :   /* 578 */ 'd', '3', 0,
    9220             :   /* 581 */ 'q', '3', 0,
    9221             :   /* 584 */ 'r', '3', 0,
    9222             :   /* 587 */ 's', '3', 0,
    9223             :   /* 590 */ 'D', '8', '_', 'D', '1', '0', '_', 'D', '1', '2', '_', 'D', '1', '4', 0,
    9224             :   /* 605 */ 'D', '1', '1', '_', 'D', '1', '2', '_', 'D', '1', '3', '_', 'D', '1', '4', 0,
    9225             :   /* 621 */ 'Q', '1', '1', '_', 'Q', '1', '2', '_', 'Q', '1', '3', '_', 'Q', '1', '4', 0,
    9226             :   /* 637 */ 'd', '1', '4', 0,
    9227             :   /* 641 */ 'q', '1', '4', 0,
    9228             :   /* 645 */ 's', '1', '4', 0,
    9229             :   /* 649 */ 'D', '1', '8', '_', 'D', '2', '0', '_', 'D', '2', '2', '_', 'D', '2', '4', 0,
    9230             :   /* 665 */ 'D', '2', '1', '_', 'D', '2', '2', '_', 'D', '2', '3', '_', 'D', '2', '4', 0,
    9231             :   /* 681 */ 'd', '2', '4', 0,
    9232             :   /* 685 */ 's', '2', '4', 0,
    9233             :   /* 689 */ 'D', '0', '_', 'D', '2', '_', 'D', '4', 0,
    9234             :   /* 698 */ 'D', '1', '_', 'D', '2', '_', 'D', '3', '_', 'D', '4', 0,
    9235             :   /* 710 */ 'Q', '1', '_', 'Q', '2', '_', 'Q', '3', '_', 'Q', '4', 0,
    9236             :   /* 722 */ 'd', '4', 0,
    9237             :   /* 725 */ 'q', '4', 0,
    9238             :   /* 728 */ 'r', '4', 0,
    9239             :   /* 731 */ 's', '4', 0,
    9240             :   /* 734 */ 'D', '9', '_', 'D', '1', '1', '_', 'D', '1', '3', '_', 'D', '1', '5', 0,
    9241             :   /* 749 */ 'D', '1', '3', '_', 'D', '1', '4', '_', 'D', '1', '5', 0,
    9242             :   /* 761 */ 'Q', '1', '2', '_', 'Q', '1', '3', '_', 'Q', '1', '4', '_', 'Q', '1', '5', 0,
    9243             :   /* 777 */ 'd', '1', '5', 0,
    9244             :   /* 781 */ 'q', '1', '5', 0,
    9245             :   /* 785 */ 's', '1', '5', 0,
    9246             :   /* 789 */ 'D', '1', '9', '_', 'D', '2', '1', '_', 'D', '2', '3', '_', 'D', '2', '5', 0,
    9247             :   /* 805 */ 'D', '2', '3', '_', 'D', '2', '4', '_', 'D', '2', '5', 0,
    9248             :   /* 817 */ 'd', '2', '5', 0,
    9249             :   /* 821 */ 's', '2', '5', 0,
    9250             :   /* 825 */ 'D', '1', '_', 'D', '3', '_', 'D', '5', 0,
    9251             :   /* 834 */ 'D', '3', '_', 'D', '4', '_', 'D', '5', 0,
    9252             :   /* 843 */ 'Q', '2', '_', 'Q', '3', '_', 'Q', '4', '_', 'Q', '5', 0,
    9253             :   /* 855 */ 'R', '4', '_', 'R', '5', 0,
    9254             :   /* 861 */ 'd', '5', 0,
    9255             :   /* 864 */ 'q', '5', 0,
    9256             :   /* 867 */ 'r', '5', 0,
    9257             :   /* 870 */ 's', '5', 0,
    9258             :   /* 873 */ 'D', '1', '0', '_', 'D', '1', '2', '_', 'D', '1', '4', '_', 'D', '1', '6', 0,
    9259             :   /* 889 */ 'D', '1', '3', '_', 'D', '1', '4', '_', 'D', '1', '5', '_', 'D', '1', '6', 0,
    9260             :   /* 905 */ 'd', '1', '6', 0,
    9261             :   /* 909 */ 's', '1', '6', 0,
    9262             :   /* 913 */ 'D', '2', '0', '_', 'D', '2', '2', '_', 'D', '2', '4', '_', 'D', '2', '6', 0,
    9263             :   /* 929 */ 'D', '2', '3', '_', 'D', '2', '4', '_', 'D', '2', '5', '_', 'D', '2', '6', 0,
    9264             :   /* 945 */ 'd', '2', '6', 0,
    9265             :   /* 949 */ 's', '2', '6', 0,
    9266             :   /* 953 */ 'D', '0', '_', 'D', '2', '_', 'D', '4', '_', 'D', '6', 0,
    9267             :   /* 965 */ 'D', '3', '_', 'D', '4', '_', 'D', '5', '_', 'D', '6', 0,
    9268             :   /* 977 */ 'Q', '3', '_', 'Q', '4', '_', 'Q', '5', '_', 'Q', '6', 0,
    9269             :   /* 989 */ 'd', '6', 0,
    9270             :   /* 992 */ 'q', '6', 0,
    9271             :   /* 995 */ 'r', '6', 0,
    9272             :   /* 998 */ 's', '6', 0,
    9273             :   /* 1001 */ 'D', '1', '1', '_', 'D', '1', '3', '_', 'D', '1', '5', '_', 'D', '1', '7', 0,
    9274             :   /* 1017 */ 'D', '1', '5', '_', 'D', '1', '6', '_', 'D', '1', '7', 0,
    9275             :   /* 1029 */ 'd', '1', '7', 0,
    9276             :   /* 1033 */ 's', '1', '7', 0,
    9277             :   /* 1037 */ 'D', '2', '1', '_', 'D', '2', '3', '_', 'D', '2', '5', '_', 'D', '2', '7', 0,
    9278             :   /* 1053 */ 'D', '2', '5', '_', 'D', '2', '6', '_', 'D', '2', '7', 0,
    9279             :   /* 1065 */ 'd', '2', '7', 0,
    9280             :   /* 1069 */ 's', '2', '7', 0,
    9281             :   /* 1073 */ 'D', '1', '_', 'D', '3', '_', 'D', '5', '_', 'D', '7', 0,
    9282             :   /* 1085 */ 'D', '5', '_', 'D', '6', '_', 'D', '7', 0,
    9283             :   /* 1094 */ 'Q', '4', '_', 'Q', '5', '_', 'Q', '6', '_', 'Q', '7', 0,
    9284             :   /* 1106 */ 'R', '6', '_', 'R', '7', 0,
    9285             :   /* 1112 */ 'd', '7', 0,
    9286             :   /* 1115 */ 'q', '7', 0,
    9287             :   /* 1118 */ 'r', '7', 0,
    9288             :   /* 1121 */ 's', '7', 0,
    9289             :   /* 1124 */ 'D', '1', '2', '_', 'D', '1', '4', '_', 'D', '1', '6', '_', 'D', '1', '8', 0,
    9290             :   /* 1140 */ 'D', '1', '5', '_', 'D', '1', '6', '_', 'D', '1', '7', '_', 'D', '1', '8', 0,
    9291             :   /* 1156 */ 'd', '1', '8', 0,
    9292             :   /* 1160 */ 's', '1', '8', 0,
    9293             :   /* 1164 */ 'D', '2', '2', '_', 'D', '2', '4', '_', 'D', '2', '6', '_', 'D', '2', '8', 0,
    9294             :   /* 1180 */ 'D', '2', '5', '_', 'D', '2', '6', '_', 'D', '2', '7', '_', 'D', '2', '8', 0,
    9295             :   /* 1196 */ 'd', '2', '8', 0,
    9296             :   /* 1200 */ 's', '2', '8', 0,
    9297             :   /* 1204 */ 'D', '2', '_', 'D', '4', '_', 'D', '6', '_', 'D', '8', 0,
    9298             :   /* 1216 */ 'D', '5', '_', 'D', '6', '_', 'D', '7', '_', 'D', '8', 0,
    9299             :   /* 1228 */ 'Q', '5', '_', 'Q', '6', '_', 'Q', '7', '_', 'Q', '8', 0,
    9300             :   /* 1240 */ 'd', '8', 0,
    9301             :   /* 1243 */ 'q', '8', 0,
    9302             :   /* 1246 */ 'r', '8', 0,
    9303             :   /* 1249 */ 's', '8', 0,
    9304             :   /* 1252 */ 'D', '1', '3', '_', 'D', '1', '5', '_', 'D', '1', '7', '_', 'D', '1', '9', 0,
    9305             :   /* 1268 */ 'D', '1', '7', '_', 'D', '1', '8', '_', 'D', '1', '9', 0,
    9306             :   /* 1280 */ 'd', '1', '9', 0,
    9307             :   /* 1284 */ 's', '1', '9', 0,
    9308             :   /* 1288 */ 'D', '2', '3', '_', 'D', '2', '5', '_', 'D', '2', '7', '_', 'D', '2', '9', 0,
    9309             :   /* 1304 */ 'D', '2', '7', '_', 'D', '2', '8', '_', 'D', '2', '9', 0,
    9310             :   /* 1316 */ 'd', '2', '9', 0,
    9311             :   /* 1320 */ 's', '2', '9', 0,
    9312             :   /* 1324 */ 'D', '3', '_', 'D', '5', '_', 'D', '7', '_', 'D', '9', 0,
    9313             :   /* 1336 */ 'D', '7', '_', 'D', '8', '_', 'D', '9', 0,
    9314             :   /* 1345 */ 'Q', '6', '_', 'Q', '7', '_', 'Q', '8', '_', 'Q', '9', 0,
    9315             :   /* 1357 */ 'R', '8', '_', 'R', '9', 0,
    9316             :   /* 1363 */ 'd', '9', 0,
    9317             :   /* 1366 */ 'q', '9', 0,
    9318             :   /* 1369 */ 'r', '9', 0,
    9319             :   /* 1372 */ 's', '9', 0,
    9320             :   /* 1375 */ 'R', '1', '2', '_', 'S', 'P', 0,
    9321             :   /* 1382 */ 'p', 'c', 0,
    9322             :   /* 1385 */ 'f', 'p', 'e', 'x', 'c', 0,
    9323             :   /* 1391 */ 'f', 'p', 's', 'i', 'd', 0,
    9324             :   /* 1397 */ 'i', 't', 's', 't', 'a', 't', 'e', 0,
    9325             :   /* 1405 */ 's', 'p', 0,
    9326             :   /* 1408 */ 'f', 'p', 's', 'c', 'r', 0,
    9327             :   /* 1414 */ 'l', 'r', 0,
    9328             :   /* 1417 */ 'a', 'p', 's', 'r', 0,
    9329             :   /* 1422 */ 'c', 'p', 's', 'r', 0,
    9330             :   /* 1427 */ 's', 'p', 's', 'r', 0,
    9331             :   /* 1432 */ 'f', 'p', 'i', 'n', 's', 't', 0,
    9332             :   /* 1439 */ 'f', 'p', 's', 'c', 'r', '_', 'n', 'z', 'c', 'v', 0,
    9333             :   /* 1450 */ 'a', 'p', 's', 'r', '_', 'n', 'z', 'c', 'v', 0,
    9334             :   };
    9335             : 
    9336             :   static const uint16_t RegAsmOffset[] = {
    9337             :     1417, 1450, 1422, 1385, 1432, 1408, 1439, 1391, 1397, 1414, 1382, 1405, 1427, 135, 
    9338             :     296, 432, 578, 722, 861, 989, 1112, 1240, 1363, 39, 196, 355, 497, 637, 
    9339             :     777, 905, 1029, 1156, 1280, 87, 240, 403, 537, 681, 817, 945, 1065, 1196, 
    9340             :     1316, 127, 276, 447, 141, 302, 438, 138, 299, 435, 581, 725, 864, 992, 
    9341             :     1115, 1243, 1366, 43, 200, 359, 501, 641, 781, 144, 305, 441, 584, 728, 
    9342             :     867, 995, 1118, 1246, 1369, 47, 204, 363, 147, 308, 444, 587, 731, 870, 
    9343             :     998, 1121, 1249, 1372, 51, 208, 367, 505, 645, 785, 909, 1033, 1160, 1284, 
    9344             :     91, 244, 407, 541, 685, 821, 949, 1069, 1200, 1320, 131, 280, 411, 545, 
    9345             :     692, 828, 959, 1079, 1210, 1330, 6, 167, 317, 461, 597, 741, 881, 1009, 
    9346             :     1132, 1260, 63, 232, 379, 517, 657, 797, 921, 1045, 1172, 1296, 103, 268, 
    9347             :     284, 426, 566, 716, 849, 983, 1100, 1234, 1351, 32, 180, 347, 489, 629, 
    9348             :     769, 560, 710, 843, 977, 1094, 1228, 1345, 26, 174, 340, 481, 621, 761, 
    9349             :     1375, 290, 572, 855, 1106, 1357, 188, 417, 551, 701, 834, 968, 1085, 1219, 
    9350             :     1336, 16, 150, 328, 469, 609, 749, 893, 1017, 1144, 1268, 75, 212, 391, 
    9351             :     525, 669, 805, 933, 1053, 1184, 1304, 115, 248, 689, 825, 956, 1076, 1207, 
    9352             :     1327, 3, 164, 314, 458, 593, 737, 877, 1005, 1128, 1256, 59, 228, 375, 
    9353             :     513, 653, 793, 917, 1041, 1168, 1292, 99, 264, 953, 1073, 1204, 1324, 0, 
    9354             :     161, 311, 455, 590, 734, 873, 1001, 1124, 1252, 55, 224, 371, 509, 649, 
    9355             :     789, 913, 1037, 1164, 1288, 95, 260, 420, 704, 971, 1222, 19, 332, 613, 
    9356             :     897, 1148, 79, 395, 673, 937, 1188, 119, 698, 965, 1216, 13, 325, 605, 
    9357             :     889, 1140, 71, 387, 665, 929, 1180, 111, 
    9358             :   };
    9359             : 
    9360             :   assert (*(AsmStrs+RegAsmOffset[RegNo-1]) &&
    9361             :           "Invalid alt name index for register!");
    9362      288361 :   return AsmStrs+RegAsmOffset[RegNo-1];
    9363             : }
    9364             : 
    9365             : #ifdef PRINT_ALIAS_INSTR
    9366             : #undef PRINT_ALIAS_INSTR
    9367             : 
    9368      148986 : bool ARMInstPrinter::printAliasInstr(const MCInst *MI, const MCSubtargetInfo &STI, raw_ostream &OS) {
    9369             :   const char *AsmString;
    9370      148986 :   switch (MI->getOpcode()) {
    9371             :   default: return false;
    9372             :   case ARM::DSB:
    9373         100 :     if (MI->getNumOperands() == 1 &&
    9374         100 :         MI->getOperand(0).isImm() &&
    9375         100 :         MI->getOperand(0).getImm() == 0 &&
    9376         104 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9377             :         STI.getFeatureBits()[ARM::FeatureDB]) {
    9378             :       // (DSB 0)
    9379             :       AsmString = "ssbb";
    9380             :       break;
    9381             :     }
    9382          96 :     if (MI->getNumOperands() == 1 &&
    9383          96 :         MI->getOperand(0).isImm() &&
    9384          96 :         MI->getOperand(0).getImm() == 4 &&
    9385         100 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9386             :         STI.getFeatureBits()[ARM::FeatureDB]) {
    9387             :       // (DSB 4)
    9388             :       AsmString = "pssbb";
    9389             :       break;
    9390             :     }
    9391          92 :     if (MI->getNumOperands() == 1 &&
    9392          92 :         MI->getOperand(0).isImm() &&
    9393          92 :         MI->getOperand(0).getImm() == 12 &&
    9394          98 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9395             :         STI.getFeatureBits()[ARM::FeatureDFB]) {
    9396             :       // (DSB 12)
    9397             :       AsmString = "dfb";
    9398             :       break;
    9399             :     }
    9400             :     return false;
    9401             :   case ARM::HINT:
    9402         193 :     if (MI->getNumOperands() == 3 &&
    9403         193 :         MI->getOperand(0).isImm() &&
    9404         193 :         MI->getOperand(0).getImm() == 0 &&
    9405         329 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9406             :         STI.getFeatureBits()[ARM::HasV6KOps]) {
    9407             :       // (HINT 0, pred:$p)
    9408             :       AsmString = "nop$\xFF\x02\x01";
    9409             :       break;
    9410             :     }
    9411          57 :     if (MI->getNumOperands() == 3 &&
    9412          57 :         MI->getOperand(0).isImm() &&
    9413          57 :         MI->getOperand(0).getImm() == 1 &&
    9414          68 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9415             :         STI.getFeatureBits()[ARM::HasV6KOps]) {
    9416             :       // (HINT 1, pred:$p)
    9417             :       AsmString = "yield$\xFF\x02\x01";
    9418             :       break;
    9419             :     }
    9420          46 :     if (MI->getNumOperands() == 3 &&
    9421          46 :         MI->getOperand(0).isImm() &&
    9422          46 :         MI->getOperand(0).getImm() == 2 &&
    9423          57 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9424             :         STI.getFeatureBits()[ARM::HasV6KOps]) {
    9425             :       // (HINT 2, pred:$p)
    9426             :       AsmString = "wfe$\xFF\x02\x01";
    9427             :       break;
    9428             :     }
    9429          35 :     if (MI->getNumOperands() == 3 &&
    9430          35 :         MI->getOperand(0).isImm() &&
    9431          35 :         MI->getOperand(0).getImm() == 3 &&
    9432          46 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9433             :         STI.getFeatureBits()[ARM::HasV6KOps]) {
    9434             :       // (HINT 3, pred:$p)
    9435             :       AsmString = "wfi$\xFF\x02\x01";
    9436             :       break;
    9437             :     }
    9438          24 :     if (MI->getNumOperands() == 3 &&
    9439          24 :         MI->getOperand(0).isImm() &&
    9440          24 :         MI->getOperand(0).getImm() == 4 &&
    9441          35 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9442             :         STI.getFeatureBits()[ARM::HasV6KOps]) {
    9443             :       // (HINT 4, pred:$p)
    9444             :       AsmString = "sev$\xFF\x02\x01";
    9445             :       break;
    9446             :     }
    9447          13 :     if (MI->getNumOperands() == 3 &&
    9448          13 :         MI->getOperand(0).isImm() &&
    9449          13 :         MI->getOperand(0).getImm() == 5 &&
    9450          18 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9451             :         STI.getFeatureBits()[ARM::HasV8Ops]) {
    9452             :       // (HINT 5, pred:$p)
    9453             :       AsmString = "sevl$\xFF\x02\x01";
    9454             :       break;
    9455             :     }
    9456          10 :     if (MI->getNumOperands() == 3 &&
    9457          10 :         MI->getOperand(0).isImm() &&
    9458          10 :         MI->getOperand(0).getImm() == 16 &&
    9459          13 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9460             :         STI.getFeatureBits()[ARM::FeatureRAS]) {
    9461             :       // (HINT 16, pred:$p)
    9462             :       AsmString = "esb$\xFF\x02\x01";
    9463             :       break;
    9464             :     }
    9465           8 :     if (MI->getNumOperands() == 3 &&
    9466           8 :         MI->getOperand(0).isImm() &&
    9467           8 :         MI->getOperand(0).getImm() == 20 &&
    9468          10 :         !STI.getFeatureBits()[ARM::ModeThumb] &&
    9469             :         STI.getFeatureBits()[ARM::HasV6KOps]) {
    9470             :       // (HINT 20, pred:$p)
    9471             :       AsmString = "csdb$\xFF\x02\x01";
    9472             :       break;
    9473             :     }
    9474             :     return false;
    9475             :   case ARM::t2DSB:
    9476          84 :     if (MI->getNumOperands() == 3 &&
    9477          84 :         MI->getOperand(0).isImm() &&
    9478          84 :         MI->getOperand(0).getImm() == 0 &&
    9479           0 :         MI->getOperand(1).isImm() &&
    9480           0 :         MI->getOperand(1).getImm() == 14 &&
    9481           0 :         MI->getOperand(2).isImm() &&
    9482           0 :         MI->getOperand(2).getImm() == 0 &&
    9483           0 :         STI.getFeatureBits()[ARM::FeatureDB] &&
    9484          84 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9485             :         STI.getFeatureBits()[ARM::FeatureThumb2]) {
    9486             :       // (t2DSB 0, 14, 0)
    9487             :       AsmString = "ssbb";
    9488             :       break;
    9489             :     }
    9490          84 :     if (MI->getNumOperands() == 3 &&
    9491          84 :         MI->getOperand(0).isImm() &&
    9492          84 :         MI->getOperand(0).getImm() == 4 &&
    9493           0 :         MI->getOperand(1).isImm() &&
    9494           0 :         MI->getOperand(1).getImm() == 14 &&
    9495           0 :         MI->getOperand(2).isImm() &&
    9496           0 :         MI->getOperand(2).getImm() == 0 &&
    9497           0 :         STI.getFeatureBits()[ARM::FeatureDB] &&
    9498          84 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9499             :         STI.getFeatureBits()[ARM::FeatureThumb2]) {
    9500             :       // (t2DSB 4, 14, 0)
    9501             :       AsmString = "pssbb";
    9502             :       break;
    9503             :     }
    9504          84 :     if (MI->getNumOperands() == 3 &&
    9505          84 :         MI->getOperand(0).isImm() &&
    9506         168 :         MI->getOperand(0).getImm() == 12 &&
    9507             :         STI.getFeatureBits()[ARM::FeatureDFB]) {
    9508             :       // (t2DSB 12, pred:$p)
    9509             :       AsmString = "dfb$\xFF\x02\x01";
    9510             :       break;
    9511             :     }
    9512             :     return false;
    9513             :   case ARM::t2HINT:
    9514          35 :     if (MI->getNumOperands() == 3 &&
    9515          35 :         MI->getOperand(0).isImm() &&
    9516          35 :         MI->getOperand(0).getImm() == 0 &&
    9517          41 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9518             :         STI.getFeatureBits()[ARM::FeatureThumb2]) {
    9519             :       // (t2HINT 0, pred:$p)
    9520             :       AsmString = "nop$\xFF\x02\x01.w";
    9521             :       break;
    9522             :     }
    9523          29 :     if (MI->getNumOperands() == 3 &&
    9524          29 :         MI->getOperand(0).isImm() &&
    9525          29 :         MI->getOperand(0).getImm() == 1 &&
    9526          31 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9527             :         STI.getFeatureBits()[ARM::FeatureThumb2]) {
    9528             :       // (t2HINT 1, pred:$p)
    9529             :       AsmString = "yield$\xFF\x02\x01.w";
    9530             :       break;
    9531             :     }
    9532          27 :     if (MI->getNumOperands() == 3 &&
    9533          27 :         MI->getOperand(0).isImm() &&
    9534          27 :         MI->getOperand(0).getImm() == 2 &&
    9535          29 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9536             :         STI.getFeatureBits()[ARM::FeatureThumb2]) {
    9537             :       // (t2HINT 2, pred:$p)
    9538             :       AsmString = "wfe$\xFF\x02\x01.w";
    9539             :       break;
    9540             :     }
    9541          25 :     if (MI->getNumOperands() == 3 &&
    9542          25 :         MI->getOperand(0).isImm() &&
    9543          25 :         MI->getOperand(0).getImm() == 3 &&
    9544          27 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9545             :         STI.getFeatureBits()[ARM::FeatureThumb2]) {
    9546             :       // (t2HINT 3, pred:$p)
    9547             :       AsmString = "wfi$\xFF\x02\x01.w";
    9548             :       break;
    9549             :     }
    9550          23 :     if (MI->getNumOperands() == 3 &&
    9551          23 :         MI->getOperand(0).isImm() &&
    9552          23 :         MI->getOperand(0).getImm() == 4 &&
    9553          31 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9554             :         STI.getFeatureBits()[ARM::FeatureThumb2]) {
    9555             :       // (t2HINT 4, pred:$p)
    9556             :       AsmString = "sev$\xFF\x02\x01.w";
    9557             :       break;
    9558             :     }
    9559          15 :     if (MI->getNumOperands() == 3 &&
    9560          15 :         MI->getOperand(0).isImm() &&
    9561          15 :         MI->getOperand(0).getImm() == 5 &&
    9562           2 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9563          17 :         STI.getFeatureBits()[ARM::FeatureThumb2] &&
    9564             :         STI.getFeatureBits()[ARM::HasV8Ops]) {
    9565             :       // (t2HINT 5, pred:$p)
    9566             :       AsmString = "sevl$\xFF\x02\x01.w";
    9567             :       break;
    9568             :     }
    9569          13 :     if (MI->getNumOperands() == 3 &&
    9570          13 :         MI->getOperand(0).isImm() &&
    9571          13 :         MI->getOperand(0).getImm() == 16 &&
    9572           5 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9573          18 :         STI.getFeatureBits()[ARM::FeatureThumb2] &&
    9574             :         STI.getFeatureBits()[ARM::FeatureRAS]) {
    9575             :       // (t2HINT 16, pred:$p)
    9576             :       AsmString = "esb$\xFF\x02\x01.w";
    9577             :       break;
    9578             :     }
    9579          11 :     if (MI->getNumOperands() == 3 &&
    9580          11 :         MI->getOperand(0).isImm() &&
    9581          11 :         MI->getOperand(0).getImm() == 20 &&
    9582          13 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9583             :         STI.getFeatureBits()[ARM::FeatureThumb2]) {
    9584             :       // (t2HINT 20, pred:$p)
    9585             :       AsmString = "csdb$\xFF\x02\x01";
    9586             :       break;
    9587             :     }
    9588             :     return false;
    9589             :   case ARM::t2SUBS_PC_LR:
    9590          56 :     if (MI->getNumOperands() == 3 &&
    9591          56 :         MI->getOperand(0).isImm() &&
    9592          56 :         MI->getOperand(0).getImm() == 0 &&
    9593          49 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9594         105 :         STI.getFeatureBits()[ARM::FeatureThumb2] &&
    9595             :         STI.getFeatureBits()[ARM::FeatureVirtualization]) {
    9596             :       // (t2SUBS_PC_LR 0, pred:$p)
    9597             :       AsmString = "eret$\xFF\x02\x01";
    9598             :       break;
    9599             :     }
    9600             :     return false;
    9601             :   case ARM::tHINT:
    9602         175 :     if (MI->getNumOperands() == 3 &&
    9603         175 :         MI->getOperand(0).isImm() &&
    9604         175 :         MI->getOperand(0).getImm() == 0 &&
    9605         278 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9606             :         STI.getFeatureBits()[ARM::HasV6MOps]) {
    9607             :       // (tHINT 0, pred:$p)
    9608             :       AsmString = "nop$\xFF\x02\x01";
    9609             :       break;
    9610             :     }
    9611          72 :     if (MI->getNumOperands() == 3 &&
    9612          72 :         MI->getOperand(0).isImm() &&
    9613          72 :         MI->getOperand(0).getImm() == 1 &&
    9614          88 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9615             :         STI.getFeatureBits()[ARM::HasV6MOps]) {
    9616             :       // (tHINT 1, pred:$p)
    9617             :       AsmString = "yield$\xFF\x02\x01";
    9618             :       break;
    9619             :     }
    9620          56 :     if (MI->getNumOperands() == 3 &&
    9621          56 :         MI->getOperand(0).isImm() &&
    9622          56 :         MI->getOperand(0).getImm() == 2 &&
    9623          72 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9624             :         STI.getFeatureBits()[ARM::HasV6MOps]) {
    9625             :       // (tHINT 2, pred:$p)
    9626             :       AsmString = "wfe$\xFF\x02\x01";
    9627             :       break;
    9628             :     }
    9629          40 :     if (MI->getNumOperands() == 3 &&
    9630          40 :         MI->getOperand(0).isImm() &&
    9631          40 :         MI->getOperand(0).getImm() == 3 &&
    9632          56 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9633             :         STI.getFeatureBits()[ARM::HasV6MOps]) {
    9634             :       // (tHINT 3, pred:$p)
    9635             :       AsmString = "wfi$\xFF\x02\x01";
    9636             :       break;
    9637             :     }
    9638          24 :     if (MI->getNumOperands() == 3 &&
    9639          24 :         MI->getOperand(0).isImm() &&
    9640          24 :         MI->getOperand(0).getImm() == 4 &&
    9641          34 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9642             :         STI.getFeatureBits()[ARM::HasV6MOps]) {
    9643             :       // (tHINT 4, pred:$p)
    9644             :       AsmString = "sev$\xFF\x02\x01";
    9645             :       break;
    9646             :     }
    9647          14 :     if (MI->getNumOperands() == 3 &&
    9648          14 :         MI->getOperand(0).isImm() &&
    9649          14 :         MI->getOperand(0).getImm() == 5 &&
    9650           7 :         STI.getFeatureBits()[ARM::ModeThumb] &&
    9651          20 :         STI.getFeatureBits()[ARM::FeatureThumb2] &&
    9652             :         STI.getFeatureBits()[ARM::HasV8Ops]) {
    9653             :       // (tHINT 5, pred:$p)
    9654             :       AsmString = "sevl$\xFF\x02\x01";
    9655             :       break;
    9656             :     }
    9657             :     return false;
    9658             :   }
    9659             : 
    9660             :   unsigned I = 0;
    9661        1813 :   while (AsmString[I] != ' ' && AsmString[I] != '\t' &&
    9662        3212 :          AsmString[I] != '$' && AsmString[I] != '\0')
    9663        1389 :     ++I;
    9664         424 :   OS << '\t' << StringRef(AsmString, I);
    9665         424 :   if (AsmString[I] != '\0') {
    9666         414 :     if (AsmString[I] == ' ' || AsmString[I] == '\t') {
    9667             :       OS << '\t';
    9668           0 :       ++I;
    9669             :     }
    9670             :     do {
    9671         462 :       if (AsmString[I] == '$') {
    9672         414 :         ++I;
    9673         414 :         if (AsmString[I] == (char)0xff) {
    9674         414 :           ++I;
    9675         414 :           int OpIdx = AsmString[I++] - 1;
    9676         414 :           int PrintMethodIdx = AsmString[I++] - 1;
    9677         414 :           printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, STI, OS);
    9678             :         } else
    9679           0 :           printOperand(MI, unsigned(AsmString[I++]) - 1, STI, OS);
    9680             :       } else {
    9681          48 :         OS << AsmString[I++];
    9682             :       }
    9683         462 :     } while (AsmString[I] != '\0');
    9684             :   }
    9685             : 
    9686             :   return true;
    9687             : }
    9688             : 
    9689         414 : void ARMInstPrinter::printCustomAliasOperand(
    9690             :          const MCInst *MI, unsigned OpIdx,
    9691             :          unsigned PrintMethodIdx,
    9692             :          const MCSubtargetInfo &STI,
    9693             :          raw_ostream &OS) {
    9694         414 :   switch (PrintMethodIdx) {
    9695           0 :   default:
    9696           0 :     llvm_unreachable("Unknown PrintMethod kind");
    9697             :     break;
    9698         414 :   case 0:
    9699         414 :     printPredicateOperand(MI, OpIdx, STI, OS);
    9700             :     break;
    9701             :   }
    9702         414 : }
    9703             : 
    9704             : #endif // PRINT_ALIAS_INSTR

Generated by: LCOV version 1.13