Bug Summary

File:build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc
Warning:line 2489, column 10
Excessive padding in 'struct (anonymous namespace)::MatchEntry' (4 padding bytes, where 0 is optimal). Optimal fields order: RequiredFeatures, Mnemonic, Opcode, ConvertFn, Classes, consider reordering the fields or adding explicit padding members

Annotated Source Code

Press '?' to see keyboard shortcuts

clang -cc1 -triple x86_64-pc-linux-gnu -analyze -disable-free -disable-llvm-verifier -discard-value-names -main-file-name SystemZAsmParser.cpp -analyzer-store=region -analyzer-opt-analyze-nested-blocks -analyzer-eagerly-assume -analyzer-checker=core -analyzer-checker=apiModeling -analyzer-checker=unix -analyzer-checker=deadcode -analyzer-checker=cplusplus -analyzer-checker=security.insecureAPI.UncheckedReturn -analyzer-checker=security.insecureAPI.getpw -analyzer-checker=security.insecureAPI.gets -analyzer-checker=security.insecureAPI.mktemp -analyzer-checker=security.insecureAPI.mkstemp -analyzer-checker=security.insecureAPI.vfork -analyzer-checker=nullability.NullPassedToNonnull -analyzer-checker=nullability.NullReturnedFromNonnull -analyzer-output plist -w -mrelocation-model pic -pic-level 2 -mthread-model posix -fmath-errno -masm-verbose -mconstructor-aliases -munwind-tables -fuse-init-array -target-cpu x86-64 -dwarf-column-info -debugger-tuning=gdb -momit-leaf-frame-pointer -ffunction-sections -fdata-sections -resource-dir /usr/lib/llvm-7/lib/clang/7.0.0 -D _DEBUG -D _GNU_SOURCE -D __STDC_CONSTANT_MACROS -D __STDC_FORMAT_MACROS -D __STDC_LIMIT_MACROS -I /build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/AsmParser -I /build/llvm-toolchain-snapshot-7~svn329677/lib/Target/SystemZ/AsmParser -I /build/llvm-toolchain-snapshot-7~svn329677/lib/Target/SystemZ -I /build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ -I /build/llvm-toolchain-snapshot-7~svn329677/build-llvm/include -I /build/llvm-toolchain-snapshot-7~svn329677/include -U NDEBUG -internal-isystem /usr/lib/gcc/x86_64-linux-gnu/7.3.0/../../../../include/c++/7.3.0 -internal-isystem /usr/lib/gcc/x86_64-linux-gnu/7.3.0/../../../../include/x86_64-linux-gnu/c++/7.3.0 -internal-isystem /usr/lib/gcc/x86_64-linux-gnu/7.3.0/../../../../include/x86_64-linux-gnu/c++/7.3.0 -internal-isystem /usr/lib/gcc/x86_64-linux-gnu/7.3.0/../../../../include/c++/7.3.0/backward -internal-isystem /usr/include/clang/7.0.0/include/ -internal-isystem /usr/local/include -internal-isystem /usr/lib/llvm-7/lib/clang/7.0.0/include -internal-externc-isystem /usr/include/x86_64-linux-gnu -internal-externc-isystem /include -internal-externc-isystem /usr/include -O2 -Wno-unused-parameter -Wwrite-strings -Wno-missing-field-initializers -Wno-long-long -Wno-maybe-uninitialized -Wno-comment -std=c++11 -fdeprecated-macro -fdebug-compilation-dir /build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/AsmParser -ferror-limit 19 -fmessage-length 0 -fvisibility-inlines-hidden -fobjc-runtime=gcc -fdiagnostics-show-option -vectorize-loops -vectorize-slp -analyzer-checker optin.performance.Padding -analyzer-output=html -analyzer-config stable-report-filename=true -o /tmp/scan-build-2018-04-11-031539-24776-1 -x c++ /build/llvm-toolchain-snapshot-7~svn329677/lib/Target/SystemZ/AsmParser/SystemZAsmParser.cpp
1/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
2|* *|
3|* Assembly Matcher Source Fragment *|
4|* *|
5|* Automatically generated file, do not edit! *|
6|* *|
7\*===----------------------------------------------------------------------===*/
8
9
10#ifdef GET_ASSEMBLER_HEADER
11#undef GET_ASSEMBLER_HEADER
12 // This should be included into the middle of the declaration of
13 // your subclasses implementation of MCTargetAsmParser.
14 uint64_t ComputeAvailableFeatures(const FeatureBitset& FB) const;
15 void convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
16 const OperandVector &Operands);
17 void convertToMapAndConstraints(unsigned Kind,
18 const OperandVector &Operands) override;
19 unsigned MatchInstructionImpl(const OperandVector &Operands,
20 MCInst &Inst,
21 uint64_t &ErrorInfo,
22 bool matchingInlineAsm,
23 unsigned VariantID = 0);
24 OperandMatchResultTy MatchOperandParserImpl(
25 OperandVector &Operands,
26 StringRef Mnemonic,
27 bool ParseForAllFeatures = false);
28 OperandMatchResultTy tryCustomParseOperand(
29 OperandVector &Operands,
30 unsigned MCK);
31
32#endif // GET_ASSEMBLER_HEADER_INFO
33
34
35#ifdef GET_OPERAND_DIAGNOSTIC_TYPES
36#undef GET_OPERAND_DIAGNOSTIC_TYPES
37
38#endif // GET_OPERAND_DIAGNOSTIC_TYPES
39
40
41#ifdef GET_REGISTER_MATCHER
42#undef GET_REGISTER_MATCHER
43
44// Flags for subtarget features that participate in instruction matching.
45enum SubtargetFeatureFlag : uint32_t {
46 Feature_FeatureDistinctOps = (1ULL << 2),
47 Feature_FeatureFastSerialization = (1ULL << 6),
48 Feature_FeatureFPExtension = (1ULL << 5),
49 Feature_FeatureHighWord = (1ULL << 8),
50 Feature_FeatureInterlockedAccess1 = (1ULL << 10),
51 Feature_FeatureLoadStoreOnCond = (1ULL << 13),
52 Feature_FeaturePopulationCount = (1ULL << 22),
53 Feature_FeatureMessageSecurityAssist3 = (1ULL << 15),
54 Feature_FeatureMessageSecurityAssist4 = (1ULL << 16),
55 Feature_FeatureResetReferenceBitsMultiple = (1ULL << 24),
56 Feature_FeatureExecutionHint = (1ULL << 4),
57 Feature_FeatureLoadAndTrap = (1ULL << 11),
58 Feature_FeatureMiscellaneousExtensions = (1ULL << 20),
59 Feature_FeatureProcessorAssist = (1ULL << 23),
60 Feature_FeatureTransactionalExecution = (1ULL << 25),
61 Feature_FeatureDFPZonedConversion = (1ULL << 1),
62 Feature_FeatureEnhancedDAT2 = (1ULL << 3),
63 Feature_FeatureLoadAndZeroRightmostByte = (1ULL << 12),
64 Feature_FeatureLoadStoreOnCond2 = (1ULL << 14),
65 Feature_FeatureMessageSecurityAssist5 = (1ULL << 17),
66 Feature_FeatureDFPPackedConversion = (1ULL << 0),
67 Feature_FeatureVector = (1ULL << 26),
68 Feature_FeatureMiscellaneousExtensions2 = (1ULL << 21),
69 Feature_FeatureGuardedStorage = (1ULL << 7),
70 Feature_FeatureMessageSecurityAssist7 = (1ULL << 18),
71 Feature_FeatureMessageSecurityAssist8 = (1ULL << 19),
72 Feature_FeatureVectorEnhancements1 = (1ULL << 27),
73 Feature_FeatureVectorPackedDecimal = (1ULL << 28),
74 Feature_FeatureInsertReferenceBitsMultiple = (1ULL << 9),
75 Feature_None = 0
76};
77
78#endif // GET_REGISTER_MATCHER
79
80
81#ifdef GET_SUBTARGET_FEATURE_NAME
82#undef GET_SUBTARGET_FEATURE_NAME
83
84// User-level names for subtarget features that participate in
85// instruction matching.
86static const char *getSubtargetFeatureName(uint64_t Val) {
87 switch(Val) {
88 case Feature_FeatureDistinctOps: return "distinct-ops";
89 case Feature_FeatureFastSerialization: return "fast-serialization";
90 case Feature_FeatureFPExtension: return "fp-extension";
91 case Feature_FeatureHighWord: return "high-word";
92 case Feature_FeatureInterlockedAccess1: return "interlocked-access1";
93 case Feature_FeatureLoadStoreOnCond: return "load-store-on-cond";
94 case Feature_FeaturePopulationCount: return "population-count";
95 case Feature_FeatureMessageSecurityAssist3: return "message-security-assist-extension3";
96 case Feature_FeatureMessageSecurityAssist4: return "message-security-assist-extension4";
97 case Feature_FeatureResetReferenceBitsMultiple: return "reset-reference-bits-multiple";
98 case Feature_FeatureExecutionHint: return "execution-hint";
99 case Feature_FeatureLoadAndTrap: return "load-and-trap";
100 case Feature_FeatureMiscellaneousExtensions: return "miscellaneous-extensions";
101 case Feature_FeatureProcessorAssist: return "processor-assist";
102 case Feature_FeatureTransactionalExecution: return "transactional-execution";
103 case Feature_FeatureDFPZonedConversion: return "dfp-zoned-conversion";
104 case Feature_FeatureEnhancedDAT2: return "enhanced-dat-2";
105 case Feature_FeatureLoadAndZeroRightmostByte: return "load-and-zero-rightmost-byte";
106 case Feature_FeatureLoadStoreOnCond2: return "load-store-on-cond-2";
107 case Feature_FeatureMessageSecurityAssist5: return "message-security-assist-extension5";
108 case Feature_FeatureDFPPackedConversion: return "dfp-packed-conversion";
109 case Feature_FeatureVector: return "vector";
110 case Feature_FeatureMiscellaneousExtensions2: return "miscellaneous-extensions-2";
111 case Feature_FeatureGuardedStorage: return "guarded-storage";
112 case Feature_FeatureMessageSecurityAssist7: return "message-security-assist-extension7";
113 case Feature_FeatureMessageSecurityAssist8: return "message-security-assist-extension8";
114 case Feature_FeatureVectorEnhancements1: return "vector-enhancements-1";
115 case Feature_FeatureVectorPackedDecimal: return "vector-packed-decimal";
116 case Feature_FeatureInsertReferenceBitsMultiple: return "insert-reference-bits-multiple";
117 default: return "(unknown)";
118 }
119}
120
121#endif // GET_SUBTARGET_FEATURE_NAME
122
123
124#ifdef GET_MATCHER_IMPLEMENTATION
125#undef GET_MATCHER_IMPLEMENTATION
126
127enum {
128 Tie0_1_1,
129 Tie1_1_1,
130 Tie2_1_1,
131};
132
133const char TiedAsmOperandTable[][3] = {
134 /* Tie0_1_1 */ { 0, 1, 1 },
135 /* Tie1_1_1 */ { 1, 1, 1 },
136 /* Tie2_1_1 */ { 2, 1, 1 },
137};
138
139namespace {
140enum OperatorConversionKind {
141 CVT_Done,
142 CVT_Reg,
143 CVT_Tied,
144 CVT_95_addRegOperands,
145 CVT_95_addBDXAddrOperands,
146 CVT_95_addImmOperands,
147 CVT_95_addBDAddrOperands,
148 CVT_95_addBDLAddrOperands,
149 CVT_95_addImmTLSOperands,
150 CVT_95_addBDRAddrOperands,
151 CVT_imm_95_0,
152 CVT_95_addBDVAddrOperands,
153 CVT_NUM_CONVERTERS
154};
155
156enum InstructionConversionKind {
157 Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1,
158 Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1,
159 Convert__FP641_0__Tie0_1_1__FP641_1,
160 Convert__FP641_0__FP641_1__FP641_2,
161 Convert__FP641_0__FP641_1__FP641_2__U4Imm1_3,
162 Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1,
163 Convert__FP321_0__Tie0_1_1__FP321_1,
164 Convert__GR321_0__Tie0_1_1__S32Imm1_1,
165 Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1,
166 Convert__GR641_0__Tie0_1_1__S32Imm1_1,
167 Convert__GR641_0__Tie0_1_1__GR321_1,
168 Convert__GR641_0__Tie0_1_1__S16Imm1_1,
169 Convert__GR641_0__GR641_1__S16Imm1_2,
170 Convert__GR641_0__Tie0_1_1__GR641_1,
171 Convert__GR641_0__GR641_1__GR641_2,
172 Convert__BDAddr64Disp202_0__S8Imm1_1,
173 Convert__GRH321_0__GRH321_1__GRH321_2,
174 Convert__GRH321_0__GRH321_1__GR321_2,
175 Convert__GR321_0__Tie0_1_1__S16Imm1_1,
176 Convert__GR321_0__GR321_1__S16Imm1_2,
177 Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1,
178 Convert__GRH321_0__Tie0_1_1__S32Imm1_1,
179 Convert__GR321_0__Tie0_1_1__GR321_1,
180 Convert__GR321_0__Tie0_1_1__U32Imm1_1,
181 Convert__GR641_0__Tie0_1_1__U32Imm1_1,
182 Convert__GR321_0__GR321_1__GR321_2,
183 Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1,
184 Convert__FP1281_0__Tie0_1_1__FP1281_1,
185 Convert__FP1281_0__FP1281_1__FP1281_2,
186 Convert__FP1281_0__FP1281_1__FP1281_2__U4Imm1_3,
187 Convert__BDXAddr64Disp123_0,
188 Convert__GR641_0__GR641_1,
189 Convert__GR641_0__BDXAddr64Disp123_1,
190 Convert__GR641_0__ADDR641_1,
191 Convert__U4Imm1_0__BDXAddr64Disp123_1,
192 Convert__U4Imm1_0__GR641_1,
193 Convert__GR321_0__Tie0_1_1__GR641_1,
194 Convert__ADDR641_0,
195 Convert__BDXAddr64Disp203_0,
196 Convert__U4Imm1_0__BDXAddr64Disp203_1,
197 Convert__U4Imm1_0__PCRel161_1__BDXAddr64Disp123_2,
198 Convert__U4Imm1_0__PCRel121_1__PCRel241_2,
199 Convert__GR641_0__PCRelTLS162_1,
200 Convert__GR641_0__PCRelTLS322_1,
201 Convert__U4Imm1_0__PCRel161_1,
202 Convert__U4Imm1_0__PCRel321_1,
203 Convert__GR321_0__Tie0_1_1__PCRel161_1,
204 Convert__GR641_0__Tie0_1_1__PCRel161_1,
205 Convert__GRH321_0__Tie0_1_1__PCRel321_1,
206 Convert__GR321_0__Tie0_1_1__GR321_1__PCRel161_2,
207 Convert__GR641_0__Tie0_1_1__GR641_1__PCRel161_2,
208 Convert__GR321_0__Tie0_1_1__GR321_1__BDAddr64Disp122_2,
209 Convert__GR641_0__Tie0_1_1__GR641_1__BDAddr64Disp202_2,
210 Convert__GR321_0__BDXAddr64Disp123_1,
211 Convert__FP641_0__BDXAddr64Disp123_1,
212 Convert__FP641_0__FP641_1,
213 Convert__FP641_0__GR321_1,
214 Convert__FP641_0__U4Imm1_1__GR321_2__U4Imm1_3,
215 Convert__FP641_0__GR641_1,
216 Convert__FP641_0__U4Imm1_1__GR641_2__U4Imm1_3,
217 Convert__FP641_0__BDLAddr64Disp12Len83_1__U4Imm1_2,
218 Convert__GR1281_0__Tie0_1_1__GR1281_1__BDAddr64Disp122_2,
219 Convert__GR1281_0__Tie0_1_1__GR1281_1__BDAddr64Disp202_2,
220 Convert__FP321_0__BDXAddr64Disp123_1,
221 Convert__FP321_0__FP321_1,
222 Convert__FP321_0__GR321_1,
223 Convert__FP321_0__U4Imm1_1__GR321_2__U4Imm1_3,
224 Convert__FP321_0__GR641_1,
225 Convert__FP321_0__U4Imm1_1__GR641_2__U4Imm1_3,
226 Convert__FP1281_0__FP1281_1,
227 Convert__BDAddr64Disp122_0,
228 Convert__GR321_0__U4Imm1_1__FP641_2,
229 Convert__GR321_0__U4Imm1_1__FP641_2__U4Imm1_3,
230 Convert__GR321_0__U4Imm1_1__FP321_2,
231 Convert__GR321_0__U4Imm1_1__FP321_2__U4Imm1_3,
232 Convert__GR321_0__S32Imm1_1,
233 Convert__GR321_0__U4Imm1_1__FP1281_2,
234 Convert__GR321_0__U4Imm1_1__FP1281_2__U4Imm1_3,
235 Convert__GR641_0__BDXAddr64Disp203_1,
236 Convert__GR641_0__U4Imm1_1__FP641_2,
237 Convert__GR641_0__U4Imm1_1__FP641_2__U4Imm1_3,
238 Convert__GR641_0__U4Imm1_1__FP321_2,
239 Convert__GR641_0__U4Imm1_1__FP321_2__U4Imm1_3,
240 Convert__GR641_0__S32Imm1_1,
241 Convert__GR641_0__GR321_1,
242 Convert__GR641_0__PCRel321_1,
243 Convert__GR641_0__S16Imm1_1,
244 Convert__BDAddr64Disp122_0__S16Imm1_1,
245 Convert__GR641_0__S8Imm1_1__U4Imm1_2__BDAddr64Disp122_3,
246 Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2,
247 Convert__GR641_0__S8Imm1_1__U4Imm1_2__PCRel161_3,
248 Convert__GR641_0__S8Imm1_1__PCRel161_2,
249 Convert__GR641_0__S16Imm1_1__U4Imm1_2,
250 Convert__GR641_0__GR641_1__U4Imm1_2__BDAddr64Disp122_3,
251 Convert__GR641_0__GR641_1__BDAddr64Disp122_2,
252 Convert__GR641_0__GR641_1__U4Imm1_2__PCRel161_3,
253 Convert__GR641_0__GR641_1__PCRel161_2,
254 Convert__GR641_0__GR641_1__U4Imm1_2,
255 Convert__GR641_0__U4Imm1_1__FP1281_2,
256 Convert__GR641_0__U4Imm1_1__FP1281_2__U4Imm1_3,
257 Convert__GRH321_0__BDXAddr64Disp203_1,
258 Convert__GRH321_0__GRH321_1,
259 Convert__GR321_0__S16Imm1_1,
260 Convert__GRH321_0__GR321_1,
261 Convert__GR321_0__PCRel321_1,
262 Convert__GR321_0__BDXAddr64Disp203_1,
263 Convert__GR321_0__S8Imm1_1__U4Imm1_2__BDAddr64Disp122_3,
264 Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2,
265 Convert__GRH321_0__S32Imm1_1,
266 Convert__GR321_0__S8Imm1_1__U4Imm1_2__PCRel161_3,
267 Convert__GR321_0__S8Imm1_1__PCRel161_2,
268 Convert__GR321_0__S16Imm1_1__U4Imm1_2,
269 Convert__GR641_0__GR1281_1__Tie0_1_1__Tie1_1_1,
270 Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1,
271 Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1,
272 Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__BDAddr32Disp122_2,
273 Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__BDAddr32Disp202_2,
274 Convert__BDAddr64Disp122_0__U16Imm1_1,
275 Convert__GR321_0__U32Imm1_1,
276 Convert__GR321_0__U16Imm1_1__U4Imm1_2,
277 Convert__GR321_0__U16Imm1_1,
278 Convert__GR641_0__U32Imm1_1,
279 Convert__GR641_0__U8Imm1_1__U4Imm1_2__BDAddr64Disp122_3,
280 Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2,
281 Convert__GR641_0__U8Imm1_1__U4Imm1_2__PCRel161_3,
282 Convert__GR641_0__U8Imm1_1__PCRel161_2,
283 Convert__GR641_0__U16Imm1_1__U4Imm1_2,
284 Convert__GR641_0__U16Imm1_1,
285 Convert__GR641_0__BDAddr64Disp202_2__U4Imm1_1,
286 Convert__GR641_0__BDAddr64Disp202_1,
287 Convert__BDAddr64Disp122_0__U8Imm1_1,
288 Convert__GR321_0__U8Imm1_1__U4Imm1_2__BDAddr64Disp122_3,
289 Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2,
290 Convert__GRH321_0__U32Imm1_1,
291 Convert__GR321_0__U8Imm1_1__U4Imm1_2__PCRel161_3,
292 Convert__GR321_0__U8Imm1_1__PCRel161_2,
293 Convert__BDAddr64Disp202_0__U8Imm1_1,
294 Convert__GR321_0__U4Imm1_1__BDAddr64Disp122_2,
295 Convert__GRH321_0__U4Imm1_1__BDAddr64Disp202_2,
296 Convert__GR321_0__U4Imm1_1__BDAddr64Disp202_2,
297 Convert__GR321_0__GR321_1,
298 Convert__GR321_0__GR321_1__U4Imm1_2__BDAddr64Disp122_3,
299 Convert__GR321_0__GR321_1__BDAddr64Disp122_2,
300 Convert__GR321_0__GR321_1__U4Imm1_2__PCRel161_3,
301 Convert__GR321_0__GR321_1__PCRel161_2,
302 Convert__GR321_0__GR321_1__U4Imm1_2,
303 Convert__GR641_0__GR641_1__Tie0_1_1__Tie1_1_1,
304 Convert__GR321_0__BDAddr64Disp202_2__U4Imm1_1,
305 Convert__GR321_0__BDAddr64Disp202_1,
306 Convert__FP641_0__FP641_2__FP641_1,
307 Convert__FP1281_0__BDLAddr64Disp12Len83_1__U4Imm1_2,
308 Convert__AR321_0__AR321_1,
309 Convert__GR1281_0__GR1281_2__GR641_1,
310 Convert__GR1281_0__GR1281_2__GR641_1__U4Imm1_3,
311 Convert_NoOperands,
312 Convert__GR641_0__FP641_1__U4Imm1_2,
313 Convert__GR1281_0__Tie0_1_1__GR641_1,
314 Convert__BDAddr64Disp122_0__BDAddr64Disp122_1__GR641_2,
315 Convert__GR1281_0__FP1281_1__U4Imm1_2,
316 Convert__GR321_0__Tie0_1_1__GR321_1__BDAddr64Disp202_2,
317 Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__U4Imm1_2,
318 Convert__GR641_0__FP641_1,
319 Convert__GR1281_0__FP1281_1,
320 Convert__FP1281_0__GR321_1,
321 Convert__FP1281_0__U4Imm1_1__GR321_2__U4Imm1_3,
322 Convert__FP1281_0__GR641_1,
323 Convert__FP1281_0__U4Imm1_1__GR641_2__U4Imm1_3,
324 Convert__FP1281_0__GR1281_1,
325 Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp123_1,
326 Convert__FP641_0__FP641_1__Tie0_1_1__FP641_2__U4Imm1_3,
327 Convert__FP321_0__FP321_1__Tie0_1_1__FP321_2__U4Imm1_3,
328 Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1,
329 Convert__GR1281_0__Tie0_1_1__GR321_1,
330 Convert__GR321_0__AR321_1,
331 Convert__GR641_0__GR641_1__BDAddr32Disp202_2,
332 Convert__GR321_0__GR641_1,
333 Convert__GR321_0,
334 Convert__GR641_0,
335 Convert__GR321_0__Tie0_1_1,
336 Convert__GR1281_0__GR321_1,
337 Convert__FP641_0__U4Imm1_1__FP641_2,
338 Convert__FP641_0__U4Imm1_1__FP641_2__U4Imm1_3,
339 Convert__FP321_0__U4Imm1_1__FP321_2,
340 Convert__FP321_0__U4Imm1_1__FP321_2__U4Imm1_3,
341 Convert__FP1281_0__U4Imm1_1__FP1281_2,
342 Convert__FP1281_0__U4Imm1_1__FP1281_2__U4Imm1_3,
343 Convert__GR1281_0__GR641_1,
344 Convert__GR641_0__Tie0_1_1__BDXAddr64Disp123_1,
345 Convert__GR321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp122_2,
346 Convert__GRH321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp202_2,
347 Convert__GR321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp202_2,
348 Convert__GR641_0__GR641_2__GR641_1,
349 Convert__GR641_0__GR641_2__GR641_1__U4Imm1_3,
350 Convert__FP1281_0__FP1281_2__FP1281_1,
351 Convert__GRH321_0__Tie0_1_1__U16Imm1_1,
352 Convert__GR321_0__Tie0_1_1__U16Imm1_1,
353 Convert__GR641_0__GR321_1__GR321_2,
354 Convert__GR641_0__GR321_1__GR321_2__U4Imm1_3,
355 Convert__PCRel161_0,
356 Convert__PCRel321_0,
357 Convert__GR1281_1__GR641_0__Tie0_1_1,
358 Convert__GR1281_0__GR1281_2__GR1281_1__Tie0_1_1__Tie1_1_1__Tie2_1_1,
359 Convert__GR321_0__GR321_1__BDAddr64Disp202_2,
360 Convert__GR641_0__GR641_1__BDAddr64Disp202_2,
361 Convert__AR321_0__AR321_1__BDAddr64Disp122_2,
362 Convert__AR321_0__AR321_1__BDAddr64Disp202_2,
363 Convert__BDAddr64Disp122_0__BDAddr64Disp122_1,
364 Convert__GR321_0__BDXAddr64Disp123_1__U4Imm1_2,
365 Convert__CR641_0__CR641_1__BDAddr64Disp122_2,
366 Convert__CR641_0__CR641_1__BDAddr64Disp202_2,
367 Convert__FP641_0__FP321_1,
368 Convert__FP641_0__FP321_1__U4Imm1_2,
369 Convert__FP641_0__FP1281_1,
370 Convert__FP641_0__BDXAddr64Disp203_1,
371 Convert__FP321_0__FP641_1,
372 Convert__FP321_0__U4Imm1_1__FP641_2__U4Imm1_3,
373 Convert__FP321_0__FP1281_1,
374 Convert__FP321_0__BDXAddr64Disp203_1,
375 Convert__GR641_0__GR641_1__BDAddr64Disp122_2__BDAddr64Disp122_3,
376 Convert__GRH321_0__GRH321_1__BDAddr64Disp202_2,
377 Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2,
378 Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1,
379 Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2,
380 Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1,
381 Convert__GRH321_0__Tie0_1_1__GRH321_1__U4Imm1_2,
382 Convert__GRH321_0__Tie0_1_1__GRH321_1,
383 Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2,
384 Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1,
385 Convert__GR641_0__Tie0_1_1__S16Imm1_1__U4Imm1_2,
386 Convert__GR641_0__Tie0_1_1__GR641_1__U4Imm1_2,
387 Convert__GRH321_0__Tie0_1_1__S16Imm1_1__U4Imm1_2,
388 Convert__GRH321_0__Tie0_1_1__S16Imm1_1,
389 Convert__GR321_0__Tie0_1_1__S16Imm1_1__U4Imm1_2,
390 Convert__GR321_0__Tie0_1_1__GR321_1__U4Imm1_2,
391 Convert__GR1281_0__BDAddr64Disp122_1__BDAddr64Disp122_2,
392 Convert__GR1281_0__BDXAddr64Disp203_1,
393 Convert__GR641_0__GR641_1__Tie0_1_1__GR641_2__U4Imm1_3,
394 Convert__FP1281_0__BDXAddr64Disp123_1,
395 Convert__FP1281_0__FP641_1,
396 Convert__FP1281_0__FP641_1__U4Imm1_2,
397 Convert__FP1281_0__FP321_1,
398 Convert__FP641_0,
399 Convert__FP321_0,
400 Convert__FP1281_0,
401 Convert__FP641_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2,
402 Convert__FP641_0__Tie0_1_1__FP641_1__FP641_2,
403 Convert__FP321_0__Tie0_1_1__FP321_1__BDXAddr64Disp123_2,
404 Convert__FP321_0__Tie0_1_1__FP321_1__FP321_2,
405 Convert__FP1281_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2,
406 Convert__FP1281_0__Tie0_1_1__FP641_1__FP641_2,
407 Convert__FP641_0__Tie0_1_1__FP321_1,
408 Convert__GR1281_0__GR641_1__GR641_2,
409 Convert__GR1281_0,
410 Convert__BDRAddr64Disp123_0__BDAddr64Disp122_1__GR641_2,
411 Convert__FP1281_0__Tie0_1_1__BDXAddr64Disp123_1,
412 Convert__FP1281_0__Tie0_1_1__FP641_1,
413 Convert__FP1281_0__FP641_1__BDXAddr64Disp123_2,
414 Convert__FP641_0__FP641_1__BDXAddr64Disp123_2,
415 Convert__FP1281_0__FP641_1__FP641_2,
416 Convert__U4Imm1_0__U4Imm1_1,
417 Convert__GRH321_0__Tie0_1_1__U32Imm1_1,
418 Convert__imm_95_0__BDXAddr64Disp123_0,
419 Convert__imm_95_0__GR641_0,
420 Convert__GR641_1__GR321_0__Tie0_1_1,
421 Convert__BDAddr64Disp122_0__BDLAddr64Disp12Len83_1,
422 Convert__GR641_0__BDAddr64Disp122_1__GR641_2__BDAddr64Disp122_3,
423 Convert__GR641_0__Tie0_1_1,
424 Convert__FP1281_0__FP1281_1__Tie0_1_1__FP1281_2__U4Imm1_3,
425 Convert__GR641_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4,
426 Convert__GRH321_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4,
427 Convert__GR321_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4,
428 Convert__GR321_0__GR321_1__BDAddr32Disp202_2,
429 Convert__AR321_0__GR321_1,
430 Convert__GR321_0__Tie0_1_1__BDAddr32Disp122_1,
431 Convert__GR1281_0__Tie0_1_1__BDAddr32Disp122_1,
432 Convert__FP1281_0__FP1281_1__BDXAddr64Disp123_2,
433 Convert__BDAddr32Disp122_0,
434 Convert__BDLAddr64Disp12Len43_0__BDAddr32Disp122_1__U4Imm1_2,
435 Convert__GR321_0__GR641_1__U4Imm1_2,
436 Convert__GR321_0__BDAddr64Disp202_1__U4Imm1_2,
437 Convert__GRH321_0__BDAddr64Disp202_1__U4Imm1_2,
438 Convert__GRH321_0__BDAddr64Disp202_1,
439 Convert__GR641_0__BDAddr64Disp202_1__U4Imm1_2,
440 Convert__U8Imm1_0,
441 Convert__FP321_0__U4Imm1_1__FP641_2,
442 Convert__GRH321_0__U16Imm1_1,
443 Convert__BDLAddr64Disp12Len43_0,
444 Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1,
445 Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1__U4Imm1_2,
446 Convert__GR641_1__GR1281_0__Tie1_1_1,
447 Convert__GR641_1__GR1281_0__Tie1_1_1__U4Imm1_2,
448 Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3,
449 Convert__VR1281_0__VR1281_1__VR1281_2,
450 Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4,
451 Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3,
452 Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4,
453 Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4,
454 Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3,
455 Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4,
456 Convert__VR1281_0__VR1281_1__U4Imm1_2,
457 Convert__VR1281_0__VR1281_1,
458 Convert__GR321_0__VR1281_1__U4Imm1_2,
459 Convert__GR641_0__VR1281_1__U4Imm1_2,
460 Convert__VR1281_0__GR321_1__U8Imm1_2__U4Imm1_3,
461 Convert__VR1281_0__GR641_1__U8Imm1_2__U4Imm1_3,
462 Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4,
463 Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3,
464 Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3,
465 Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2,
466 Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__imm_95_0,
467 Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0,
468 Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4__U4Imm1_5,
469 Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__U4Imm1_5,
470 Convert__VR1281_0__VR1281_1__U12Imm1_2__U4Imm1_3__U4Imm1_4,
471 Convert__VR1281_0__VR1281_1__U12Imm1_2,
472 Convert__VR1281_0__U16Imm1_1,
473 Convert__VR1281_0__Tie0_1_1__BDVAddr64Disp123_1__U2Imm1_2,
474 Convert__VR1281_0__Tie0_1_1__BDVAddr64Disp123_1__U1Imm1_2,
475 Convert__VR1281_0__U8Imm1_1__U8Imm1_2__U4Imm1_3,
476 Convert__VR1281_0__U8Imm1_1__U8Imm1_2,
477 Convert__VR1281_0__VR1281_1__U4Imm1_2__imm_95_0,
478 Convert__VR1281_0__VR1281_1__imm_95_0,
479 Convert__VR1281_0__BDXAddr64Disp123_1,
480 Convert__VR1281_0__BDXAddr64Disp123_1__U4Imm1_2,
481 Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U4Imm1_2,
482 Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U2Imm1_2,
483 Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U1Imm1_2,
484 Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U3Imm1_2,
485 Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U4Imm1_2,
486 Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U2Imm1_2,
487 Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U1Imm1_2,
488 Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U3Imm1_2,
489 Convert__GR641_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3,
490 Convert__GR641_0__VR1281_1__BDAddr32Disp122_2,
491 Convert__VR1281_0__U16Imm1_1__U4Imm1_2,
492 Convert__VR1281_0__GR321_1__BDAddr64Disp122_2,
493 Convert__VR1281_0__VR1281_1__BDAddr64Disp122_2,
494 Convert__VR1281_0__BDAddr64Disp122_1__U8Imm1_2,
495 Convert__VR1281_0__Tie0_1_1__GR641_1__BDAddr32Disp122_2__U4Imm1_3,
496 Convert__VR1281_0__Tie0_1_1__GR321_1__BDAddr32Disp122_2,
497 Convert__VR1281_0__Tie0_1_1__GR641_1__BDAddr32Disp122_2,
498 Convert__VR1281_0__GR641_1__GR641_2,
499 Convert__VR1281_0__VR1281_1__VR1281_1,
500 Convert__VR1281_0,
501 Convert__VR1281_0__VR1281_1__U8Imm1_2__U8Imm1_3__U4Imm1_4,
502 Convert__VR1281_0__VR1281_1__U16Imm1_2__U4Imm1_3,
503 Convert__VR1281_0__VR1281_1__U16Imm1_2,
504 Convert__VR1281_0__S16Imm1_1__U4Imm1_2,
505 Convert__VR1281_0__S16Imm1_1,
506 Convert__VR1281_0__BDVAddr64Disp123_1__U2Imm1_2,
507 Convert__VR1281_0__BDVAddr64Disp123_1__U1Imm1_2,
508 Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3,
509 Convert__VR1281_0__BDXAddr64Disp123_1__U2Imm1_2,
510 Convert__VR1281_0__BDXAddr64Disp123_1__U1Imm1_2,
511 Convert__VR1281_0__BDXAddr64Disp123_1__U3Imm1_2,
512 Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__imm_95_0,
513 Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0,
514 Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3,
515 Convert__VR641_0__VR641_1__VR641_2,
516 Convert__VR321_0__VR321_1__VR321_2,
517 Convert__VR641_0__VR641_1,
518 Convert__VR321_0__VR321_1,
519 Convert__VR321_0__VR321_1__U4Imm1_2__U4Imm1_3,
520 Convert__VR1281_0__VR641_1,
521 Convert__VR641_0__VR321_1,
522 Convert__VR321_0__VR641_1__U4Imm1_2__U4Imm1_3,
523 Convert__VR641_0__VR1281_1__U4Imm1_2__U4Imm1_3,
524 Convert__VR641_0__VR641_1__VR641_2__VR641_3,
525 Convert__VR321_0__VR321_1__VR321_2__VR321_3,
526 Convert__VR641_0__VR641_1__VR641_2__U4Imm1_3,
527 Convert__VR321_0__VR321_1__VR321_2__U4Imm1_3,
528 Convert__VR641_0__VR641_1__U4Imm1_2,
529 Convert__VR321_0__VR321_1__U4Imm1_2,
530 Convert__VR641_0__VR641_1__U12Imm1_2,
531 Convert__VR321_0__VR321_1__U12Imm1_2,
532 CVT_NUM_SIGNATURES
533};
534
535} // end anonymous namespace
536
537static const uint8_t ConversionTable[CVT_NUM_SIGNATURES][13] = {
538 // Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1
539 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
540 // Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1
541 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
542 // Convert__FP641_0__Tie0_1_1__FP641_1
543 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
544 // Convert__FP641_0__FP641_1__FP641_2
545 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
546 // Convert__FP641_0__FP641_1__FP641_2__U4Imm1_3
547 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
548 // Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1
549 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
550 // Convert__FP321_0__Tie0_1_1__FP321_1
551 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
552 // Convert__GR321_0__Tie0_1_1__S32Imm1_1
553 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
554 // Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1
555 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
556 // Convert__GR641_0__Tie0_1_1__S32Imm1_1
557 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
558 // Convert__GR641_0__Tie0_1_1__GR321_1
559 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
560 // Convert__GR641_0__Tie0_1_1__S16Imm1_1
561 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
562 // Convert__GR641_0__GR641_1__S16Imm1_2
563 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
564 // Convert__GR641_0__Tie0_1_1__GR641_1
565 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
566 // Convert__GR641_0__GR641_1__GR641_2
567 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
568 // Convert__BDAddr64Disp202_0__S8Imm1_1
569 { CVT_95_addBDAddrOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
570 // Convert__GRH321_0__GRH321_1__GRH321_2
571 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
572 // Convert__GRH321_0__GRH321_1__GR321_2
573 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
574 // Convert__GR321_0__Tie0_1_1__S16Imm1_1
575 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
576 // Convert__GR321_0__GR321_1__S16Imm1_2
577 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
578 // Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1
579 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
580 // Convert__GRH321_0__Tie0_1_1__S32Imm1_1
581 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
582 // Convert__GR321_0__Tie0_1_1__GR321_1
583 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
584 // Convert__GR321_0__Tie0_1_1__U32Imm1_1
585 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
586 // Convert__GR641_0__Tie0_1_1__U32Imm1_1
587 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
588 // Convert__GR321_0__GR321_1__GR321_2
589 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
590 // Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1
591 { CVT_95_addBDLAddrOperands, 1, CVT_95_addBDLAddrOperands, 2, CVT_Done },
592 // Convert__FP1281_0__Tie0_1_1__FP1281_1
593 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
594 // Convert__FP1281_0__FP1281_1__FP1281_2
595 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
596 // Convert__FP1281_0__FP1281_1__FP1281_2__U4Imm1_3
597 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
598 // Convert__BDXAddr64Disp123_0
599 { CVT_95_addBDXAddrOperands, 1, CVT_Done },
600 // Convert__GR641_0__GR641_1
601 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
602 // Convert__GR641_0__BDXAddr64Disp123_1
603 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
604 // Convert__GR641_0__ADDR641_1
605 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
606 // Convert__U4Imm1_0__BDXAddr64Disp123_1
607 { CVT_95_addImmOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
608 // Convert__U4Imm1_0__GR641_1
609 { CVT_95_addImmOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
610 // Convert__GR321_0__Tie0_1_1__GR641_1
611 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
612 // Convert__ADDR641_0
613 { CVT_95_addRegOperands, 1, CVT_Done },
614 // Convert__BDXAddr64Disp203_0
615 { CVT_95_addBDXAddrOperands, 1, CVT_Done },
616 // Convert__U4Imm1_0__BDXAddr64Disp203_1
617 { CVT_95_addImmOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
618 // Convert__U4Imm1_0__PCRel161_1__BDXAddr64Disp123_2
619 { CVT_95_addImmOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addBDXAddrOperands, 3, CVT_Done },
620 // Convert__U4Imm1_0__PCRel121_1__PCRel241_2
621 { CVT_95_addImmOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
622 // Convert__GR641_0__PCRelTLS162_1
623 { CVT_95_addRegOperands, 1, CVT_95_addImmTLSOperands, 2, CVT_Done },
624 // Convert__GR641_0__PCRelTLS322_1
625 { CVT_95_addRegOperands, 1, CVT_95_addImmTLSOperands, 2, CVT_Done },
626 // Convert__U4Imm1_0__PCRel161_1
627 { CVT_95_addImmOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
628 // Convert__U4Imm1_0__PCRel321_1
629 { CVT_95_addImmOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
630 // Convert__GR321_0__Tie0_1_1__PCRel161_1
631 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
632 // Convert__GR641_0__Tie0_1_1__PCRel161_1
633 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
634 // Convert__GRH321_0__Tie0_1_1__PCRel321_1
635 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
636 // Convert__GR321_0__Tie0_1_1__GR321_1__PCRel161_2
637 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
638 // Convert__GR641_0__Tie0_1_1__GR641_1__PCRel161_2
639 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
640 // Convert__GR321_0__Tie0_1_1__GR321_1__BDAddr64Disp122_2
641 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
642 // Convert__GR641_0__Tie0_1_1__GR641_1__BDAddr64Disp202_2
643 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
644 // Convert__GR321_0__BDXAddr64Disp123_1
645 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
646 // Convert__FP641_0__BDXAddr64Disp123_1
647 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
648 // Convert__FP641_0__FP641_1
649 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
650 // Convert__FP641_0__GR321_1
651 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
652 // Convert__FP641_0__U4Imm1_1__GR321_2__U4Imm1_3
653 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
654 // Convert__FP641_0__GR641_1
655 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
656 // Convert__FP641_0__U4Imm1_1__GR641_2__U4Imm1_3
657 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
658 // Convert__FP641_0__BDLAddr64Disp12Len83_1__U4Imm1_2
659 { CVT_95_addRegOperands, 1, CVT_95_addBDLAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
660 // Convert__GR1281_0__Tie0_1_1__GR1281_1__BDAddr64Disp122_2
661 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
662 // Convert__GR1281_0__Tie0_1_1__GR1281_1__BDAddr64Disp202_2
663 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
664 // Convert__FP321_0__BDXAddr64Disp123_1
665 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
666 // Convert__FP321_0__FP321_1
667 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
668 // Convert__FP321_0__GR321_1
669 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
670 // Convert__FP321_0__U4Imm1_1__GR321_2__U4Imm1_3
671 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
672 // Convert__FP321_0__GR641_1
673 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
674 // Convert__FP321_0__U4Imm1_1__GR641_2__U4Imm1_3
675 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
676 // Convert__FP1281_0__FP1281_1
677 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
678 // Convert__BDAddr64Disp122_0
679 { CVT_95_addBDAddrOperands, 1, CVT_Done },
680 // Convert__GR321_0__U4Imm1_1__FP641_2
681 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
682 // Convert__GR321_0__U4Imm1_1__FP641_2__U4Imm1_3
683 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
684 // Convert__GR321_0__U4Imm1_1__FP321_2
685 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
686 // Convert__GR321_0__U4Imm1_1__FP321_2__U4Imm1_3
687 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
688 // Convert__GR321_0__S32Imm1_1
689 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
690 // Convert__GR321_0__U4Imm1_1__FP1281_2
691 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
692 // Convert__GR321_0__U4Imm1_1__FP1281_2__U4Imm1_3
693 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
694 // Convert__GR641_0__BDXAddr64Disp203_1
695 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
696 // Convert__GR641_0__U4Imm1_1__FP641_2
697 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
698 // Convert__GR641_0__U4Imm1_1__FP641_2__U4Imm1_3
699 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
700 // Convert__GR641_0__U4Imm1_1__FP321_2
701 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
702 // Convert__GR641_0__U4Imm1_1__FP321_2__U4Imm1_3
703 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
704 // Convert__GR641_0__S32Imm1_1
705 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
706 // Convert__GR641_0__GR321_1
707 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
708 // Convert__GR641_0__PCRel321_1
709 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
710 // Convert__GR641_0__S16Imm1_1
711 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
712 // Convert__BDAddr64Disp122_0__S16Imm1_1
713 { CVT_95_addBDAddrOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
714 // Convert__GR641_0__S8Imm1_1__U4Imm1_2__BDAddr64Disp122_3
715 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addBDAddrOperands, 4, CVT_Done },
716 // Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2
717 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
718 // Convert__GR641_0__S8Imm1_1__U4Imm1_2__PCRel161_3
719 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
720 // Convert__GR641_0__S8Imm1_1__PCRel161_2
721 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
722 // Convert__GR641_0__S16Imm1_1__U4Imm1_2
723 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
724 // Convert__GR641_0__GR641_1__U4Imm1_2__BDAddr64Disp122_3
725 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addBDAddrOperands, 4, CVT_Done },
726 // Convert__GR641_0__GR641_1__BDAddr64Disp122_2
727 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
728 // Convert__GR641_0__GR641_1__U4Imm1_2__PCRel161_3
729 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
730 // Convert__GR641_0__GR641_1__PCRel161_2
731 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
732 // Convert__GR641_0__GR641_1__U4Imm1_2
733 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
734 // Convert__GR641_0__U4Imm1_1__FP1281_2
735 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
736 // Convert__GR641_0__U4Imm1_1__FP1281_2__U4Imm1_3
737 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
738 // Convert__GRH321_0__BDXAddr64Disp203_1
739 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
740 // Convert__GRH321_0__GRH321_1
741 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
742 // Convert__GR321_0__S16Imm1_1
743 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
744 // Convert__GRH321_0__GR321_1
745 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
746 // Convert__GR321_0__PCRel321_1
747 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
748 // Convert__GR321_0__BDXAddr64Disp203_1
749 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
750 // Convert__GR321_0__S8Imm1_1__U4Imm1_2__BDAddr64Disp122_3
751 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addBDAddrOperands, 4, CVT_Done },
752 // Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2
753 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
754 // Convert__GRH321_0__S32Imm1_1
755 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
756 // Convert__GR321_0__S8Imm1_1__U4Imm1_2__PCRel161_3
757 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
758 // Convert__GR321_0__S8Imm1_1__PCRel161_2
759 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
760 // Convert__GR321_0__S16Imm1_1__U4Imm1_2
761 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
762 // Convert__GR641_0__GR1281_1__Tie0_1_1__Tie1_1_1
763 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_Done },
764 // Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1
765 { CVT_95_addBDLAddrOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_Done },
766 // Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1
767 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_Done },
768 // Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__BDAddr32Disp122_2
769 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_95_addBDAddrOperands, 3, CVT_Done },
770 // Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__BDAddr32Disp202_2
771 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_95_addBDAddrOperands, 3, CVT_Done },
772 // Convert__BDAddr64Disp122_0__U16Imm1_1
773 { CVT_95_addBDAddrOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
774 // Convert__GR321_0__U32Imm1_1
775 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
776 // Convert__GR321_0__U16Imm1_1__U4Imm1_2
777 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
778 // Convert__GR321_0__U16Imm1_1
779 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
780 // Convert__GR641_0__U32Imm1_1
781 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
782 // Convert__GR641_0__U8Imm1_1__U4Imm1_2__BDAddr64Disp122_3
783 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addBDAddrOperands, 4, CVT_Done },
784 // Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2
785 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
786 // Convert__GR641_0__U8Imm1_1__U4Imm1_2__PCRel161_3
787 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
788 // Convert__GR641_0__U8Imm1_1__PCRel161_2
789 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
790 // Convert__GR641_0__U16Imm1_1__U4Imm1_2
791 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
792 // Convert__GR641_0__U16Imm1_1
793 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
794 // Convert__GR641_0__BDAddr64Disp202_2__U4Imm1_1
795 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 3, CVT_95_addImmOperands, 2, CVT_Done },
796 // Convert__GR641_0__BDAddr64Disp202_1
797 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_Done },
798 // Convert__BDAddr64Disp122_0__U8Imm1_1
799 { CVT_95_addBDAddrOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
800 // Convert__GR321_0__U8Imm1_1__U4Imm1_2__BDAddr64Disp122_3
801 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addBDAddrOperands, 4, CVT_Done },
802 // Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2
803 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
804 // Convert__GRH321_0__U32Imm1_1
805 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
806 // Convert__GR321_0__U8Imm1_1__U4Imm1_2__PCRel161_3
807 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
808 // Convert__GR321_0__U8Imm1_1__PCRel161_2
809 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
810 // Convert__BDAddr64Disp202_0__U8Imm1_1
811 { CVT_95_addBDAddrOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
812 // Convert__GR321_0__U4Imm1_1__BDAddr64Disp122_2
813 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
814 // Convert__GRH321_0__U4Imm1_1__BDAddr64Disp202_2
815 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
816 // Convert__GR321_0__U4Imm1_1__BDAddr64Disp202_2
817 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
818 // Convert__GR321_0__GR321_1
819 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
820 // Convert__GR321_0__GR321_1__U4Imm1_2__BDAddr64Disp122_3
821 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addBDAddrOperands, 4, CVT_Done },
822 // Convert__GR321_0__GR321_1__BDAddr64Disp122_2
823 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
824 // Convert__GR321_0__GR321_1__U4Imm1_2__PCRel161_3
825 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
826 // Convert__GR321_0__GR321_1__PCRel161_2
827 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
828 // Convert__GR321_0__GR321_1__U4Imm1_2
829 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
830 // Convert__GR641_0__GR641_1__Tie0_1_1__Tie1_1_1
831 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_Done },
832 // Convert__GR321_0__BDAddr64Disp202_2__U4Imm1_1
833 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 3, CVT_95_addImmOperands, 2, CVT_Done },
834 // Convert__GR321_0__BDAddr64Disp202_1
835 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_Done },
836 // Convert__FP641_0__FP641_2__FP641_1
837 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 2, CVT_Done },
838 // Convert__FP1281_0__BDLAddr64Disp12Len83_1__U4Imm1_2
839 { CVT_95_addRegOperands, 1, CVT_95_addBDLAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
840 // Convert__AR321_0__AR321_1
841 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
842 // Convert__GR1281_0__GR1281_2__GR641_1
843 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 2, CVT_Done },
844 // Convert__GR1281_0__GR1281_2__GR641_1__U4Imm1_3
845 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
846 // Convert_NoOperands
847 { CVT_Done },
848 // Convert__GR641_0__FP641_1__U4Imm1_2
849 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
850 // Convert__GR1281_0__Tie0_1_1__GR641_1
851 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
852 // Convert__BDAddr64Disp122_0__BDAddr64Disp122_1__GR641_2
853 { CVT_95_addBDAddrOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
854 // Convert__GR1281_0__FP1281_1__U4Imm1_2
855 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
856 // Convert__GR321_0__Tie0_1_1__GR321_1__BDAddr64Disp202_2
857 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
858 // Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__U4Imm1_2
859 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_95_addImmOperands, 3, CVT_Done },
860 // Convert__GR641_0__FP641_1
861 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
862 // Convert__GR1281_0__FP1281_1
863 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
864 // Convert__FP1281_0__GR321_1
865 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
866 // Convert__FP1281_0__U4Imm1_1__GR321_2__U4Imm1_3
867 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
868 // Convert__FP1281_0__GR641_1
869 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
870 // Convert__FP1281_0__U4Imm1_1__GR641_2__U4Imm1_3
871 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
872 // Convert__FP1281_0__GR1281_1
873 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
874 // Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp123_1
875 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
876 // Convert__FP641_0__FP641_1__Tie0_1_1__FP641_2__U4Imm1_3
877 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
878 // Convert__FP321_0__FP321_1__Tie0_1_1__FP321_2__U4Imm1_3
879 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
880 // Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1
881 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
882 // Convert__GR1281_0__Tie0_1_1__GR321_1
883 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
884 // Convert__GR321_0__AR321_1
885 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
886 // Convert__GR641_0__GR641_1__BDAddr32Disp202_2
887 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
888 // Convert__GR321_0__GR641_1
889 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
890 // Convert__GR321_0
891 { CVT_95_addRegOperands, 1, CVT_Done },
892 // Convert__GR641_0
893 { CVT_95_addRegOperands, 1, CVT_Done },
894 // Convert__GR321_0__Tie0_1_1
895 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_Done },
896 // Convert__GR1281_0__GR321_1
897 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
898 // Convert__FP641_0__U4Imm1_1__FP641_2
899 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
900 // Convert__FP641_0__U4Imm1_1__FP641_2__U4Imm1_3
901 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
902 // Convert__FP321_0__U4Imm1_1__FP321_2
903 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
904 // Convert__FP321_0__U4Imm1_1__FP321_2__U4Imm1_3
905 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
906 // Convert__FP1281_0__U4Imm1_1__FP1281_2
907 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
908 // Convert__FP1281_0__U4Imm1_1__FP1281_2__U4Imm1_3
909 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
910 // Convert__GR1281_0__GR641_1
911 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
912 // Convert__GR641_0__Tie0_1_1__BDXAddr64Disp123_1
913 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
914 // Convert__GR321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp122_2
915 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
916 // Convert__GRH321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp202_2
917 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
918 // Convert__GR321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp202_2
919 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
920 // Convert__GR641_0__GR641_2__GR641_1
921 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 2, CVT_Done },
922 // Convert__GR641_0__GR641_2__GR641_1__U4Imm1_3
923 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 4, CVT_Done },
924 // Convert__FP1281_0__FP1281_2__FP1281_1
925 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 2, CVT_Done },
926 // Convert__GRH321_0__Tie0_1_1__U16Imm1_1
927 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
928 // Convert__GR321_0__Tie0_1_1__U16Imm1_1
929 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
930 // Convert__GR641_0__GR321_1__GR321_2
931 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
932 // Convert__GR641_0__GR321_1__GR321_2__U4Imm1_3
933 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
934 // Convert__PCRel161_0
935 { CVT_95_addImmOperands, 1, CVT_Done },
936 // Convert__PCRel321_0
937 { CVT_95_addImmOperands, 1, CVT_Done },
938 // Convert__GR1281_1__GR641_0__Tie0_1_1
939 { CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_Done },
940 // Convert__GR1281_0__GR1281_2__GR1281_1__Tie0_1_1__Tie1_1_1__Tie2_1_1
941 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_Tied, Tie2_1_1, CVT_Done },
942 // Convert__GR321_0__GR321_1__BDAddr64Disp202_2
943 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
944 // Convert__GR641_0__GR641_1__BDAddr64Disp202_2
945 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
946 // Convert__AR321_0__AR321_1__BDAddr64Disp122_2
947 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
948 // Convert__AR321_0__AR321_1__BDAddr64Disp202_2
949 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
950 // Convert__BDAddr64Disp122_0__BDAddr64Disp122_1
951 { CVT_95_addBDAddrOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_Done },
952 // Convert__GR321_0__BDXAddr64Disp123_1__U4Imm1_2
953 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
954 // Convert__CR641_0__CR641_1__BDAddr64Disp122_2
955 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
956 // Convert__CR641_0__CR641_1__BDAddr64Disp202_2
957 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
958 // Convert__FP641_0__FP321_1
959 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
960 // Convert__FP641_0__FP321_1__U4Imm1_2
961 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
962 // Convert__FP641_0__FP1281_1
963 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
964 // Convert__FP641_0__BDXAddr64Disp203_1
965 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
966 // Convert__FP321_0__FP641_1
967 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
968 // Convert__FP321_0__U4Imm1_1__FP641_2__U4Imm1_3
969 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
970 // Convert__FP321_0__FP1281_1
971 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
972 // Convert__FP321_0__BDXAddr64Disp203_1
973 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
974 // Convert__GR641_0__GR641_1__BDAddr64Disp122_2__BDAddr64Disp122_3
975 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_95_addBDAddrOperands, 4, CVT_Done },
976 // Convert__GRH321_0__GRH321_1__BDAddr64Disp202_2
977 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
978 // Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2
979 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
980 // Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1
981 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDAddrOperands, 2, CVT_Done },
982 // Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2
983 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
984 // Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1
985 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDAddrOperands, 2, CVT_Done },
986 // Convert__GRH321_0__Tie0_1_1__GRH321_1__U4Imm1_2
987 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
988 // Convert__GRH321_0__Tie0_1_1__GRH321_1
989 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
990 // Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2
991 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
992 // Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1
993 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDAddrOperands, 2, CVT_Done },
994 // Convert__GR641_0__Tie0_1_1__S16Imm1_1__U4Imm1_2
995 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
996 // Convert__GR641_0__Tie0_1_1__GR641_1__U4Imm1_2
997 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
998 // Convert__GRH321_0__Tie0_1_1__S16Imm1_1__U4Imm1_2
999 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1000 // Convert__GRH321_0__Tie0_1_1__S16Imm1_1
1001 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
1002 // Convert__GR321_0__Tie0_1_1__S16Imm1_1__U4Imm1_2
1003 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1004 // Convert__GR321_0__Tie0_1_1__GR321_1__U4Imm1_2
1005 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1006 // Convert__GR1281_0__BDAddr64Disp122_1__BDAddr64Disp122_2
1007 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
1008 // Convert__GR1281_0__BDXAddr64Disp203_1
1009 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
1010 // Convert__GR641_0__GR641_1__Tie0_1_1__GR641_2__U4Imm1_3
1011 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1012 // Convert__FP1281_0__BDXAddr64Disp123_1
1013 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
1014 // Convert__FP1281_0__FP641_1
1015 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
1016 // Convert__FP1281_0__FP641_1__U4Imm1_2
1017 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1018 // Convert__FP1281_0__FP321_1
1019 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
1020 // Convert__FP641_0
1021 { CVT_95_addRegOperands, 1, CVT_Done },
1022 // Convert__FP321_0
1023 { CVT_95_addRegOperands, 1, CVT_Done },
1024 // Convert__FP1281_0
1025 { CVT_95_addRegOperands, 1, CVT_Done },
1026 // Convert__FP641_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2
1027 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDXAddrOperands, 3, CVT_Done },
1028 // Convert__FP641_0__Tie0_1_1__FP641_1__FP641_2
1029 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1030 // Convert__FP321_0__Tie0_1_1__FP321_1__BDXAddr64Disp123_2
1031 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDXAddrOperands, 3, CVT_Done },
1032 // Convert__FP321_0__Tie0_1_1__FP321_1__FP321_2
1033 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1034 // Convert__FP1281_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2
1035 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDXAddrOperands, 3, CVT_Done },
1036 // Convert__FP1281_0__Tie0_1_1__FP641_1__FP641_2
1037 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1038 // Convert__FP641_0__Tie0_1_1__FP321_1
1039 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
1040 // Convert__GR1281_0__GR641_1__GR641_2
1041 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1042 // Convert__GR1281_0
1043 { CVT_95_addRegOperands, 1, CVT_Done },
1044 // Convert__BDRAddr64Disp123_0__BDAddr64Disp122_1__GR641_2
1045 { CVT_95_addBDRAddrOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1046 // Convert__FP1281_0__Tie0_1_1__BDXAddr64Disp123_1
1047 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
1048 // Convert__FP1281_0__Tie0_1_1__FP641_1
1049 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_Done },
1050 // Convert__FP1281_0__FP641_1__BDXAddr64Disp123_2
1051 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDXAddrOperands, 3, CVT_Done },
1052 // Convert__FP641_0__FP641_1__BDXAddr64Disp123_2
1053 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDXAddrOperands, 3, CVT_Done },
1054 // Convert__FP1281_0__FP641_1__FP641_2
1055 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1056 // Convert__U4Imm1_0__U4Imm1_1
1057 { CVT_95_addImmOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1058 // Convert__GRH321_0__Tie0_1_1__U32Imm1_1
1059 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_Done },
1060 // Convert__imm_95_0__BDXAddr64Disp123_0
1061 { CVT_imm_95_0, 0, CVT_95_addBDXAddrOperands, 1, CVT_Done },
1062 // Convert__imm_95_0__GR641_0
1063 { CVT_imm_95_0, 0, CVT_95_addRegOperands, 1, CVT_Done },
1064 // Convert__GR641_1__GR321_0__Tie0_1_1
1065 { CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_Done },
1066 // Convert__BDAddr64Disp122_0__BDLAddr64Disp12Len83_1
1067 { CVT_95_addBDAddrOperands, 1, CVT_95_addBDLAddrOperands, 2, CVT_Done },
1068 // Convert__GR641_0__BDAddr64Disp122_1__GR641_2__BDAddr64Disp122_3
1069 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addBDAddrOperands, 4, CVT_Done },
1070 // Convert__GR641_0__Tie0_1_1
1071 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_Done },
1072 // Convert__FP1281_0__FP1281_1__Tie0_1_1__FP1281_2__U4Imm1_3
1073 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1074 // Convert__GR641_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4
1075 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1076 // Convert__GRH321_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4
1077 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1078 // Convert__GR321_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4
1079 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1080 // Convert__GR321_0__GR321_1__BDAddr32Disp202_2
1081 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
1082 // Convert__AR321_0__GR321_1
1083 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
1084 // Convert__GR321_0__Tie0_1_1__BDAddr32Disp122_1
1085 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDAddrOperands, 2, CVT_Done },
1086 // Convert__GR1281_0__Tie0_1_1__BDAddr32Disp122_1
1087 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDAddrOperands, 2, CVT_Done },
1088 // Convert__FP1281_0__FP1281_1__BDXAddr64Disp123_2
1089 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDXAddrOperands, 3, CVT_Done },
1090 // Convert__BDAddr32Disp122_0
1091 { CVT_95_addBDAddrOperands, 1, CVT_Done },
1092 // Convert__BDLAddr64Disp12Len43_0__BDAddr32Disp122_1__U4Imm1_2
1093 { CVT_95_addBDLAddrOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1094 // Convert__GR321_0__GR641_1__U4Imm1_2
1095 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1096 // Convert__GR321_0__BDAddr64Disp202_1__U4Imm1_2
1097 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1098 // Convert__GRH321_0__BDAddr64Disp202_1__U4Imm1_2
1099 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1100 // Convert__GRH321_0__BDAddr64Disp202_1
1101 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_Done },
1102 // Convert__GR641_0__BDAddr64Disp202_1__U4Imm1_2
1103 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1104 // Convert__U8Imm1_0
1105 { CVT_95_addImmOperands, 1, CVT_Done },
1106 // Convert__FP321_0__U4Imm1_1__FP641_2
1107 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1108 // Convert__GRH321_0__U16Imm1_1
1109 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1110 // Convert__BDLAddr64Disp12Len43_0
1111 { CVT_95_addBDLAddrOperands, 1, CVT_Done },
1112 // Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1
1113 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_Done },
1114 // Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1__U4Imm1_2
1115 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Tied, Tie0_1_1, CVT_Tied, Tie1_1_1, CVT_95_addImmOperands, 3, CVT_Done },
1116 // Convert__GR641_1__GR1281_0__Tie1_1_1
1117 { CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 1, CVT_Tied, Tie1_1_1, CVT_Done },
1118 // Convert__GR641_1__GR1281_0__Tie1_1_1__U4Imm1_2
1119 { CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 1, CVT_Tied, Tie1_1_1, CVT_95_addImmOperands, 3, CVT_Done },
1120 // Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3
1121 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1122 // Convert__VR1281_0__VR1281_1__VR1281_2
1123 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1124 // Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4
1125 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1126 // Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3
1127 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
1128 // Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4
1129 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1130 // Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4
1131 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1132 // Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3
1133 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1134 // Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4
1135 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1136 // Convert__VR1281_0__VR1281_1__U4Imm1_2
1137 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1138 // Convert__VR1281_0__VR1281_1
1139 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
1140 // Convert__GR321_0__VR1281_1__U4Imm1_2
1141 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1142 // Convert__GR641_0__VR1281_1__U4Imm1_2
1143 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1144 // Convert__VR1281_0__GR321_1__U8Imm1_2__U4Imm1_3
1145 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1146 // Convert__VR1281_0__GR641_1__U8Imm1_2__U4Imm1_3
1147 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1148 // Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4
1149 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1150 // Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3
1151 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1152 // Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3
1153 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1154 // Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2
1155 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
1156 // Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__imm_95_0
1157 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_imm_95_0, 0, CVT_Done },
1158 // Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0
1159 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_imm_95_0, 0, CVT_Done },
1160 // Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4__U4Imm1_5
1161 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
1162 // Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__U4Imm1_5
1163 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_95_addImmOperands, 6, CVT_Done },
1164 // Convert__VR1281_0__VR1281_1__U12Imm1_2__U4Imm1_3__U4Imm1_4
1165 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1166 // Convert__VR1281_0__VR1281_1__U12Imm1_2
1167 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1168 // Convert__VR1281_0__U16Imm1_1
1169 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1170 // Convert__VR1281_0__Tie0_1_1__BDVAddr64Disp123_1__U2Imm1_2
1171 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDVAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1172 // Convert__VR1281_0__Tie0_1_1__BDVAddr64Disp123_1__U1Imm1_2
1173 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDVAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1174 // Convert__VR1281_0__U8Imm1_1__U8Imm1_2__U4Imm1_3
1175 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1176 // Convert__VR1281_0__U8Imm1_1__U8Imm1_2
1177 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1178 // Convert__VR1281_0__VR1281_1__U4Imm1_2__imm_95_0
1179 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_imm_95_0, 0, CVT_Done },
1180 // Convert__VR1281_0__VR1281_1__imm_95_0
1181 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_imm_95_0, 0, CVT_Done },
1182 // Convert__VR1281_0__BDXAddr64Disp123_1
1183 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_Done },
1184 // Convert__VR1281_0__BDXAddr64Disp123_1__U4Imm1_2
1185 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1186 // Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U4Imm1_2
1187 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1188 // Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U2Imm1_2
1189 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1190 // Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U1Imm1_2
1191 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1192 // Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U3Imm1_2
1193 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1194 // Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U4Imm1_2
1195 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1196 // Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U2Imm1_2
1197 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1198 // Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U1Imm1_2
1199 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1200 // Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U3Imm1_2
1201 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1202 // Convert__GR641_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3
1203 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1204 // Convert__GR641_0__VR1281_1__BDAddr32Disp122_2
1205 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
1206 // Convert__VR1281_0__U16Imm1_1__U4Imm1_2
1207 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1208 // Convert__VR1281_0__GR321_1__BDAddr64Disp122_2
1209 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
1210 // Convert__VR1281_0__VR1281_1__BDAddr64Disp122_2
1211 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
1212 // Convert__VR1281_0__BDAddr64Disp122_1__U8Imm1_2
1213 { CVT_95_addRegOperands, 1, CVT_95_addBDAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1214 // Convert__VR1281_0__Tie0_1_1__GR641_1__BDAddr32Disp122_2__U4Imm1_3
1215 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1216 // Convert__VR1281_0__Tie0_1_1__GR321_1__BDAddr32Disp122_2
1217 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
1218 // Convert__VR1281_0__Tie0_1_1__GR641_1__BDAddr32Disp122_2
1219 { CVT_95_addRegOperands, 1, CVT_Tied, Tie0_1_1, CVT_95_addRegOperands, 2, CVT_95_addBDAddrOperands, 3, CVT_Done },
1220 // Convert__VR1281_0__GR641_1__GR641_2
1221 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1222 // Convert__VR1281_0__VR1281_1__VR1281_1
1223 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 2, CVT_Done },
1224 // Convert__VR1281_0
1225 { CVT_95_addRegOperands, 1, CVT_Done },
1226 // Convert__VR1281_0__VR1281_1__U8Imm1_2__U8Imm1_3__U4Imm1_4
1227 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_95_addImmOperands, 5, CVT_Done },
1228 // Convert__VR1281_0__VR1281_1__U16Imm1_2__U4Imm1_3
1229 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1230 // Convert__VR1281_0__VR1281_1__U16Imm1_2
1231 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1232 // Convert__VR1281_0__S16Imm1_1__U4Imm1_2
1233 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1234 // Convert__VR1281_0__S16Imm1_1
1235 { CVT_95_addRegOperands, 1, CVT_95_addImmOperands, 2, CVT_Done },
1236 // Convert__VR1281_0__BDVAddr64Disp123_1__U2Imm1_2
1237 { CVT_95_addRegOperands, 1, CVT_95_addBDVAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1238 // Convert__VR1281_0__BDVAddr64Disp123_1__U1Imm1_2
1239 { CVT_95_addRegOperands, 1, CVT_95_addBDVAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1240 // Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3
1241 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1242 // Convert__VR1281_0__BDXAddr64Disp123_1__U2Imm1_2
1243 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1244 // Convert__VR1281_0__BDXAddr64Disp123_1__U1Imm1_2
1245 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1246 // Convert__VR1281_0__BDXAddr64Disp123_1__U3Imm1_2
1247 { CVT_95_addRegOperands, 1, CVT_95_addBDXAddrOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1248 // Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__imm_95_0
1249 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_95_addImmOperands, 5, CVT_imm_95_0, 0, CVT_Done },
1250 // Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0
1251 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_imm_95_0, 0, CVT_Done },
1252 // Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3
1253 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1254 // Convert__VR641_0__VR641_1__VR641_2
1255 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1256 // Convert__VR321_0__VR321_1__VR321_2
1257 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_Done },
1258 // Convert__VR641_0__VR641_1
1259 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
1260 // Convert__VR321_0__VR321_1
1261 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
1262 // Convert__VR321_0__VR321_1__U4Imm1_2__U4Imm1_3
1263 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1264 // Convert__VR1281_0__VR641_1
1265 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
1266 // Convert__VR641_0__VR321_1
1267 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_Done },
1268 // Convert__VR321_0__VR641_1__U4Imm1_2__U4Imm1_3
1269 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1270 // Convert__VR641_0__VR1281_1__U4Imm1_2__U4Imm1_3
1271 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1272 // Convert__VR641_0__VR641_1__VR641_2__VR641_3
1273 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
1274 // Convert__VR321_0__VR321_1__VR321_2__VR321_3
1275 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addRegOperands, 4, CVT_Done },
1276 // Convert__VR641_0__VR641_1__VR641_2__U4Imm1_3
1277 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1278 // Convert__VR321_0__VR321_1__VR321_2__U4Imm1_3
1279 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addRegOperands, 3, CVT_95_addImmOperands, 4, CVT_Done },
1280 // Convert__VR641_0__VR641_1__U4Imm1_2
1281 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1282 // Convert__VR321_0__VR321_1__U4Imm1_2
1283 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1284 // Convert__VR641_0__VR641_1__U12Imm1_2
1285 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1286 // Convert__VR321_0__VR321_1__U12Imm1_2
1287 { CVT_95_addRegOperands, 1, CVT_95_addRegOperands, 2, CVT_95_addImmOperands, 3, CVT_Done },
1288};
1289
1290void SystemZAsmParser::
1291convertToMCInst(unsigned Kind, MCInst &Inst, unsigned Opcode,
1292 const OperandVector &Operands) {
1293 assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!")(static_cast <bool> (Kind < CVT_NUM_SIGNATURES &&
"Invalid signature!") ? void (0) : __assert_fail ("Kind < CVT_NUM_SIGNATURES && \"Invalid signature!\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 1293, __extension__ __PRETTY_FUNCTION__))
;
1294 const uint8_t *Converter = ConversionTable[Kind];
1295 unsigned OpIdx;
1296 Inst.setOpcode(Opcode);
1297 for (const uint8_t *p = Converter; *p; p+= 2) {
1298 OpIdx = *(p + 1);
1299 switch (*p) {
1300 default: llvm_unreachable("invalid conversion entry!")::llvm::llvm_unreachable_internal("invalid conversion entry!"
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 1300)
;
1301 case CVT_Reg:
1302 static_cast<SystemZOperand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
1303 break;
1304 case CVT_Tied: {
1305 assert(OpIdx < (size_t)(std::end(TiedAsmOperandTable) -(static_cast <bool> (OpIdx < (size_t)(std::end(TiedAsmOperandTable
) - std::begin(TiedAsmOperandTable)) && "Tied operand not found"
) ? void (0) : __assert_fail ("OpIdx < (size_t)(std::end(TiedAsmOperandTable) - std::begin(TiedAsmOperandTable)) && \"Tied operand not found\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 1307, __extension__ __PRETTY_FUNCTION__))
1306 std::begin(TiedAsmOperandTable)) &&(static_cast <bool> (OpIdx < (size_t)(std::end(TiedAsmOperandTable
) - std::begin(TiedAsmOperandTable)) && "Tied operand not found"
) ? void (0) : __assert_fail ("OpIdx < (size_t)(std::end(TiedAsmOperandTable) - std::begin(TiedAsmOperandTable)) && \"Tied operand not found\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 1307, __extension__ __PRETTY_FUNCTION__))
1307 "Tied operand not found")(static_cast <bool> (OpIdx < (size_t)(std::end(TiedAsmOperandTable
) - std::begin(TiedAsmOperandTable)) && "Tied operand not found"
) ? void (0) : __assert_fail ("OpIdx < (size_t)(std::end(TiedAsmOperandTable) - std::begin(TiedAsmOperandTable)) && \"Tied operand not found\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 1307, __extension__ __PRETTY_FUNCTION__))
;
1308 unsigned TiedResOpnd = TiedAsmOperandTable[OpIdx][0];
1309 Inst.addOperand(Inst.getOperand(TiedResOpnd));
1310 break;
1311 }
1312 case CVT_95_addRegOperands:
1313 static_cast<SystemZOperand&>(*Operands[OpIdx]).addRegOperands(Inst, 1);
1314 break;
1315 case CVT_95_addBDXAddrOperands:
1316 static_cast<SystemZOperand&>(*Operands[OpIdx]).addBDXAddrOperands(Inst, 3);
1317 break;
1318 case CVT_95_addImmOperands:
1319 static_cast<SystemZOperand&>(*Operands[OpIdx]).addImmOperands(Inst, 1);
1320 break;
1321 case CVT_95_addBDAddrOperands:
1322 static_cast<SystemZOperand&>(*Operands[OpIdx]).addBDAddrOperands(Inst, 2);
1323 break;
1324 case CVT_95_addBDLAddrOperands:
1325 static_cast<SystemZOperand&>(*Operands[OpIdx]).addBDLAddrOperands(Inst, 3);
1326 break;
1327 case CVT_95_addImmTLSOperands:
1328 static_cast<SystemZOperand&>(*Operands[OpIdx]).addImmTLSOperands(Inst, 2);
1329 break;
1330 case CVT_95_addBDRAddrOperands:
1331 static_cast<SystemZOperand&>(*Operands[OpIdx]).addBDRAddrOperands(Inst, 3);
1332 break;
1333 case CVT_imm_95_0:
1334 Inst.addOperand(MCOperand::createImm(0));
1335 break;
1336 case CVT_95_addBDVAddrOperands:
1337 static_cast<SystemZOperand&>(*Operands[OpIdx]).addBDVAddrOperands(Inst, 3);
1338 break;
1339 }
1340 }
1341}
1342
1343void SystemZAsmParser::
1344convertToMapAndConstraints(unsigned Kind,
1345 const OperandVector &Operands) {
1346 assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!")(static_cast <bool> (Kind < CVT_NUM_SIGNATURES &&
"Invalid signature!") ? void (0) : __assert_fail ("Kind < CVT_NUM_SIGNATURES && \"Invalid signature!\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 1346, __extension__ __PRETTY_FUNCTION__))
;
1347 unsigned NumMCOperands = 0;
1348 const uint8_t *Converter = ConversionTable[Kind];
1349 for (const uint8_t *p = Converter; *p; p+= 2) {
1350 switch (*p) {
1351 default: llvm_unreachable("invalid conversion entry!")::llvm::llvm_unreachable_internal("invalid conversion entry!"
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 1351)
;
1352 case CVT_Reg:
1353 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1354 Operands[*(p + 1)]->setConstraint("r");
1355 ++NumMCOperands;
1356 break;
1357 case CVT_Tied:
1358 ++NumMCOperands;
1359 break;
1360 case CVT_95_addRegOperands:
1361 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1362 Operands[*(p + 1)]->setConstraint("m");
1363 NumMCOperands += 1;
1364 break;
1365 case CVT_95_addBDXAddrOperands:
1366 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1367 Operands[*(p + 1)]->setConstraint("m");
1368 NumMCOperands += 3;
1369 break;
1370 case CVT_95_addImmOperands:
1371 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1372 Operands[*(p + 1)]->setConstraint("m");
1373 NumMCOperands += 1;
1374 break;
1375 case CVT_95_addBDAddrOperands:
1376 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1377 Operands[*(p + 1)]->setConstraint("m");
1378 NumMCOperands += 2;
1379 break;
1380 case CVT_95_addBDLAddrOperands:
1381 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1382 Operands[*(p + 1)]->setConstraint("m");
1383 NumMCOperands += 3;
1384 break;
1385 case CVT_95_addImmTLSOperands:
1386 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1387 Operands[*(p + 1)]->setConstraint("m");
1388 NumMCOperands += 2;
1389 break;
1390 case CVT_95_addBDRAddrOperands:
1391 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1392 Operands[*(p + 1)]->setConstraint("m");
1393 NumMCOperands += 3;
1394 break;
1395 case CVT_imm_95_0:
1396 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1397 Operands[*(p + 1)]->setConstraint("");
1398 ++NumMCOperands;
1399 break;
1400 case CVT_95_addBDVAddrOperands:
1401 Operands[*(p + 1)]->setMCOperandNum(NumMCOperands);
1402 Operands[*(p + 1)]->setConstraint("m");
1403 NumMCOperands += 3;
1404 break;
1405 }
1406 }
1407}
1408
1409namespace {
1410
1411/// MatchClassKind - The kinds of classes which participate in
1412/// instruction matching.
1413enum MatchClassKind {
1414 InvalidMatchClass = 0,
1415 OptionalMatchClass = 1,
1416 MCK_LAST_TOKEN = OptionalMatchClass,
1417 MCK_CCRegs, // register class 'CCRegs'
1418 MCK_ADDR128Bit, // register class 'ADDR128Bit'
1419 MCK_FP128Bit, // register class 'FP128Bit'
1420 MCK_GR128Bit, // register class 'GR128Bit'
1421 MCK_ADDR32Bit, // register class 'ADDR32Bit'
1422 MCK_ADDR64Bit, // register class 'ADDR64Bit'
1423 MCK_AR32Bit, // register class 'AR32Bit'
1424 MCK_CR64Bit, // register class 'CR64Bit'
1425 MCK_FP32Bit, // register class 'FP32Bit'
1426 MCK_FP64Bit, // register class 'FP64Bit'
1427 MCK_GR32Bit, // register class 'GR32Bit'
1428 MCK_GR64Bit, // register class 'GR64Bit'
1429 MCK_GRH32Bit, // register class 'GRH32Bit'
1430 MCK_VF128Bit, // register class 'VF128Bit'
1431 MCK_Reg13, // derived register class
1432 MCK_GRX32Bit, // register class 'GRX32Bit'
1433 MCK_VR128Bit, // register class 'VR128Bit'
1434 MCK_VR32Bit, // register class 'VR32Bit'
1435 MCK_VR64Bit, // register class 'VR64Bit'
1436 MCK_AnyRegBit, // register class 'AnyRegBit'
1437 MCK_LAST_REGISTER = MCK_AnyRegBit,
1438 MCK_ADDR128, // user defined class 'ADDR128AsmOperand'
1439 MCK_ADDR32, // user defined class 'ADDR32AsmOperand'
1440 MCK_ADDR64, // user defined class 'ADDR64AsmOperand'
1441 MCK_AR32, // user defined class 'AR32AsmOperand'
1442 MCK_AnyReg, // user defined class 'AnyRegAsmOperand'
1443 MCK_BDAddr32Disp12, // user defined class 'BDAddr32Disp12'
1444 MCK_BDAddr32Disp20, // user defined class 'BDAddr32Disp20'
1445 MCK_BDAddr64Disp12, // user defined class 'BDAddr64Disp12'
1446 MCK_BDAddr64Disp20, // user defined class 'BDAddr64Disp20'
1447 MCK_BDLAddr64Disp12Len4, // user defined class 'BDLAddr64Disp12Len4'
1448 MCK_BDLAddr64Disp12Len8, // user defined class 'BDLAddr64Disp12Len8'
1449 MCK_BDRAddr64Disp12, // user defined class 'BDRAddr64Disp12'
1450 MCK_BDVAddr64Disp12, // user defined class 'BDVAddr64Disp12'
1451 MCK_BDXAddr64Disp12, // user defined class 'BDXAddr64Disp12'
1452 MCK_BDXAddr64Disp20, // user defined class 'BDXAddr64Disp20'
1453 MCK_CR64, // user defined class 'CR64AsmOperand'
1454 MCK_FP128, // user defined class 'FP128AsmOperand'
1455 MCK_FP32, // user defined class 'FP32AsmOperand'
1456 MCK_FP64, // user defined class 'FP64AsmOperand'
1457 MCK_GR128, // user defined class 'GR128AsmOperand'
1458 MCK_GR32, // user defined class 'GR32AsmOperand'
1459 MCK_GR64, // user defined class 'GR64AsmOperand'
1460 MCK_GRH32, // user defined class 'GRH32AsmOperand'
1461 MCK_GRX32, // user defined class 'GRX32AsmOperand'
1462 MCK_Imm, // user defined class 'ImmAsmOperand'
1463 MCK_PCRel12, // user defined class 'PCRel12'
1464 MCK_PCRel16, // user defined class 'PCRel16'
1465 MCK_PCRel24, // user defined class 'PCRel24'
1466 MCK_PCRel32, // user defined class 'PCRel32'
1467 MCK_PCRelTLS16, // user defined class 'PCRelTLS16'
1468 MCK_PCRelTLS32, // user defined class 'PCRelTLS32'
1469 MCK_S16Imm, // user defined class 'S16Imm'
1470 MCK_S32Imm, // user defined class 'S32Imm'
1471 MCK_S8Imm, // user defined class 'S8Imm'
1472 MCK_U12Imm, // user defined class 'U12Imm'
1473 MCK_U16Imm, // user defined class 'U16Imm'
1474 MCK_U1Imm, // user defined class 'U1Imm'
1475 MCK_U2Imm, // user defined class 'U2Imm'
1476 MCK_U32Imm, // user defined class 'U32Imm'
1477 MCK_U3Imm, // user defined class 'U3Imm'
1478 MCK_U48Imm, // user defined class 'U48Imm'
1479 MCK_U4Imm, // user defined class 'U4Imm'
1480 MCK_U6Imm, // user defined class 'U6Imm'
1481 MCK_U8Imm, // user defined class 'U8Imm'
1482 MCK_VF128, // user defined class 'VF128AsmOperand'
1483 MCK_VR128, // user defined class 'VR128AsmOperand'
1484 MCK_VR32, // user defined class 'VR32AsmOperand'
1485 MCK_VR64, // user defined class 'VR64AsmOperand'
1486 NumMatchClassKinds
1487};
1488
1489}
1490
1491static unsigned getDiagKindFromRegisterClass(MatchClassKind RegisterClass) {
1492 return MCTargetAsmParser::Match_InvalidOperand;
1493}
1494
1495static MatchClassKind matchTokenString(StringRef Name) {
1496 return InvalidMatchClass;
1497}
1498
1499/// isSubclass - Compute whether \p A is a subclass of \p B.
1500static bool isSubclass(MatchClassKind A, MatchClassKind B) {
1501 if (A == B)
1502 return true;
1503
1504 switch (A) {
1505 default:
1506 return false;
1507
1508 case MCK_ADDR128Bit:
1509 return B == MCK_GR128Bit;
1510
1511 case MCK_ADDR32Bit:
1512 switch (B) {
1513 default: return false;
1514 case MCK_GR32Bit: return true;
1515 case MCK_GRX32Bit: return true;
1516 }
1517
1518 case MCK_ADDR64Bit:
1519 switch (B) {
1520 default: return false;
1521 case MCK_GR64Bit: return true;
1522 case MCK_AnyRegBit: return true;
1523 }
1524
1525 case MCK_FP32Bit:
1526 return B == MCK_VR32Bit;
1527
1528 case MCK_FP64Bit:
1529 switch (B) {
1530 default: return false;
1531 case MCK_Reg13: return true;
1532 case MCK_VR64Bit: return true;
1533 case MCK_AnyRegBit: return true;
1534 }
1535
1536 case MCK_GR32Bit:
1537 return B == MCK_GRX32Bit;
1538
1539 case MCK_GR64Bit:
1540 return B == MCK_AnyRegBit;
1541
1542 case MCK_GRH32Bit:
1543 return B == MCK_GRX32Bit;
1544
1545 case MCK_VF128Bit:
1546 switch (B) {
1547 default: return false;
1548 case MCK_Reg13: return true;
1549 case MCK_VR128Bit: return true;
1550 case MCK_AnyRegBit: return true;
1551 }
1552
1553 case MCK_Reg13:
1554 return B == MCK_AnyRegBit;
1555 }
1556}
1557
1558static unsigned validateOperandClass(MCParsedAsmOperand &GOp, MatchClassKind Kind) {
1559 SystemZOperand &Operand = (SystemZOperand&)GOp;
1560 if (Kind == InvalidMatchClass)
1561 return MCTargetAsmParser::Match_InvalidOperand;
1562
1563 if (Operand.isToken() && Kind <= MCK_LAST_TOKEN)
1564 return isSubclass(matchTokenString(Operand.getToken()), Kind) ?
1565 MCTargetAsmParser::Match_Success :
1566 MCTargetAsmParser::Match_InvalidOperand;
1567
1568 switch (Kind) {
1569 default: break;
1570 // 'ADDR128' class
1571 case MCK_ADDR128:
1572 if (Operand.isADDR128())
1573 return MCTargetAsmParser::Match_Success;
1574 break;
1575 // 'ADDR32' class
1576 case MCK_ADDR32:
1577 if (Operand.isADDR32())
1578 return MCTargetAsmParser::Match_Success;
1579 break;
1580 // 'ADDR64' class
1581 case MCK_ADDR64:
1582 if (Operand.isADDR64())
1583 return MCTargetAsmParser::Match_Success;
1584 break;
1585 // 'AR32' class
1586 case MCK_AR32:
1587 if (Operand.isAR32())
1588 return MCTargetAsmParser::Match_Success;
1589 break;
1590 // 'AnyReg' class
1591 case MCK_AnyReg:
1592 if (Operand.isAnyReg())
1593 return MCTargetAsmParser::Match_Success;
1594 break;
1595 // 'BDAddr32Disp12' class
1596 case MCK_BDAddr32Disp12:
1597 if (Operand.isBDAddr32Disp12())
1598 return MCTargetAsmParser::Match_Success;
1599 break;
1600 // 'BDAddr32Disp20' class
1601 case MCK_BDAddr32Disp20:
1602 if (Operand.isBDAddr32Disp20())
1603 return MCTargetAsmParser::Match_Success;
1604 break;
1605 // 'BDAddr64Disp12' class
1606 case MCK_BDAddr64Disp12:
1607 if (Operand.isBDAddr64Disp12())
1608 return MCTargetAsmParser::Match_Success;
1609 break;
1610 // 'BDAddr64Disp20' class
1611 case MCK_BDAddr64Disp20:
1612 if (Operand.isBDAddr64Disp20())
1613 return MCTargetAsmParser::Match_Success;
1614 break;
1615 // 'BDLAddr64Disp12Len4' class
1616 case MCK_BDLAddr64Disp12Len4:
1617 if (Operand.isBDLAddr64Disp12Len4())
1618 return MCTargetAsmParser::Match_Success;
1619 break;
1620 // 'BDLAddr64Disp12Len8' class
1621 case MCK_BDLAddr64Disp12Len8:
1622 if (Operand.isBDLAddr64Disp12Len8())
1623 return MCTargetAsmParser::Match_Success;
1624 break;
1625 // 'BDRAddr64Disp12' class
1626 case MCK_BDRAddr64Disp12:
1627 if (Operand.isBDRAddr64Disp12())
1628 return MCTargetAsmParser::Match_Success;
1629 break;
1630 // 'BDVAddr64Disp12' class
1631 case MCK_BDVAddr64Disp12:
1632 if (Operand.isBDVAddr64Disp12())
1633 return MCTargetAsmParser::Match_Success;
1634 break;
1635 // 'BDXAddr64Disp12' class
1636 case MCK_BDXAddr64Disp12:
1637 if (Operand.isBDXAddr64Disp12())
1638 return MCTargetAsmParser::Match_Success;
1639 break;
1640 // 'BDXAddr64Disp20' class
1641 case MCK_BDXAddr64Disp20:
1642 if (Operand.isBDXAddr64Disp20())
1643 return MCTargetAsmParser::Match_Success;
1644 break;
1645 // 'CR64' class
1646 case MCK_CR64:
1647 if (Operand.isCR64())
1648 return MCTargetAsmParser::Match_Success;
1649 break;
1650 // 'FP128' class
1651 case MCK_FP128:
1652 if (Operand.isFP128())
1653 return MCTargetAsmParser::Match_Success;
1654 break;
1655 // 'FP32' class
1656 case MCK_FP32:
1657 if (Operand.isFP32())
1658 return MCTargetAsmParser::Match_Success;
1659 break;
1660 // 'FP64' class
1661 case MCK_FP64:
1662 if (Operand.isFP64())
1663 return MCTargetAsmParser::Match_Success;
1664 break;
1665 // 'GR128' class
1666 case MCK_GR128:
1667 if (Operand.isGR128())
1668 return MCTargetAsmParser::Match_Success;
1669 break;
1670 // 'GR32' class
1671 case MCK_GR32:
1672 if (Operand.isGR32())
1673 return MCTargetAsmParser::Match_Success;
1674 break;
1675 // 'GR64' class
1676 case MCK_GR64:
1677 if (Operand.isGR64())
1678 return MCTargetAsmParser::Match_Success;
1679 break;
1680 // 'GRH32' class
1681 case MCK_GRH32:
1682 if (Operand.isGRH32())
1683 return MCTargetAsmParser::Match_Success;
1684 break;
1685 // 'GRX32' class
1686 case MCK_GRX32:
1687 if (Operand.isGRX32())
1688 return MCTargetAsmParser::Match_Success;
1689 break;
1690 // 'Imm' class
1691 case MCK_Imm:
1692 if (Operand.isImm())
1693 return MCTargetAsmParser::Match_Success;
1694 break;
1695 // 'PCRel12' class
1696 case MCK_PCRel12:
1697 if (Operand.isImm())
1698 return MCTargetAsmParser::Match_Success;
1699 break;
1700 // 'PCRel16' class
1701 case MCK_PCRel16:
1702 if (Operand.isImm())
1703 return MCTargetAsmParser::Match_Success;
1704 break;
1705 // 'PCRel24' class
1706 case MCK_PCRel24:
1707 if (Operand.isImm())
1708 return MCTargetAsmParser::Match_Success;
1709 break;
1710 // 'PCRel32' class
1711 case MCK_PCRel32:
1712 if (Operand.isImm())
1713 return MCTargetAsmParser::Match_Success;
1714 break;
1715 // 'PCRelTLS16' class
1716 case MCK_PCRelTLS16:
1717 if (Operand.isImmTLS())
1718 return MCTargetAsmParser::Match_Success;
1719 break;
1720 // 'PCRelTLS32' class
1721 case MCK_PCRelTLS32:
1722 if (Operand.isImmTLS())
1723 return MCTargetAsmParser::Match_Success;
1724 break;
1725 // 'S16Imm' class
1726 case MCK_S16Imm:
1727 if (Operand.isS16Imm())
1728 return MCTargetAsmParser::Match_Success;
1729 break;
1730 // 'S32Imm' class
1731 case MCK_S32Imm:
1732 if (Operand.isS32Imm())
1733 return MCTargetAsmParser::Match_Success;
1734 break;
1735 // 'S8Imm' class
1736 case MCK_S8Imm:
1737 if (Operand.isS8Imm())
1738 return MCTargetAsmParser::Match_Success;
1739 break;
1740 // 'U12Imm' class
1741 case MCK_U12Imm:
1742 if (Operand.isU12Imm())
1743 return MCTargetAsmParser::Match_Success;
1744 break;
1745 // 'U16Imm' class
1746 case MCK_U16Imm:
1747 if (Operand.isU16Imm())
1748 return MCTargetAsmParser::Match_Success;
1749 break;
1750 // 'U1Imm' class
1751 case MCK_U1Imm:
1752 if (Operand.isU1Imm())
1753 return MCTargetAsmParser::Match_Success;
1754 break;
1755 // 'U2Imm' class
1756 case MCK_U2Imm:
1757 if (Operand.isU2Imm())
1758 return MCTargetAsmParser::Match_Success;
1759 break;
1760 // 'U32Imm' class
1761 case MCK_U32Imm:
1762 if (Operand.isU32Imm())
1763 return MCTargetAsmParser::Match_Success;
1764 break;
1765 // 'U3Imm' class
1766 case MCK_U3Imm:
1767 if (Operand.isU3Imm())
1768 return MCTargetAsmParser::Match_Success;
1769 break;
1770 // 'U48Imm' class
1771 case MCK_U48Imm:
1772 if (Operand.isU48Imm())
1773 return MCTargetAsmParser::Match_Success;
1774 break;
1775 // 'U4Imm' class
1776 case MCK_U4Imm:
1777 if (Operand.isU4Imm())
1778 return MCTargetAsmParser::Match_Success;
1779 break;
1780 // 'U6Imm' class
1781 case MCK_U6Imm:
1782 if (Operand.isU6Imm())
1783 return MCTargetAsmParser::Match_Success;
1784 break;
1785 // 'U8Imm' class
1786 case MCK_U8Imm:
1787 if (Operand.isU8Imm())
1788 return MCTargetAsmParser::Match_Success;
1789 break;
1790 // 'VF128' class
1791 case MCK_VF128:
1792 if (Operand.isVF128())
1793 return MCTargetAsmParser::Match_Success;
1794 break;
1795 // 'VR128' class
1796 case MCK_VR128:
1797 if (Operand.isVR128())
1798 return MCTargetAsmParser::Match_Success;
1799 break;
1800 // 'VR32' class
1801 case MCK_VR32:
1802 if (Operand.isVR32())
1803 return MCTargetAsmParser::Match_Success;
1804 break;
1805 // 'VR64' class
1806 case MCK_VR64:
1807 if (Operand.isVR64())
1808 return MCTargetAsmParser::Match_Success;
1809 break;
1810 } // end switch (Kind)
1811
1812 if (Operand.isReg()) {
1813 MatchClassKind OpKind;
1814 switch (Operand.getReg()) {
1815 default: OpKind = InvalidMatchClass; break;
1816 case SystemZ::R0L: OpKind = MCK_GR32Bit; break;
1817 case SystemZ::R1L: OpKind = MCK_ADDR32Bit; break;
1818 case SystemZ::R2L: OpKind = MCK_ADDR32Bit; break;
1819 case SystemZ::R3L: OpKind = MCK_ADDR32Bit; break;
1820 case SystemZ::R4L: OpKind = MCK_ADDR32Bit; break;
1821 case SystemZ::R5L: OpKind = MCK_ADDR32Bit; break;
1822 case SystemZ::R6L: OpKind = MCK_ADDR32Bit; break;
1823 case SystemZ::R7L: OpKind = MCK_ADDR32Bit; break;
1824 case SystemZ::R8L: OpKind = MCK_ADDR32Bit; break;
1825 case SystemZ::R9L: OpKind = MCK_ADDR32Bit; break;
1826 case SystemZ::R10L: OpKind = MCK_ADDR32Bit; break;
1827 case SystemZ::R11L: OpKind = MCK_ADDR32Bit; break;
1828 case SystemZ::R12L: OpKind = MCK_ADDR32Bit; break;
1829 case SystemZ::R13L: OpKind = MCK_ADDR32Bit; break;
1830 case SystemZ::R14L: OpKind = MCK_ADDR32Bit; break;
1831 case SystemZ::R15L: OpKind = MCK_ADDR32Bit; break;
1832 case SystemZ::R0H: OpKind = MCK_GRH32Bit; break;
1833 case SystemZ::R1H: OpKind = MCK_GRH32Bit; break;
1834 case SystemZ::R2H: OpKind = MCK_GRH32Bit; break;
1835 case SystemZ::R3H: OpKind = MCK_GRH32Bit; break;
1836 case SystemZ::R4H: OpKind = MCK_GRH32Bit; break;
1837 case SystemZ::R5H: OpKind = MCK_GRH32Bit; break;
1838 case SystemZ::R6H: OpKind = MCK_GRH32Bit; break;
1839 case SystemZ::R7H: OpKind = MCK_GRH32Bit; break;
1840 case SystemZ::R8H: OpKind = MCK_GRH32Bit; break;
1841 case SystemZ::R9H: OpKind = MCK_GRH32Bit; break;
1842 case SystemZ::R10H: OpKind = MCK_GRH32Bit; break;
1843 case SystemZ::R11H: OpKind = MCK_GRH32Bit; break;
1844 case SystemZ::R12H: OpKind = MCK_GRH32Bit; break;
1845 case SystemZ::R13H: OpKind = MCK_GRH32Bit; break;
1846 case SystemZ::R14H: OpKind = MCK_GRH32Bit; break;
1847 case SystemZ::R15H: OpKind = MCK_GRH32Bit; break;
1848 case SystemZ::R0D: OpKind = MCK_GR64Bit; break;
1849 case SystemZ::R1D: OpKind = MCK_ADDR64Bit; break;
1850 case SystemZ::R2D: OpKind = MCK_ADDR64Bit; break;
1851 case SystemZ::R3D: OpKind = MCK_ADDR64Bit; break;
1852 case SystemZ::R4D: OpKind = MCK_ADDR64Bit; break;
1853 case SystemZ::R5D: OpKind = MCK_ADDR64Bit; break;
1854 case SystemZ::R6D: OpKind = MCK_ADDR64Bit; break;
1855 case SystemZ::R7D: OpKind = MCK_ADDR64Bit; break;
1856 case SystemZ::R8D: OpKind = MCK_ADDR64Bit; break;
1857 case SystemZ::R9D: OpKind = MCK_ADDR64Bit; break;
1858 case SystemZ::R10D: OpKind = MCK_ADDR64Bit; break;
1859 case SystemZ::R11D: OpKind = MCK_ADDR64Bit; break;
1860 case SystemZ::R12D: OpKind = MCK_ADDR64Bit; break;
1861 case SystemZ::R13D: OpKind = MCK_ADDR64Bit; break;
1862 case SystemZ::R14D: OpKind = MCK_ADDR64Bit; break;
1863 case SystemZ::R15D: OpKind = MCK_ADDR64Bit; break;
1864 case SystemZ::R0Q: OpKind = MCK_GR128Bit; break;
1865 case SystemZ::R2Q: OpKind = MCK_ADDR128Bit; break;
1866 case SystemZ::R4Q: OpKind = MCK_ADDR128Bit; break;
1867 case SystemZ::R6Q: OpKind = MCK_ADDR128Bit; break;
1868 case SystemZ::R8Q: OpKind = MCK_ADDR128Bit; break;
1869 case SystemZ::R10Q: OpKind = MCK_ADDR128Bit; break;
1870 case SystemZ::R12Q: OpKind = MCK_ADDR128Bit; break;
1871 case SystemZ::R14Q: OpKind = MCK_ADDR128Bit; break;
1872 case SystemZ::F0S: OpKind = MCK_FP32Bit; break;
1873 case SystemZ::F1S: OpKind = MCK_FP32Bit; break;
1874 case SystemZ::F2S: OpKind = MCK_FP32Bit; break;
1875 case SystemZ::F3S: OpKind = MCK_FP32Bit; break;
1876 case SystemZ::F4S: OpKind = MCK_FP32Bit; break;
1877 case SystemZ::F5S: OpKind = MCK_FP32Bit; break;
1878 case SystemZ::F6S: OpKind = MCK_FP32Bit; break;
1879 case SystemZ::F7S: OpKind = MCK_FP32Bit; break;
1880 case SystemZ::F8S: OpKind = MCK_FP32Bit; break;
1881 case SystemZ::F9S: OpKind = MCK_FP32Bit; break;
1882 case SystemZ::F10S: OpKind = MCK_FP32Bit; break;
1883 case SystemZ::F11S: OpKind = MCK_FP32Bit; break;
1884 case SystemZ::F12S: OpKind = MCK_FP32Bit; break;
1885 case SystemZ::F13S: OpKind = MCK_FP32Bit; break;
1886 case SystemZ::F14S: OpKind = MCK_FP32Bit; break;
1887 case SystemZ::F15S: OpKind = MCK_FP32Bit; break;
1888 case SystemZ::F0D: OpKind = MCK_FP64Bit; break;
1889 case SystemZ::F1D: OpKind = MCK_FP64Bit; break;
1890 case SystemZ::F2D: OpKind = MCK_FP64Bit; break;
1891 case SystemZ::F3D: OpKind = MCK_FP64Bit; break;
1892 case SystemZ::F4D: OpKind = MCK_FP64Bit; break;
1893 case SystemZ::F5D: OpKind = MCK_FP64Bit; break;
1894 case SystemZ::F6D: OpKind = MCK_FP64Bit; break;
1895 case SystemZ::F7D: OpKind = MCK_FP64Bit; break;
1896 case SystemZ::F8D: OpKind = MCK_FP64Bit; break;
1897 case SystemZ::F9D: OpKind = MCK_FP64Bit; break;
1898 case SystemZ::F10D: OpKind = MCK_FP64Bit; break;
1899 case SystemZ::F11D: OpKind = MCK_FP64Bit; break;
1900 case SystemZ::F12D: OpKind = MCK_FP64Bit; break;
1901 case SystemZ::F13D: OpKind = MCK_FP64Bit; break;
1902 case SystemZ::F14D: OpKind = MCK_FP64Bit; break;
1903 case SystemZ::F15D: OpKind = MCK_FP64Bit; break;
1904 case SystemZ::F16S: OpKind = MCK_VR32Bit; break;
1905 case SystemZ::F17S: OpKind = MCK_VR32Bit; break;
1906 case SystemZ::F18S: OpKind = MCK_VR32Bit; break;
1907 case SystemZ::F19S: OpKind = MCK_VR32Bit; break;
1908 case SystemZ::F20S: OpKind = MCK_VR32Bit; break;
1909 case SystemZ::F21S: OpKind = MCK_VR32Bit; break;
1910 case SystemZ::F22S: OpKind = MCK_VR32Bit; break;
1911 case SystemZ::F23S: OpKind = MCK_VR32Bit; break;
1912 case SystemZ::F24S: OpKind = MCK_VR32Bit; break;
1913 case SystemZ::F25S: OpKind = MCK_VR32Bit; break;
1914 case SystemZ::F26S: OpKind = MCK_VR32Bit; break;
1915 case SystemZ::F27S: OpKind = MCK_VR32Bit; break;
1916 case SystemZ::F28S: OpKind = MCK_VR32Bit; break;
1917 case SystemZ::F29S: OpKind = MCK_VR32Bit; break;
1918 case SystemZ::F30S: OpKind = MCK_VR32Bit; break;
1919 case SystemZ::F31S: OpKind = MCK_VR32Bit; break;
1920 case SystemZ::F16D: OpKind = MCK_VR64Bit; break;
1921 case SystemZ::F17D: OpKind = MCK_VR64Bit; break;
1922 case SystemZ::F18D: OpKind = MCK_VR64Bit; break;
1923 case SystemZ::F19D: OpKind = MCK_VR64Bit; break;
1924 case SystemZ::F20D: OpKind = MCK_VR64Bit; break;
1925 case SystemZ::F21D: OpKind = MCK_VR64Bit; break;
1926 case SystemZ::F22D: OpKind = MCK_VR64Bit; break;
1927 case SystemZ::F23D: OpKind = MCK_VR64Bit; break;
1928 case SystemZ::F24D: OpKind = MCK_VR64Bit; break;
1929 case SystemZ::F25D: OpKind = MCK_VR64Bit; break;
1930 case SystemZ::F26D: OpKind = MCK_VR64Bit; break;
1931 case SystemZ::F27D: OpKind = MCK_VR64Bit; break;
1932 case SystemZ::F28D: OpKind = MCK_VR64Bit; break;
1933 case SystemZ::F29D: OpKind = MCK_VR64Bit; break;
1934 case SystemZ::F30D: OpKind = MCK_VR64Bit; break;
1935 case SystemZ::F31D: OpKind = MCK_VR64Bit; break;
1936 case SystemZ::F0Q: OpKind = MCK_FP128Bit; break;
1937 case SystemZ::F1Q: OpKind = MCK_FP128Bit; break;
1938 case SystemZ::F4Q: OpKind = MCK_FP128Bit; break;
1939 case SystemZ::F5Q: OpKind = MCK_FP128Bit; break;
1940 case SystemZ::F8Q: OpKind = MCK_FP128Bit; break;
1941 case SystemZ::F9Q: OpKind = MCK_FP128Bit; break;
1942 case SystemZ::F12Q: OpKind = MCK_FP128Bit; break;
1943 case SystemZ::F13Q: OpKind = MCK_FP128Bit; break;
1944 case SystemZ::V0: OpKind = MCK_VF128Bit; break;
1945 case SystemZ::V1: OpKind = MCK_VF128Bit; break;
1946 case SystemZ::V2: OpKind = MCK_VF128Bit; break;
1947 case SystemZ::V3: OpKind = MCK_VF128Bit; break;
1948 case SystemZ::V4: OpKind = MCK_VF128Bit; break;
1949 case SystemZ::V5: OpKind = MCK_VF128Bit; break;
1950 case SystemZ::V6: OpKind = MCK_VF128Bit; break;
1951 case SystemZ::V7: OpKind = MCK_VF128Bit; break;
1952 case SystemZ::V8: OpKind = MCK_VF128Bit; break;
1953 case SystemZ::V9: OpKind = MCK_VF128Bit; break;
1954 case SystemZ::V10: OpKind = MCK_VF128Bit; break;
1955 case SystemZ::V11: OpKind = MCK_VF128Bit; break;
1956 case SystemZ::V12: OpKind = MCK_VF128Bit; break;
1957 case SystemZ::V13: OpKind = MCK_VF128Bit; break;
1958 case SystemZ::V14: OpKind = MCK_VF128Bit; break;
1959 case SystemZ::V15: OpKind = MCK_VF128Bit; break;
1960 case SystemZ::V16: OpKind = MCK_VR128Bit; break;
1961 case SystemZ::V17: OpKind = MCK_VR128Bit; break;
1962 case SystemZ::V18: OpKind = MCK_VR128Bit; break;
1963 case SystemZ::V19: OpKind = MCK_VR128Bit; break;
1964 case SystemZ::V20: OpKind = MCK_VR128Bit; break;
1965 case SystemZ::V21: OpKind = MCK_VR128Bit; break;
1966 case SystemZ::V22: OpKind = MCK_VR128Bit; break;
1967 case SystemZ::V23: OpKind = MCK_VR128Bit; break;
1968 case SystemZ::V24: OpKind = MCK_VR128Bit; break;
1969 case SystemZ::V25: OpKind = MCK_VR128Bit; break;
1970 case SystemZ::V26: OpKind = MCK_VR128Bit; break;
1971 case SystemZ::V27: OpKind = MCK_VR128Bit; break;
1972 case SystemZ::V28: OpKind = MCK_VR128Bit; break;
1973 case SystemZ::V29: OpKind = MCK_VR128Bit; break;
1974 case SystemZ::V30: OpKind = MCK_VR128Bit; break;
1975 case SystemZ::V31: OpKind = MCK_VR128Bit; break;
1976 case SystemZ::CC: OpKind = MCK_CCRegs; break;
1977 case SystemZ::A0: OpKind = MCK_AR32Bit; break;
1978 case SystemZ::A1: OpKind = MCK_AR32Bit; break;
1979 case SystemZ::A2: OpKind = MCK_AR32Bit; break;
1980 case SystemZ::A3: OpKind = MCK_AR32Bit; break;
1981 case SystemZ::A4: OpKind = MCK_AR32Bit; break;
1982 case SystemZ::A5: OpKind = MCK_AR32Bit; break;
1983 case SystemZ::A6: OpKind = MCK_AR32Bit; break;
1984 case SystemZ::A7: OpKind = MCK_AR32Bit; break;
1985 case SystemZ::A8: OpKind = MCK_AR32Bit; break;
1986 case SystemZ::A9: OpKind = MCK_AR32Bit; break;
1987 case SystemZ::A10: OpKind = MCK_AR32Bit; break;
1988 case SystemZ::A11: OpKind = MCK_AR32Bit; break;
1989 case SystemZ::A12: OpKind = MCK_AR32Bit; break;
1990 case SystemZ::A13: OpKind = MCK_AR32Bit; break;
1991 case SystemZ::A14: OpKind = MCK_AR32Bit; break;
1992 case SystemZ::A15: OpKind = MCK_AR32Bit; break;
1993 case SystemZ::C0: OpKind = MCK_CR64Bit; break;
1994 case SystemZ::C1: OpKind = MCK_CR64Bit; break;
1995 case SystemZ::C2: OpKind = MCK_CR64Bit; break;
1996 case SystemZ::C3: OpKind = MCK_CR64Bit; break;
1997 case SystemZ::C4: OpKind = MCK_CR64Bit; break;
1998 case SystemZ::C5: OpKind = MCK_CR64Bit; break;
1999 case SystemZ::C6: OpKind = MCK_CR64Bit; break;
2000 case SystemZ::C7: OpKind = MCK_CR64Bit; break;
2001 case SystemZ::C8: OpKind = MCK_CR64Bit; break;
2002 case SystemZ::C9: OpKind = MCK_CR64Bit; break;
2003 case SystemZ::C10: OpKind = MCK_CR64Bit; break;
2004 case SystemZ::C11: OpKind = MCK_CR64Bit; break;
2005 case SystemZ::C12: OpKind = MCK_CR64Bit; break;
2006 case SystemZ::C13: OpKind = MCK_CR64Bit; break;
2007 case SystemZ::C14: OpKind = MCK_CR64Bit; break;
2008 case SystemZ::C15: OpKind = MCK_CR64Bit; break;
2009 }
2010 return isSubclass(OpKind, Kind) ? (unsigned)MCTargetAsmParser::Match_Success :
2011 getDiagKindFromRegisterClass(Kind);
2012 }
2013
2014 if (Kind > MCK_LAST_TOKEN && Kind <= MCK_LAST_REGISTER)
2015 return getDiagKindFromRegisterClass(Kind);
2016
2017 return MCTargetAsmParser::Match_InvalidOperand;
2018}
2019
2020#ifndef NDEBUG
2021const char *getMatchClassName(MatchClassKind Kind) {
2022 switch (Kind) {
2023 case InvalidMatchClass: return "InvalidMatchClass";
2024 case OptionalMatchClass: return "OptionalMatchClass";
2025 case MCK_CCRegs: return "MCK_CCRegs";
2026 case MCK_ADDR128Bit: return "MCK_ADDR128Bit";
2027 case MCK_FP128Bit: return "MCK_FP128Bit";
2028 case MCK_GR128Bit: return "MCK_GR128Bit";
2029 case MCK_ADDR32Bit: return "MCK_ADDR32Bit";
2030 case MCK_ADDR64Bit: return "MCK_ADDR64Bit";
2031 case MCK_AR32Bit: return "MCK_AR32Bit";
2032 case MCK_CR64Bit: return "MCK_CR64Bit";
2033 case MCK_FP32Bit: return "MCK_FP32Bit";
2034 case MCK_FP64Bit: return "MCK_FP64Bit";
2035 case MCK_GR32Bit: return "MCK_GR32Bit";
2036 case MCK_GR64Bit: return "MCK_GR64Bit";
2037 case MCK_GRH32Bit: return "MCK_GRH32Bit";
2038 case MCK_VF128Bit: return "MCK_VF128Bit";
2039 case MCK_Reg13: return "MCK_Reg13";
2040 case MCK_GRX32Bit: return "MCK_GRX32Bit";
2041 case MCK_VR128Bit: return "MCK_VR128Bit";
2042 case MCK_VR32Bit: return "MCK_VR32Bit";
2043 case MCK_VR64Bit: return "MCK_VR64Bit";
2044 case MCK_AnyRegBit: return "MCK_AnyRegBit";
2045 case MCK_ADDR128: return "MCK_ADDR128";
2046 case MCK_ADDR32: return "MCK_ADDR32";
2047 case MCK_ADDR64: return "MCK_ADDR64";
2048 case MCK_AR32: return "MCK_AR32";
2049 case MCK_AnyReg: return "MCK_AnyReg";
2050 case MCK_BDAddr32Disp12: return "MCK_BDAddr32Disp12";
2051 case MCK_BDAddr32Disp20: return "MCK_BDAddr32Disp20";
2052 case MCK_BDAddr64Disp12: return "MCK_BDAddr64Disp12";
2053 case MCK_BDAddr64Disp20: return "MCK_BDAddr64Disp20";
2054 case MCK_BDLAddr64Disp12Len4: return "MCK_BDLAddr64Disp12Len4";
2055 case MCK_BDLAddr64Disp12Len8: return "MCK_BDLAddr64Disp12Len8";
2056 case MCK_BDRAddr64Disp12: return "MCK_BDRAddr64Disp12";
2057 case MCK_BDVAddr64Disp12: return "MCK_BDVAddr64Disp12";
2058 case MCK_BDXAddr64Disp12: return "MCK_BDXAddr64Disp12";
2059 case MCK_BDXAddr64Disp20: return "MCK_BDXAddr64Disp20";
2060 case MCK_CR64: return "MCK_CR64";
2061 case MCK_FP128: return "MCK_FP128";
2062 case MCK_FP32: return "MCK_FP32";
2063 case MCK_FP64: return "MCK_FP64";
2064 case MCK_GR128: return "MCK_GR128";
2065 case MCK_GR32: return "MCK_GR32";
2066 case MCK_GR64: return "MCK_GR64";
2067 case MCK_GRH32: return "MCK_GRH32";
2068 case MCK_GRX32: return "MCK_GRX32";
2069 case MCK_Imm: return "MCK_Imm";
2070 case MCK_PCRel12: return "MCK_PCRel12";
2071 case MCK_PCRel16: return "MCK_PCRel16";
2072 case MCK_PCRel24: return "MCK_PCRel24";
2073 case MCK_PCRel32: return "MCK_PCRel32";
2074 case MCK_PCRelTLS16: return "MCK_PCRelTLS16";
2075 case MCK_PCRelTLS32: return "MCK_PCRelTLS32";
2076 case MCK_S16Imm: return "MCK_S16Imm";
2077 case MCK_S32Imm: return "MCK_S32Imm";
2078 case MCK_S8Imm: return "MCK_S8Imm";
2079 case MCK_U12Imm: return "MCK_U12Imm";
2080 case MCK_U16Imm: return "MCK_U16Imm";
2081 case MCK_U1Imm: return "MCK_U1Imm";
2082 case MCK_U2Imm: return "MCK_U2Imm";
2083 case MCK_U32Imm: return "MCK_U32Imm";
2084 case MCK_U3Imm: return "MCK_U3Imm";
2085 case MCK_U48Imm: return "MCK_U48Imm";
2086 case MCK_U4Imm: return "MCK_U4Imm";
2087 case MCK_U6Imm: return "MCK_U6Imm";
2088 case MCK_U8Imm: return "MCK_U8Imm";
2089 case MCK_VF128: return "MCK_VF128";
2090 case MCK_VR128: return "MCK_VR128";
2091 case MCK_VR32: return "MCK_VR32";
2092 case MCK_VR64: return "MCK_VR64";
2093 case NumMatchClassKinds: return "NumMatchClassKinds";
2094 }
2095 llvm_unreachable("unhandled MatchClassKind!")::llvm::llvm_unreachable_internal("unhandled MatchClassKind!"
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 2095)
;
2096}
2097
2098#endif // NDEBUG
2099uint64_t SystemZAsmParser::
2100ComputeAvailableFeatures(const FeatureBitset& FB) const {
2101 uint64_t Features = 0;
2102 if ((FB[SystemZ::FeatureDistinctOps]))
2103 Features |= Feature_FeatureDistinctOps;
2104 if ((FB[SystemZ::FeatureFastSerialization]))
2105 Features |= Feature_FeatureFastSerialization;
2106 if ((FB[SystemZ::FeatureFPExtension]))
2107 Features |= Feature_FeatureFPExtension;
2108 if ((FB[SystemZ::FeatureHighWord]))
2109 Features |= Feature_FeatureHighWord;
2110 if ((FB[SystemZ::FeatureInterlockedAccess1]))
2111 Features |= Feature_FeatureInterlockedAccess1;
2112 if ((FB[SystemZ::FeatureLoadStoreOnCond]))
2113 Features |= Feature_FeatureLoadStoreOnCond;
2114 if ((FB[SystemZ::FeaturePopulationCount]))
2115 Features |= Feature_FeaturePopulationCount;
2116 if ((FB[SystemZ::FeatureMessageSecurityAssist3]))
2117 Features |= Feature_FeatureMessageSecurityAssist3;
2118 if ((FB[SystemZ::FeatureMessageSecurityAssist4]))
2119 Features |= Feature_FeatureMessageSecurityAssist4;
2120 if ((FB[SystemZ::FeatureResetReferenceBitsMultiple]))
2121 Features |= Feature_FeatureResetReferenceBitsMultiple;
2122 if ((FB[SystemZ::FeatureExecutionHint]))
2123 Features |= Feature_FeatureExecutionHint;
2124 if ((FB[SystemZ::FeatureLoadAndTrap]))
2125 Features |= Feature_FeatureLoadAndTrap;
2126 if ((FB[SystemZ::FeatureMiscellaneousExtensions]))
2127 Features |= Feature_FeatureMiscellaneousExtensions;
2128 if ((FB[SystemZ::FeatureProcessorAssist]))
2129 Features |= Feature_FeatureProcessorAssist;
2130 if ((FB[SystemZ::FeatureTransactionalExecution]))
2131 Features |= Feature_FeatureTransactionalExecution;
2132 if ((FB[SystemZ::FeatureDFPZonedConversion]))
2133 Features |= Feature_FeatureDFPZonedConversion;
2134 if ((FB[SystemZ::FeatureEnhancedDAT2]))
2135 Features |= Feature_FeatureEnhancedDAT2;
2136 if ((FB[SystemZ::FeatureLoadAndZeroRightmostByte]))
2137 Features |= Feature_FeatureLoadAndZeroRightmostByte;
2138 if ((FB[SystemZ::FeatureLoadStoreOnCond2]))
2139 Features |= Feature_FeatureLoadStoreOnCond2;
2140 if ((FB[SystemZ::FeatureMessageSecurityAssist5]))
2141 Features |= Feature_FeatureMessageSecurityAssist5;
2142 if ((FB[SystemZ::FeatureDFPPackedConversion]))
2143 Features |= Feature_FeatureDFPPackedConversion;
2144 if ((FB[SystemZ::FeatureVector]))
2145 Features |= Feature_FeatureVector;
2146 if ((FB[SystemZ::FeatureMiscellaneousExtensions2]))
2147 Features |= Feature_FeatureMiscellaneousExtensions2;
2148 if ((FB[SystemZ::FeatureGuardedStorage]))
2149 Features |= Feature_FeatureGuardedStorage;
2150 if ((FB[SystemZ::FeatureMessageSecurityAssist7]))
2151 Features |= Feature_FeatureMessageSecurityAssist7;
2152 if ((FB[SystemZ::FeatureMessageSecurityAssist8]))
2153 Features |= Feature_FeatureMessageSecurityAssist8;
2154 if ((FB[SystemZ::FeatureVectorEnhancements1]))
2155 Features |= Feature_FeatureVectorEnhancements1;
2156 if ((FB[SystemZ::FeatureVectorPackedDecimal]))
2157 Features |= Feature_FeatureVectorPackedDecimal;
2158 if ((FB[SystemZ::FeatureInsertReferenceBitsMultiple]))
2159 Features |= Feature_FeatureInsertReferenceBitsMultiple;
2160 return Features;
2161}
2162
2163static bool checkAsmTiedOperandConstraints(unsigned Kind,
2164 const OperandVector &Operands,
2165 uint64_t &ErrorInfo) {
2166 assert(Kind < CVT_NUM_SIGNATURES && "Invalid signature!")(static_cast <bool> (Kind < CVT_NUM_SIGNATURES &&
"Invalid signature!") ? void (0) : __assert_fail ("Kind < CVT_NUM_SIGNATURES && \"Invalid signature!\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 2166, __extension__ __PRETTY_FUNCTION__))
;
2167 const uint8_t *Converter = ConversionTable[Kind];
2168 for (const uint8_t *p = Converter; *p; p+= 2) {
2169 switch (*p) {
2170 case CVT_Tied: {
2171 unsigned OpIdx = *(p+1);
2172 assert(OpIdx < (size_t)(std::end(TiedAsmOperandTable) -(static_cast <bool> (OpIdx < (size_t)(std::end(TiedAsmOperandTable
) - std::begin(TiedAsmOperandTable)) && "Tied operand not found"
) ? void (0) : __assert_fail ("OpIdx < (size_t)(std::end(TiedAsmOperandTable) - std::begin(TiedAsmOperandTable)) && \"Tied operand not found\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 2174, __extension__ __PRETTY_FUNCTION__))
2173 std::begin(TiedAsmOperandTable)) &&(static_cast <bool> (OpIdx < (size_t)(std::end(TiedAsmOperandTable
) - std::begin(TiedAsmOperandTable)) && "Tied operand not found"
) ? void (0) : __assert_fail ("OpIdx < (size_t)(std::end(TiedAsmOperandTable) - std::begin(TiedAsmOperandTable)) && \"Tied operand not found\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 2174, __extension__ __PRETTY_FUNCTION__))
2174 "Tied operand not found")(static_cast <bool> (OpIdx < (size_t)(std::end(TiedAsmOperandTable
) - std::begin(TiedAsmOperandTable)) && "Tied operand not found"
) ? void (0) : __assert_fail ("OpIdx < (size_t)(std::end(TiedAsmOperandTable) - std::begin(TiedAsmOperandTable)) && \"Tied operand not found\""
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 2174, __extension__ __PRETTY_FUNCTION__))
;
2175 unsigned OpndNum1 = TiedAsmOperandTable[OpIdx][1];
2176 unsigned OpndNum2 = TiedAsmOperandTable[OpIdx][2];
2177 if (OpndNum1 != OpndNum2) {
2178 auto &SrcOp1 = Operands[OpndNum1];
2179 auto &SrcOp2 = Operands[OpndNum2];
2180 if (SrcOp1->isReg() && SrcOp2->isReg() &&
2181 SrcOp1->getReg() != SrcOp2->getReg()) {
2182 ErrorInfo = OpndNum2;
2183 return false;
2184 }
2185 }
2186 break;
2187 }
2188 default:
2189 break;
2190 }
2191 }
2192 return true;
2193}
2194
2195static const char *const MnemonicTable =
2196 "\001a\002ad\003adb\004adbr\003adr\004adtr\005adtra\002ae\003aeb\004aebr"
2197 "\003aer\003afi\002ag\003agf\004agfi\004agfr\003agh\004aghi\005aghik\003"
2198 "agr\004agrk\004agsi\002ah\005ahhhr\005ahhlr\003ahi\004ahik\003ahy\003ai"
2199 "h\002al\003alc\004alcg\005alcgr\004alcr\004alfi\003alg\004algf\005algfi"
2200 "\005algfr\007alghsik\004algr\005algrk\005algsi\006alhhhr\006alhhlr\006a"
2201 "lhsik\003alr\004alrk\004alsi\005alsih\006alsihn\003aly\002ap\002ar\003a"
2202 "rk\003asi\002au\003aur\002aw\003awr\004axbr\003axr\004axtr\005axtra\002"
2203 "ay\001b\004bakr\003bal\004balr\003bas\004basr\005bassm\002bc\003bcr\003"
2204 "bct\004bctg\005bctgr\004bctr\002be\003ber\002bh\003bhe\004bher\003bhr\002"
2205 "bi\003bic\003bie\003bih\004bihe\003bil\004bile\004bilh\003bim\004bine\004"
2206 "binh\005binhe\004binl\005binle\005binlh\004binm\004bino\004binp\004binz"
2207 "\003bio\003bip\003biz\002bl\003ble\004bler\003blh\004blhr\003blr\002bm\003"
2208 "bmr\003bne\004bner\003bnh\004bnhe\005bnher\004bnhr\003bnl\004bnle\005bn"
2209 "ler\004bnlh\005bnlhr\004bnlr\003bnm\004bnmr\003bno\004bnor\003bnp\004bn"
2210 "pr\003bnz\004bnzr\002bo\003bor\002bp\003bpp\003bpr\004bprp\002br\004bra"
2211 "s\005brasl\003brc\004brcl\004brct\005brctg\005brcth\004brxh\005brxhg\005"
2212 "brxle\005brxlg\003bsa\003bsg\003bsm\003bxh\004bxhg\004bxle\005bxleg\002"
2213 "bz\003bzr\001c\002cd\003cdb\004cdbr\005cdfbr\006cdfbra\004cdfr\005cdftr"
2214 "\005cdgbr\006cdgbra\004cdgr\005cdgtr\006cdgtra\006cdlfbr\006cdlftr\006c"
2215 "dlgbr\006cdlgtr\004cdpt\003cdr\003cds\004cdsg\005cdstr\004cdsy\004cdtr\005"
2216 "cdutr\004cdzt\002ce\003ceb\004cebr\005cedtr\005cefbr\006cefbra\004cefr\005"
2217 "cegbr\006cegbra\004cegr\006celfbr\006celgbr\003cer\005cextr\003cfc\005c"
2218 "fdbr\006cfdbra\004cfdr\005cfdtr\005cfebr\006cfebra\004cfer\003cfi\005cf"
2219 "xbr\006cfxbra\004cfxr\005cfxtr\002cg\005cgdbr\006cgdbra\004cgdr\005cgdt"
2220 "r\006cgdtra\005cgebr\006cgebra\004cger\003cgf\004cgfi\004cgfr\005cgfrl\003"
2221 "cgh\004cghi\005cghrl\005cghsi\004cgib\005cgibe\005cgibh\006cgibhe\005cg"
2222 "ibl\006cgible\006cgiblh\006cgibne\006cgibnh\007cgibnhe\006cgibnl\007cgi"
2223 "bnle\007cgibnlh\004cgij\005cgije\005cgijh\006cgijhe\005cgijl\006cgijle\006"
2224 "cgijlh\006cgijne\006cgijnh\007cgijnhe\006cgijnl\007cgijnle\007cgijnlh\004"
2225 "cgit\005cgite\005cgith\006cgithe\005cgitl\006cgitle\006cgitlh\006cgitne"
2226 "\006cgitnh\007cgitnhe\006cgitnl\007cgitnle\007cgitnlh\003cgr\004cgrb\005"
2227 "cgrbe\005cgrbh\006cgrbhe\005cgrbl\006cgrble\006cgrblh\006cgrbne\006cgrb"
2228 "nh\007cgrbnhe\006cgrbnl\007cgrbnle\007cgrbnlh\004cgrj\005cgrje\005cgrjh"
2229 "\006cgrjhe\005cgrjl\006cgrjle\006cgrjlh\006cgrjne\006cgrjnh\007cgrjnhe\006"
2230 "cgrjnl\007cgrjnle\007cgrjnlh\004cgrl\004cgrt\005cgrte\005cgrth\006cgrth"
2231 "e\005cgrtl\006cgrtle\006cgrtlh\006cgrtne\006cgrtnh\007cgrtnhe\006cgrtnl"
2232 "\007cgrtnle\007cgrtnlh\005cgxbr\006cgxbra\004cgxr\005cgxtr\006cgxtra\002"
2233 "ch\003chf\004chhr\005chhsi\003chi\004chlr\004chrl\004chsi\003chy\003cib"
2234 "\004cibe\004cibh\005cibhe\004cibl\005cible\005ciblh\005cibne\005cibnh\006"
2235 "cibnhe\005cibnl\006cibnle\006cibnlh\003cih\003cij\004cije\004cijh\005ci"
2236 "jhe\004cijl\005cijle\005cijlh\005cijne\005cijnh\006cijnhe\005cijnl\006c"
2237 "ijnle\006cijnlh\003cit\004cite\004cith\005cithe\004citl\005citle\005cit"
2238 "lh\005citne\005citnh\006citnhe\005citnl\006citnle\006citnlh\004cksm\002"
2239 "cl\003clc\004clcl\005clcle\005clclu\006clfdbr\006clfdtr\006clfebr\006cl"
2240 "fhsi\004clfi\005clfit\006clfite\006clfith\007clfithe\006clfitl\007clfit"
2241 "le\007clfitlh\007clfitne\007clfitnh\010clfitnhe\007clfitnl\010clfitnle\010"
2242 "clfitnlh\006clfxbr\006clfxtr\003clg\006clgdbr\006clgdtr\006clgebr\004cl"
2243 "gf\005clgfi\005clgfr\006clgfrl\006clghrl\006clghsi\005clgib\006clgibe\006"
2244 "clgibh\007clgibhe\006clgibl\007clgible\007clgiblh\007clgibne\007clgibnh"
2245 "\010clgibnhe\007clgibnl\010clgibnle\010clgibnlh\005clgij\006clgije\006c"
2246 "lgijh\007clgijhe\006clgijl\007clgijle\007clgijlh\007clgijne\007clgijnh\010"
2247 "clgijnhe\007clgijnl\010clgijnle\010clgijnlh\005clgit\006clgite\006clgit"
2248 "h\007clgithe\006clgitl\007clgitle\007clgitlh\007clgitne\007clgitnh\010c"
2249 "lgitnhe\007clgitnl\010clgitnle\010clgitnlh\004clgr\005clgrb\006clgrbe\006"
2250 "clgrbh\007clgrbhe\006clgrbl\007clgrble\007clgrblh\007clgrbne\007clgrbnh"
2251 "\010clgrbnhe\007clgrbnl\010clgrbnle\010clgrbnlh\005clgrj\006clgrje\006c"
2252 "lgrjh\007clgrjhe\006clgrjl\007clgrjle\007clgrjlh\007clgrjne\007clgrjnh\010"
2253 "clgrjnhe\007clgrjnl\010clgrjnle\010clgrjnlh\005clgrl\005clgrt\006clgrte"
2254 "\006clgrth\007clgrthe\006clgrtl\007clgrtle\007clgrtlh\007clgrtne\007clg"
2255 "rtnh\010clgrtnhe\007clgrtnl\010clgrtnle\010clgrtnlh\004clgt\005clgte\005"
2256 "clgth\006clgthe\005clgtl\006clgtle\006clgtlh\006clgtne\006clgtnh\007clg"
2257 "tnhe\006clgtnl\007clgtnle\007clgtnlh\006clgxbr\006clgxtr\004clhf\005clh"
2258 "hr\006clhhsi\005clhlr\005clhrl\003cli\004clib\005clibe\005clibh\006clib"
2259 "he\005clibl\006clible\006cliblh\006clibne\006clibnh\007clibnhe\006clibn"
2260 "l\007clibnle\007clibnlh\004clih\004clij\005clije\005clijh\006clijhe\005"
2261 "clijl\006clijle\006clijlh\006clijne\006clijnh\007clijnhe\006clijnl\007c"
2262 "lijnle\007clijnlh\004cliy\003clm\004clmh\004clmy\003clr\004clrb\005clrb"
2263 "e\005clrbh\006clrbhe\005clrbl\006clrble\006clrblh\006clrbne\006clrbnh\007"
2264 "clrbnhe\006clrbnl\007clrbnle\007clrbnlh\004clrj\005clrje\005clrjh\006cl"
2265 "rjhe\005clrjl\006clrjle\006clrjlh\006clrjne\006clrjnh\007clrjnhe\006clr"
2266 "jnl\007clrjnle\007clrjnlh\004clrl\004clrt\005clrte\005clrth\006clrthe\005"
2267 "clrtl\006clrtle\006clrtlh\006clrtne\006clrtnh\007clrtnhe\006clrtnl\007c"
2268 "lrtnle\007clrtnlh\004clst\003clt\004clte\004clth\005clthe\004cltl\005cl"
2269 "tle\005cltlh\005cltne\005cltnh\006cltnhe\005cltnl\006cltnle\006cltnlh\003"
2270 "cly\005cmpsc\002cp\004cpdt\005cpsdr\004cpxt\004cpya\002cr\003crb\004crb"
2271 "e\004crbh\005crbhe\004crbl\005crble\005crblh\005crbne\005crbnh\006crbnh"
2272 "e\005crbnl\006crbnle\006crbnlh\005crdte\003crj\004crje\004crjh\005crjhe"
2273 "\004crjl\005crjle\005crjlh\005crjne\005crjnh\006crjnhe\005crjnl\006crjn"
2274 "le\006crjnlh\003crl\003crt\004crte\004crth\005crthe\004crtl\005crtle\005"
2275 "crtlh\005crtne\005crtnh\006crtnhe\005crtnl\006crtnle\006crtnlh\002cs\004"
2276 "csch\005csdtr\003csg\003csp\004cspg\004csst\005csxtr\003csy\004cu12\004"
2277 "cu14\004cu21\004cu24\004cu41\004cu42\005cudtr\004cuse\005cutfu\005cuutf"
2278 "\005cuxtr\003cvb\004cvbg\004cvby\003cvd\004cvdg\004cvdy\004cxbr\005cxfb"
2279 "r\006cxfbra\004cxfr\005cxftr\005cxgbr\006cxgbra\004cxgr\005cxgtr\006cxg"
2280 "tra\006cxlfbr\006cxlftr\006cxlgbr\006cxlgtr\004cxpt\003cxr\005cxstr\004"
2281 "cxtr\005cxutr\004cxzt\002cy\004czdt\004czxt\001d\002dd\003ddb\004ddbr\003"
2282 "ddr\004ddtr\005ddtra\002de\003deb\004debr\003der\004diag\005didbr\005di"
2283 "ebr\002dl\003dlg\004dlgr\003dlr\002dp\002dr\003dsg\004dsgf\005dsgfr\004"
2284 "dsgr\004dxbr\003dxr\004dxtr\005dxtra\003ear\004ecag\005ecctr\005ecpga\004"
2285 "ectg\002ed\004edmk\005eedtr\005eextr\004efpc\005epair\004epar\005epctr\004"
2286 "epsw\004ereg\005eregg\005esair\004esar\005esdtr\004esea\004esta\005esxt"
2287 "r\004etnd\002ex\004exrl\005fidbr\006fidbra\004fidr\005fidtr\005fiebr\006"
2288 "fiebra\004fier\005fixbr\006fixbra\004fixr\005fixtr\005flogr\003hdr\003h"
2289 "er\004hsch\003iac\002ic\003icm\004icmh\004icmy\003icy\004idte\005iedtr\005"
2290 "iextr\004iihf\004iihh\004iihl\004iilf\004iilh\004iill\003ipk\003ipm\004"
2291 "ipte\004irbm\004iske\004ivsk\001j\002je\002jg\003jge\003jgh\004jghe\003"
2292 "jgl\004jgle\004jglh\003jgm\004jgne\004jgnh\005jgnhe\004jgnl\005jgnle\005"
2293 "jgnlh\004jgnm\004jgno\004jgnp\004jgnz\003jgo\003jgp\003jgz\002jh\003jhe"
2294 "\002jl\003jle\003jlh\002jm\003jne\003jnh\004jnhe\003jnl\004jnle\004jnlh"
2295 "\003jnm\003jno\003jnp\003jnz\002jo\002jp\002jz\003kdb\004kdbr\004kdtr\003"
2296 "keb\004kebr\004kimd\004klmd\002km\003kma\004kmac\003kmc\005kmctr\003kmf"
2297 "\003kmo\004kxbr\004kxtr\001l\002la\003laa\004laag\004laal\005laalg\003l"
2298 "ae\004laey\003lam\004lamy\003lan\004lang\003lao\004laog\004larl\004lasp"
2299 "\003lat\003lax\004laxg\003lay\002lb\003lbh\003lbr\004lcbb\005lcctl\005l"
2300 "cdbr\005lcdfr\004lcdr\005lcebr\004lcer\005lcgfr\004lcgr\003lcr\004lctl\005"
2301 "lctlg\005lcxbr\004lcxr\002ld\003lde\004ldeb\005ldebr\004lder\005ldetr\004"
2302 "ldgr\003ldr\005ldxbr\006ldxbra\004ldxr\005ldxtr\003ldy\002le\005ledbr\006"
2303 "ledbra\004ledr\005ledtr\003ler\005lexbr\006lexbra\004lexr\003ley\004lfa"
2304 "s\003lfh\005lfhat\004lfpc\002lg\004lgat\003lgb\004lgbr\004lgdr\003lgf\004"
2305 "lgfi\004lgfr\005lgfrl\003lgg\003lgh\004lghi\004lghr\005lghrl\003lgr\004"
2306 "lgrl\004lgsc\002lh\003lhh\003lhi\003lhr\004lhrl\003lhy\003llc\004llch\004"
2307 "llcr\004llgc\005llgcr\004llgf\006llgfat\005llgfr\006llgfrl\006llgfsg\004"
2308 "llgh\005llghr\006llghrl\004llgt\006llgtat\005llgtr\003llh\004llhh\004ll"
2309 "hr\005llhrl\005llihf\005llihh\005llihl\005llilf\005llilh\005llill\006ll"
2310 "zrgf\002lm\003lmd\003lmg\003lmh\003lmy\005lndbr\005lndfr\004lndr\005lne"
2311 "br\004lner\005lngfr\004lngr\003lnr\005lnxbr\004lnxr\003loc\004loce\005l"
2312 "ocfh\006locfhe\006locfhh\007locfhhe\006locfhl\007locfhle\007locfhlh\006"
2313 "locfhm\007locfhne\007locfhnh\010locfhnhe\007locfhnl\010locfhnle\010locf"
2314 "hnlh\007locfhnm\007locfhno\007locfhnp\007locfhnz\006locfho\006locfhp\006"
2315 "locfhr\007locfhre\007locfhrh\010locfhrhe\007locfhrl\010locfhrle\010locf"
2316 "hrlh\007locfhrm\010locfhrne\010locfhrnh\tlocfhrnhe\010locfhrnl\tlocfhrn"
2317 "le\tlocfhrnlh\010locfhrnm\010locfhrno\010locfhrnp\010locfhrnz\007locfhr"
2318 "o\007locfhrp\007locfhrz\006locfhz\004locg\005locge\005locgh\006locghe\006"
2319 "locghi\007locghie\007locghih\010locghihe\007locghil\010locghile\010locg"
2320 "hilh\007locghim\010locghine\010locghinh\tlocghinhe\010locghinl\tlocghin"
2321 "le\tlocghinlh\010locghinm\010locghino\010locghinp\010locghinz\007locghi"
2322 "o\007locghip\007locghiz\005locgl\006locgle\006locglh\005locgm\006locgne"
2323 "\006locgnh\007locgnhe\006locgnl\007locgnle\007locgnlh\006locgnm\006locg"
2324 "no\006locgnp\006locgnz\005locgo\005locgp\005locgr\006locgre\006locgrh\007"
2325 "locgrhe\006locgrl\007locgrle\007locgrlh\006locgrm\007locgrne\007locgrnh"
2326 "\010locgrnhe\007locgrnl\010locgrnle\010locgrnlh\007locgrnm\007locgrno\007"
2327 "locgrnp\007locgrnz\006locgro\006locgrp\006locgrz\005locgz\004loch\005lo"
2328 "che\006lochhi\007lochhie\007lochhih\010lochhihe\007lochhil\010lochhile\010"
2329 "lochhilh\007lochhim\010lochhine\010lochhinh\tlochhinhe\010lochhinl\tloc"
2330 "hhinle\tlochhinlh\010lochhinm\010lochhino\010lochhinp\010lochhinz\007lo"
2331 "chhio\007lochhip\007lochhiz\005lochi\006lochie\006lochih\007lochihe\006"
2332 "lochil\007lochile\007lochilh\006lochim\007lochine\007lochinh\010lochinh"
2333 "e\007lochinl\010lochinle\010lochinlh\007lochinm\007lochino\007lochinp\007"
2334 "lochinz\006lochio\006lochip\006lochiz\004locl\005locle\005loclh\004locm"
2335 "\005locne\005locnh\006locnhe\005locnl\006locnle\006locnlh\005locnm\005l"
2336 "ocno\005locnp\005locnz\004loco\004locp\004locr\005locre\005locrh\006loc"
2337 "rhe\005locrl\006locrle\006locrlh\005locrm\006locrne\006locrnh\007locrnh"
2338 "e\006locrnl\007locrnle\007locrnlh\006locrnm\006locrno\006locrnp\006locr"
2339 "nz\005locro\005locrp\005locrz\004locz\005lpctl\003lpd\005lpdbr\005lpdfr"
2340 "\004lpdg\004lpdr\005lpebr\004lper\005lpgfr\004lpgr\003lpp\003lpq\003lpr"
2341 "\004lpsw\005lpswe\005lptea\005lpxbr\004lpxr\002lr\003lra\004lrag\004lra"
2342 "y\004lrdr\004lrer\003lrl\003lrv\004lrvg\005lrvgr\004lrvh\004lrvr\005lsc"
2343 "tl\002lt\005ltdbr\004ltdr\005ltdtr\005ltebr\004lter\003ltg\004ltgf\005l"
2344 "tgfr\004ltgr\003ltr\005ltxbr\004ltxr\005ltxtr\004lura\005lurag\003lxd\004"
2345 "lxdb\005lxdbr\004lxdr\005lxdtr\003lxe\004lxeb\005lxebr\004lxer\003lxr\002"
2346 "ly\004lzdr\004lzer\004lzrf\004lzrg\004lzxr\001m\003mad\004madb\005madbr"
2347 "\004madr\003mae\004maeb\005maebr\004maer\003may\004mayh\005mayhr\004may"
2348 "l\005maylr\004mayr\002mc\002md\003mdb\004mdbr\003mde\004mdeb\005mdebr\004"
2349 "mder\003mdr\004mdtr\005mdtra\002me\003mee\004meeb\005meebr\004meer\003m"
2350 "er\003mfy\002mg\003mgh\004mghi\004mgrk\002mh\003mhi\003mhy\002ml\003mlg"
2351 "\004mlgr\003mlr\002mp\002mr\002ms\003msc\004msch\003msd\004msdb\005msdb"
2352 "r\004msdr\003mse\004mseb\005msebr\004mser\004msfi\003msg\004msgc\004msg"
2353 "f\005msgfi\005msgfr\004msgr\006msgrkc\003msr\005msrkc\004msta\003msy\003"
2354 "mvc\005mvcdk\005mvcin\004mvck\004mvcl\005mvcle\005mvclu\005mvcos\004mvc"
2355 "p\004mvcs\005mvcsk\005mvghi\005mvhhi\004mvhi\003mvi\004mviy\003mvn\003m"
2356 "vo\004mvpg\004mvst\003mvz\004mxbr\003mxd\004mxdb\005mxdbr\004mxdr\003mx"
2357 "r\004mxtr\005mxtra\002my\003myh\004myhr\003myl\004mylr\003myr\001n\002n"
2358 "c\002ng\003ngr\004ngrk\002ni\004niai\004nihf\004nihh\004nihl\004nilf\004"
2359 "nilh\004nill\003niy\003nop\004nopr\002nr\003nrk\005ntstg\002ny\001o\002"
2360 "oc\002og\003ogr\004ogrk\002oi\004oihf\004oihh\004oihl\004oilf\004oilh\004"
2361 "oill\003oiy\002or\003ork\002oy\004pack\004palb\002pc\003pcc\005pckmo\003"
2362 "pfd\005pfdrl\004pfmf\004pfpo\004pgin\005pgout\003pka\003pku\003plo\006p"
2363 "opcnt\003ppa\004ppno\002pr\004prno\002pt\003ptf\004ptff\003pti\004ptlb\005"
2364 "qadtr\005qaxtr\005qctri\003qsi\004rchp\005risbg\006risbgn\006risbhg\006"
2365 "risblg\003rll\004rllg\005rnsbg\005rosbg\002rp\004rrbe\004rrbm\005rrdtr\005"
2366 "rrxtr\004rsch\005rxsbg\001s\003sac\004sacf\003sal\005sam24\005sam31\005"
2367 "sam64\003sar\005scctr\004schm\003sck\004sckc\005sckpf\002sd\003sdb\004s"
2368 "dbr\003sdr\004sdtr\005sdtra\002se\003seb\004sebr\003ser\005sfasr\004sfp"
2369 "c\002sg\003sgf\004sgfr\003sgh\003sgr\004sgrk\002sh\005shhhr\005shhlr\003"
2370 "shy\003sie\004siga\004sigp\002sl\003sla\004slag\004slak\003slb\004slbg\005"
2371 "slbgr\004slbr\004slda\004sldl\004sldt\004slfi\003slg\004slgf\005slgfi\005"
2372 "slgfr\004slgr\005slgrk\006slhhhr\006slhhlr\003sll\004sllg\004sllk\003sl"
2373 "r\004slrk\004slxt\003sly\002sp\005spctr\004spka\003spm\003spt\003spx\003"
2374 "sqd\004sqdb\005sqdbr\004sqdr\003sqe\004sqeb\005sqebr\004sqer\005sqxbr\004"
2375 "sqxr\002sr\003sra\004srag\004srak\004srda\004srdl\004srdt\003srk\003srl"
2376 "\004srlg\004srlk\004srnm\005srnmb\005srnmt\003srp\004srst\005srstu\004s"
2377 "rxt\005ssair\004ssar\004ssch\004sske\003ssm\002st\004stam\005stamy\004s"
2378 "tap\003stc\004stch\004stck\005stckc\005stcke\005stckf\004stcm\005stcmh\005"
2379 "stcmy\005stcps\005stcrw\005stctg\005stctl\004stcy\003std\004stdy\003ste"
2380 "\004stey\004stfh\004stfl\005stfle\005stfpc\003stg\005stgrl\005stgsc\003"
2381 "sth\004sthh\005sthrl\004sthy\005stidp\003stm\004stmg\004stmh\004stmy\005"
2382 "stnsm\004stoc\005stoce\006stocfh\007stocfhe\007stocfhh\010stocfhhe\007s"
2383 "tocfhl\010stocfhle\010stocfhlh\007stocfhm\010stocfhne\010stocfhnh\tstoc"
2384 "fhnhe\010stocfhnl\tstocfhnle\tstocfhnlh\010stocfhnm\010stocfhno\010stoc"
2385 "fhnp\010stocfhnz\007stocfho\007stocfhp\007stocfhz\005stocg\006stocge\006"
2386 "stocgh\007stocghe\006stocgl\007stocgle\007stocglh\006stocgm\007stocgne\007"
2387 "stocgnh\010stocgnhe\007stocgnl\010stocgnle\010stocgnlh\007stocgnm\007st"
2388 "ocgno\007stocgnp\007stocgnz\006stocgo\006stocgp\006stocgz\005stoch\006s"
2389 "toche\005stocl\006stocle\006stoclh\005stocm\006stocne\006stocnh\007stoc"
2390 "nhe\006stocnl\007stocnle\007stocnlh\006stocnm\006stocno\006stocnp\006st"
2391 "ocnz\005stoco\005stocp\005stocz\005stosm\004stpq\004stpt\004stpx\005str"
2392 "ag\004strl\004strv\005strvg\005strvh\005stsch\004stsi\005stura\005sturg"
2393 "\003sty\002su\003sur\003svc\002sw\003swr\004sxbr\003sxr\004sxtr\005sxtr"
2394 "a\002sy\006tabort\003tam\003tar\002tb\004tbdr\005tbedr\006tbegin\007tbe"
2395 "ginc\004tcdb\004tceb\004tcxb\005tdcdt\005tdcet\005tdcxt\005tdgdt\005tdg"
2396 "et\005tdgxt\004tend\005thder\004thdr\002tm\003tmh\004tmhh\004tmhl\003tm"
2397 "l\004tmlh\004tmll\003tmy\002tp\003tpi\005tprot\002tr\005trace\005tracg\005"
2398 "trap2\005trap4\003tre\004troo\004trot\003trt\004trte\004trto\004trtr\005"
2399 "trtre\004trtt\002ts\004tsch\004unpk\005unpka\005unpku\003upt\002va\003v"
2400 "ab\003vac\004vacc\005vaccb\005vaccc\006vacccq\005vaccf\005vaccg\005vacc"
2401 "h\005vaccq\004vacq\003vaf\003vag\003vah\003vap\003vaq\004vavg\005vavgb\005"
2402 "vavgf\005vavgg\005vavgh\005vavgl\006vavglb\006vavglf\006vavglg\006vavgl"
2403 "h\006vbperm\004vcdg\005vcdgb\005vcdlg\006vcdlgb\004vceq\005vceqb\006vce"
2404 "qbs\005vceqf\006vceqfs\005vceqg\006vceqgs\005vceqh\006vceqhs\004vcgd\005"
2405 "vcgdb\003vch\004vchb\005vchbs\004vchf\005vchfs\004vchg\005vchgs\004vchh"
2406 "\005vchhs\004vchl\005vchlb\006vchlbs\005vchlf\006vchlfs\005vchlg\006vch"
2407 "lgs\005vchlh\006vchlhs\005vcksm\005vclgd\006vclgdb\004vclz\005vclzb\005"
2408 "vclzf\005vclzg\005vclzh\003vcp\004vctz\005vctzb\005vctzf\005vctzg\005vc"
2409 "tzh\004vcvb\005vcvbg\004vcvd\005vcvdg\003vdp\003vec\004vecb\004vecf\004"
2410 "vecg\004vech\004vecl\005veclb\005veclf\005veclg\005veclh\005verim\006ve"
2411 "rimb\006verimf\006verimg\006verimh\005verll\006verllb\006verllf\006verl"
2412 "lg\006verllh\006verllv\007verllvb\007verllvf\007verllvg\007verllvh\004v"
2413 "esl\005veslb\005veslf\005veslg\005veslh\005veslv\006veslvb\006veslvf\006"
2414 "veslvg\006veslvh\005vesra\006vesrab\006vesraf\006vesrag\006vesrah\006ve"
2415 "srav\007vesravb\007vesravf\007vesravg\007vesravh\005vesrl\006vesrlb\006"
2416 "vesrlf\006vesrlg\006vesrlh\006vesrlv\007vesrlvb\007vesrlvf\007vesrlvg\007"
2417 "vesrlvh\003vfa\005vfadb\004vfae\005vfaeb\006vfaebs\005vfaef\006vfaefs\005"
2418 "vfaeh\006vfaehs\006vfaezb\007vfaezbs\006vfaezf\007vfaezfs\006vfaezh\007"
2419 "vfaezhs\005vfasb\004vfce\006vfcedb\007vfcedbs\006vfcesb\007vfcesbs\004v"
2420 "fch\006vfchdb\007vfchdbs\005vfche\007vfchedb\010vfchedbs\007vfchesb\010"
2421 "vfchesbs\006vfchsb\007vfchsbs\003vfd\005vfddb\005vfdsb\004vfee\005vfeeb"
2422 "\006vfeebs\005vfeef\006vfeefs\005vfeeh\006vfeehs\006vfeezb\007vfeezbs\006"
2423 "vfeezf\007vfeezfs\006vfeezh\007vfeezhs\005vfene\006vfeneb\007vfenebs\006"
2424 "vfenef\007vfenefs\006vfeneh\007vfenehs\007vfenezb\010vfenezbs\007vfenez"
2425 "f\010vfenezfs\007vfenezh\010vfenezhs\003vfi\005vfidb\005vfisb\006vfkedb"
2426 "\007vfkedbs\006vfkesb\007vfkesbs\006vfkhdb\007vfkhdbs\007vfkhedb\010vfk"
2427 "hedbs\007vfkhesb\010vfkhesbs\006vfkhsb\007vfkhsbs\006vflcdb\006vflcsb\004"
2428 "vfll\005vflls\006vflndb\006vflnsb\006vflpdb\006vflpsb\004vflr\005vflrd\003"
2429 "vfm\004vfma\006vfmadb\006vfmasb\005vfmax\007vfmaxdb\007vfmaxsb\005vfmdb"
2430 "\005vfmin\007vfmindb\007vfminsb\004vfms\005vfmsb\006vfmsdb\006vfmssb\005"
2431 "vfnma\007vfnmadb\007vfnmasb\005vfnms\007vfnmsdb\007vfnmssb\005vfpso\007"
2432 "vfpsodb\007vfpsosb\003vfs\005vfsdb\004vfsq\006vfsqdb\006vfsqsb\005vfssb"
2433 "\005vftci\007vftcidb\007vftcisb\004vgbm\004vgef\004vgeg\004vgfm\005vgfm"
2434 "a\006vgfmab\006vgfmaf\006vgfmag\006vgfmah\005vgfmb\005vgfmf\005vgfmg\005"
2435 "vgfmh\003vgm\004vgmb\004vgmf\004vgmg\004vgmh\005vistr\006vistrb\007vist"
2436 "rbs\006vistrf\007vistrfs\006vistrh\007vistrhs\002vl\004vlbb\003vlc\004v"
2437 "lcb\004vlcf\004vlcg\004vlch\004vlde\005vldeb\004vleb\004vled\005vledb\004"
2438 "vlef\004vleg\004vleh\005vleib\005vleif\005vleig\005vleih\004vlgv\005vlg"
2439 "vb\005vlgvf\005vlgvg\005vlgvh\004vlip\003vll\005vllez\006vllezb\006vlle"
2440 "zf\006vllezg\006vllezh\007vllezlf\003vlm\003vlp\004vlpb\004vlpf\004vlpg"
2441 "\004vlph\003vlr\005vlrep\006vlrepb\006vlrepf\006vlrepg\006vlreph\004vlr"
2442 "l\005vlrlr\004vlvg\005vlvgb\005vlvgf\005vlvgg\005vlvgh\005vlvgp\004vmae"
2443 "\005vmaeb\005vmaef\005vmaeh\004vmah\005vmahb\005vmahf\005vmahh\004vmal\005"
2444 "vmalb\005vmale\006vmaleb\006vmalef\006vmaleh\005vmalf\005vmalh\006vmalh"
2445 "b\006vmalhf\006vmalhh\006vmalhw\005vmalo\006vmalob\006vmalof\006vmaloh\004"
2446 "vmao\005vmaob\005vmaof\005vmaoh\003vme\004vmeb\004vmef\004vmeh\003vmh\004"
2447 "vmhb\004vmhf\004vmhh\003vml\004vmlb\004vmle\005vmleb\005vmlef\005vmleh\004"
2448 "vmlf\004vmlh\005vmlhb\005vmlhf\005vmlhh\005vmlhw\004vmlo\005vmlob\005vm"
2449 "lof\005vmloh\003vmn\004vmnb\004vmnf\004vmng\004vmnh\004vmnl\005vmnlb\005"
2450 "vmnlf\005vmnlg\005vmnlh\003vmo\004vmob\004vmof\004vmoh\003vmp\004vmrh\005"
2451 "vmrhb\005vmrhf\005vmrhg\005vmrhh\004vmrl\005vmrlb\005vmrlf\005vmrlg\005"
2452 "vmrlh\004vmsl\005vmslg\004vmsp\003vmx\004vmxb\004vmxf\004vmxg\004vmxh\004"
2453 "vmxl\005vmxlb\005vmxlf\005vmxlg\005vmxlh\002vn\003vnc\003vnn\003vno\004"
2454 "vnot\003vnx\002vo\003voc\004vone\004vpdi\005vperm\003vpk\004vpkf\004vpk"
2455 "g\004vpkh\005vpkls\006vpklsf\007vpklsfs\006vpklsg\007vpklsgs\006vpklsh\007"
2456 "vpklshs\004vpks\005vpksf\006vpksfs\005vpksg\006vpksgs\005vpksh\006vpksh"
2457 "s\004vpkz\006vpopct\007vpopctb\007vpopctf\007vpopctg\007vpopcth\005vpso"
2458 "p\004vrep\005vrepb\005vrepf\005vrepg\005vreph\005vrepi\006vrepib\006vre"
2459 "pif\006vrepig\006vrepih\003vrp\002vs\003vsb\006vsbcbi\007vsbcbiq\004vsb"
2460 "i\005vsbiq\005vscbi\006vscbib\006vscbif\006vscbig\006vscbih\006vscbiq\005"
2461 "vscef\005vsceg\004vsdp\004vseg\005vsegb\005vsegf\005vsegh\004vsel\003vs"
2462 "f\003vsg\003vsh\003vsl\004vslb\005vsldb\003vsp\003vsq\004vsra\005vsrab\004"
2463 "vsrl\005vsrlb\004vsrp\003vst\005vsteb\005vstef\005vsteg\005vsteh\004vst"
2464 "l\004vstm\005vstrc\006vstrcb\007vstrcbs\006vstrcf\007vstrcfs\006vstrch\007"
2465 "vstrchs\007vstrczb\010vstrczbs\007vstrczf\010vstrczfs\007vstrczh\010vst"
2466 "rczhs\005vstrl\006vstrlr\004vsum\005vsumb\005vsumg\006vsumgf\006vsumgh\005"
2467 "vsumh\005vsumq\006vsumqf\006vsumqg\003vtm\003vtp\004vuph\005vuphb\005vu"
2468 "phf\005vuphh\005vupkz\004vupl\005vuplb\005vuplf\005vuplh\006vuplhb\006v"
2469 "uplhf\006vuplhh\006vuplhw\005vupll\006vupllb\006vupllf\006vupllh\002vx\005"
2470 "vzero\005wcdgb\006wcdlgb\005wcgdb\006wclgdb\005wfadb\005wfasb\005wfaxb\003"
2471 "wfc\005wfcdb\006wfcedb\007wfcedbs\006wfcesb\007wfcesbs\006wfcexb\007wfc"
2472 "exbs\006wfchdb\007wfchdbs\007wfchedb\010wfchedbs\007wfchesb\010wfchesbs"
2473 "\007wfchexb\010wfchexbs\006wfchsb\007wfchsbs\006wfchxb\007wfchxbs\005wf"
2474 "csb\005wfcxb\005wfddb\005wfdsb\005wfdxb\005wfidb\005wfisb\005wfixb\003w"
2475 "fk\005wfkdb\006wfkedb\007wfkedbs\006wfkesb\007wfkesbs\006wfkexb\007wfke"
2476 "xbs\006wfkhdb\007wfkhdbs\007wfkhedb\010wfkhedbs\007wfkhesb\010wfkhesbs\007"
2477 "wfkhexb\010wfkhexbs\006wfkhsb\007wfkhsbs\006wfkhxb\007wfkhxbs\005wfksb\005"
2478 "wfkxb\006wflcdb\006wflcsb\006wflcxb\005wflld\005wflls\006wflndb\006wfln"
2479 "sb\006wflnxb\006wflpdb\006wflpsb\006wflpxb\005wflrd\005wflrx\006wfmadb\006"
2480 "wfmasb\006wfmaxb\007wfmaxdb\007wfmaxsb\007wfmaxxb\005wfmdb\007wfmindb\007"
2481 "wfminsb\007wfminxb\005wfmsb\006wfmsdb\006wfmssb\006wfmsxb\005wfmxb\007w"
2482 "fnmadb\007wfnmasb\007wfnmaxb\007wfnmsdb\007wfnmssb\007wfnmsxb\007wfpsod"
2483 "b\007wfpsosb\007wfpsoxb\005wfsdb\006wfsqdb\006wfsqsb\006wfsqxb\005wfssb"
2484 "\005wfsxb\007wftcidb\007wftcisb\007wftcixb\005wldeb\005wledb\001x\002xc"
2485 "\002xg\003xgr\004xgrk\002xi\004xihf\004xilf\003xiy\002xr\003xrk\004xsch"
2486 "\002xy\003zap";
2487
2488namespace {
2489 struct MatchEntry {
Excessive padding in 'struct (anonymous namespace)::MatchEntry' (4 padding bytes, where 0 is optimal). Optimal fields order: RequiredFeatures, Mnemonic, Opcode, ConvertFn, Classes, consider reordering the fields or adding explicit padding members
2490 uint16_t Mnemonic;
2491 uint16_t Opcode;
2492 uint16_t ConvertFn;
2493 uint32_t RequiredFeatures;
2494 uint8_t Classes[6];
2495 StringRef getMnemonic() const {
2496 return StringRef(MnemonicTable + Mnemonic + 1,
2497 MnemonicTable[Mnemonic]);
2498 }
2499 };
2500
2501 // Predicate for searching for an opcode.
2502 struct LessOpcode {
2503 bool operator()(const MatchEntry &LHS, StringRef RHS) {
2504 return LHS.getMnemonic() < RHS;
2505 }
2506 bool operator()(StringRef LHS, const MatchEntry &RHS) {
2507 return LHS < RHS.getMnemonic();
2508 }
2509 bool operator()(const MatchEntry &LHS, const MatchEntry &RHS) {
2510 return LHS.getMnemonic() < RHS.getMnemonic();
2511 }
2512 };
2513} // end anonymous namespace.
2514
2515static const MatchEntry MatchTable0[] = {
2516 { 0 /* a */, SystemZ::A, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
2517 { 2 /* ad */, SystemZ::AD, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
2518 { 5 /* adb */, SystemZ::ADB, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
2519 { 9 /* adbr */, SystemZ::ADBR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
2520 { 14 /* adr */, SystemZ::ADR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
2521 { 18 /* adtr */, SystemZ::ADTR, Convert__FP641_0__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
2522 { 23 /* adtra */, SystemZ::ADTRA, Convert__FP641_0__FP641_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_FP64, MCK_FP64, MCK_U4Imm }, },
2523 { 29 /* ae */, SystemZ::AE, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
2524 { 32 /* aeb */, SystemZ::AEB, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
2525 { 36 /* aebr */, SystemZ::AEBR, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
2526 { 41 /* aer */, SystemZ::AER, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
2527 { 45 /* afi */, SystemZ::AFI, Convert__GR321_0__Tie0_1_1__S32Imm1_1, 0, { MCK_GR32, MCK_S32Imm }, },
2528 { 49 /* ag */, SystemZ::AG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2529 { 52 /* agf */, SystemZ::AGF, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2530 { 56 /* agfi */, SystemZ::AGFI, Convert__GR641_0__Tie0_1_1__S32Imm1_1, 0, { MCK_GR64, MCK_S32Imm }, },
2531 { 61 /* agfr */, SystemZ::AGFR, Convert__GR641_0__Tie0_1_1__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
2532 { 66 /* agh */, SystemZ::AGH, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, Feature_FeatureMiscellaneousExtensions2, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2533 { 70 /* aghi */, SystemZ::AGHI, Convert__GR641_0__Tie0_1_1__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2534 { 75 /* aghik */, SystemZ::AGHIK, Convert__GR641_0__GR641_1__S16Imm1_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_S16Imm }, },
2535 { 81 /* agr */, SystemZ::AGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2536 { 85 /* agrk */, SystemZ::AGRK, Convert__GR641_0__GR641_1__GR641_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
2537 { 90 /* agsi */, SystemZ::AGSI, Convert__BDAddr64Disp202_0__S8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_S8Imm }, },
2538 { 95 /* ah */, SystemZ::AH, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
2539 { 98 /* ahhhr */, SystemZ::AHHHR, Convert__GRH321_0__GRH321_1__GRH321_2, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32, MCK_GRH32 }, },
2540 { 104 /* ahhlr */, SystemZ::AHHLR, Convert__GRH321_0__GRH321_1__GR321_2, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32, MCK_GR32 }, },
2541 { 110 /* ahi */, SystemZ::AHI, Convert__GR321_0__Tie0_1_1__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2542 { 114 /* ahik */, SystemZ::AHIK, Convert__GR321_0__GR321_1__S16Imm1_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_S16Imm }, },
2543 { 119 /* ahy */, SystemZ::AHY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
2544 { 123 /* aih */, SystemZ::AIH, Convert__GRH321_0__Tie0_1_1__S32Imm1_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_S32Imm }, },
2545 { 127 /* al */, SystemZ::AL, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
2546 { 130 /* alc */, SystemZ::ALC, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
2547 { 134 /* alcg */, SystemZ::ALCG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2548 { 139 /* alcgr */, SystemZ::ALCGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2549 { 145 /* alcr */, SystemZ::ALCR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
2550 { 150 /* alfi */, SystemZ::ALFI, Convert__GR321_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GR32, MCK_U32Imm }, },
2551 { 155 /* alg */, SystemZ::ALG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2552 { 159 /* algf */, SystemZ::ALGF, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2553 { 164 /* algfi */, SystemZ::ALGFI, Convert__GR641_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GR64, MCK_U32Imm }, },
2554 { 170 /* algfr */, SystemZ::ALGFR, Convert__GR641_0__Tie0_1_1__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
2555 { 176 /* alghsik */, SystemZ::ALGHSIK, Convert__GR641_0__GR641_1__S16Imm1_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_S16Imm }, },
2556 { 184 /* algr */, SystemZ::ALGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2557 { 189 /* algrk */, SystemZ::ALGRK, Convert__GR641_0__GR641_1__GR641_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
2558 { 195 /* algsi */, SystemZ::ALGSI, Convert__BDAddr64Disp202_0__S8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_S8Imm }, },
2559 { 201 /* alhhhr */, SystemZ::ALHHHR, Convert__GRH321_0__GRH321_1__GRH321_2, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32, MCK_GRH32 }, },
2560 { 208 /* alhhlr */, SystemZ::ALHHLR, Convert__GRH321_0__GRH321_1__GR321_2, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32, MCK_GR32 }, },
2561 { 215 /* alhsik */, SystemZ::ALHSIK, Convert__GR321_0__GR321_1__S16Imm1_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_S16Imm }, },
2562 { 222 /* alr */, SystemZ::ALR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
2563 { 226 /* alrk */, SystemZ::ALRK, Convert__GR321_0__GR321_1__GR321_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
2564 { 231 /* alsi */, SystemZ::ALSI, Convert__BDAddr64Disp202_0__S8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_S8Imm }, },
2565 { 236 /* alsih */, SystemZ::ALSIH, Convert__GRH321_0__Tie0_1_1__S32Imm1_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_S32Imm }, },
2566 { 242 /* alsihn */, SystemZ::ALSIHN, Convert__GRH321_0__Tie0_1_1__S32Imm1_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_S32Imm }, },
2567 { 249 /* aly */, SystemZ::ALY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
2568 { 253 /* ap */, SystemZ::AP, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
2569 { 256 /* ar */, SystemZ::AR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
2570 { 259 /* ark */, SystemZ::ARK, Convert__GR321_0__GR321_1__GR321_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
2571 { 263 /* asi */, SystemZ::ASI, Convert__BDAddr64Disp202_0__S8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_S8Imm }, },
2572 { 267 /* au */, SystemZ::AU, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
2573 { 270 /* aur */, SystemZ::AUR, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
2574 { 274 /* aw */, SystemZ::AW, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
2575 { 277 /* awr */, SystemZ::AWR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
2576 { 281 /* axbr */, SystemZ::AXBR, Convert__FP1281_0__Tie0_1_1__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
2577 { 286 /* axr */, SystemZ::AXR, Convert__FP1281_0__Tie0_1_1__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
2578 { 290 /* axtr */, SystemZ::AXTR, Convert__FP1281_0__FP1281_1__FP1281_2, 0, { MCK_FP128, MCK_FP128, MCK_FP128 }, },
2579 { 295 /* axtra */, SystemZ::AXTRA, Convert__FP1281_0__FP1281_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_FP128, MCK_FP128, MCK_U4Imm }, },
2580 { 301 /* ay */, SystemZ::AY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
2581 { 304 /* b */, SystemZ::B, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2582 { 306 /* bakr */, SystemZ::BAKR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2583 { 311 /* bal */, SystemZ::BAL, Convert__GR641_0__BDXAddr64Disp123_1, 0, { MCK_GR64, MCK_BDXAddr64Disp12 }, },
2584 { 315 /* balr */, SystemZ::BALR, Convert__GR641_0__ADDR641_1, 0, { MCK_GR64, MCK_ADDR64 }, },
2585 { 320 /* bas */, SystemZ::BAS, Convert__GR641_0__BDXAddr64Disp123_1, 0, { MCK_GR64, MCK_BDXAddr64Disp12 }, },
2586 { 324 /* basr */, SystemZ::BASR, Convert__GR641_0__ADDR641_1, 0, { MCK_GR64, MCK_ADDR64 }, },
2587 { 329 /* bassm */, SystemZ::BASSM, Convert__GR641_0__ADDR641_1, 0, { MCK_GR64, MCK_ADDR64 }, },
2588 { 335 /* bc */, SystemZ::BCAsm, Convert__U4Imm1_0__BDXAddr64Disp123_1, 0, { MCK_U4Imm, MCK_BDXAddr64Disp12 }, },
2589 { 338 /* bcr */, SystemZ::BCRAsm, Convert__U4Imm1_0__GR641_1, 0, { MCK_U4Imm, MCK_GR64 }, },
2590 { 342 /* bct */, SystemZ::BCT, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
2591 { 346 /* bctg */, SystemZ::BCTG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2592 { 351 /* bctgr */, SystemZ::BCTGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2593 { 357 /* bctr */, SystemZ::BCTR, Convert__GR321_0__Tie0_1_1__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
2594 { 362 /* be */, SystemZ::BAsmE, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2595 { 365 /* ber */, SystemZ::BRAsmE, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2596 { 369 /* bh */, SystemZ::BAsmH, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2597 { 372 /* bhe */, SystemZ::BAsmHE, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2598 { 376 /* bher */, SystemZ::BRAsmHE, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2599 { 381 /* bhr */, SystemZ::BRAsmH, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2600 { 385 /* bi */, SystemZ::BI, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2601 { 388 /* bic */, SystemZ::BICAsm, Convert__U4Imm1_0__BDXAddr64Disp203_1, Feature_FeatureMiscellaneousExtensions2, { MCK_U4Imm, MCK_BDXAddr64Disp20 }, },
2602 { 392 /* bie */, SystemZ::BIAsmE, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2603 { 396 /* bih */, SystemZ::BIAsmH, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2604 { 400 /* bihe */, SystemZ::BIAsmHE, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2605 { 405 /* bil */, SystemZ::BIAsmL, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2606 { 409 /* bile */, SystemZ::BIAsmLE, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2607 { 414 /* bilh */, SystemZ::BIAsmLH, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2608 { 419 /* bim */, SystemZ::BIAsmM, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2609 { 423 /* bine */, SystemZ::BIAsmNE, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2610 { 428 /* binh */, SystemZ::BIAsmNH, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2611 { 433 /* binhe */, SystemZ::BIAsmNHE, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2612 { 439 /* binl */, SystemZ::BIAsmNL, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2613 { 444 /* binle */, SystemZ::BIAsmNLE, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2614 { 450 /* binlh */, SystemZ::BIAsmNLH, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2615 { 456 /* binm */, SystemZ::BIAsmNM, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2616 { 461 /* bino */, SystemZ::BIAsmNO, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2617 { 466 /* binp */, SystemZ::BIAsmNP, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2618 { 471 /* binz */, SystemZ::BIAsmNZ, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2619 { 476 /* bio */, SystemZ::BIAsmO, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2620 { 480 /* bip */, SystemZ::BIAsmP, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2621 { 484 /* biz */, SystemZ::BIAsmZ, Convert__BDXAddr64Disp203_0, Feature_FeatureMiscellaneousExtensions2, { MCK_BDXAddr64Disp20 }, },
2622 { 488 /* bl */, SystemZ::BAsmL, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2623 { 491 /* ble */, SystemZ::BAsmLE, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2624 { 495 /* bler */, SystemZ::BRAsmLE, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2625 { 500 /* blh */, SystemZ::BAsmLH, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2626 { 504 /* blhr */, SystemZ::BRAsmLH, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2627 { 509 /* blr */, SystemZ::BRAsmL, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2628 { 513 /* bm */, SystemZ::BAsmM, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2629 { 516 /* bmr */, SystemZ::BRAsmM, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2630 { 520 /* bne */, SystemZ::BAsmNE, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2631 { 524 /* bner */, SystemZ::BRAsmNE, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2632 { 529 /* bnh */, SystemZ::BAsmNH, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2633 { 533 /* bnhe */, SystemZ::BAsmNHE, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2634 { 538 /* bnher */, SystemZ::BRAsmNHE, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2635 { 544 /* bnhr */, SystemZ::BRAsmNH, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2636 { 549 /* bnl */, SystemZ::BAsmNL, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2637 { 553 /* bnle */, SystemZ::BAsmNLE, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2638 { 558 /* bnler */, SystemZ::BRAsmNLE, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2639 { 564 /* bnlh */, SystemZ::BAsmNLH, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2640 { 569 /* bnlhr */, SystemZ::BRAsmNLH, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2641 { 575 /* bnlr */, SystemZ::BRAsmNL, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2642 { 580 /* bnm */, SystemZ::BAsmNM, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2643 { 584 /* bnmr */, SystemZ::BRAsmNM, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2644 { 589 /* bno */, SystemZ::BAsmNO, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2645 { 593 /* bnor */, SystemZ::BRAsmNO, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2646 { 598 /* bnp */, SystemZ::BAsmNP, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2647 { 602 /* bnpr */, SystemZ::BRAsmNP, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2648 { 607 /* bnz */, SystemZ::BAsmNZ, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2649 { 611 /* bnzr */, SystemZ::BRAsmNZ, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2650 { 616 /* bo */, SystemZ::BAsmO, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2651 { 619 /* bor */, SystemZ::BRAsmO, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2652 { 623 /* bp */, SystemZ::BAsmP, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2653 { 626 /* bpp */, SystemZ::BPP, Convert__U4Imm1_0__PCRel161_1__BDXAddr64Disp123_2, Feature_FeatureExecutionHint, { MCK_U4Imm, MCK_PCRel16, MCK_BDXAddr64Disp12 }, },
2654 { 630 /* bpr */, SystemZ::BRAsmP, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2655 { 634 /* bprp */, SystemZ::BPRP, Convert__U4Imm1_0__PCRel121_1__PCRel241_2, Feature_FeatureExecutionHint, { MCK_U4Imm, MCK_PCRel12, MCK_PCRel24 }, },
2656 { 639 /* br */, SystemZ::BR, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2657 { 642 /* bras */, SystemZ::BRAS, Convert__GR641_0__PCRelTLS162_1, 0, { MCK_GR64, MCK_PCRelTLS16 }, },
2658 { 647 /* brasl */, SystemZ::BRASL, Convert__GR641_0__PCRelTLS322_1, 0, { MCK_GR64, MCK_PCRelTLS32 }, },
2659 { 653 /* brc */, SystemZ::BRCAsm, Convert__U4Imm1_0__PCRel161_1, 0, { MCK_U4Imm, MCK_PCRel16 }, },
2660 { 657 /* brcl */, SystemZ::BRCLAsm, Convert__U4Imm1_0__PCRel321_1, 0, { MCK_U4Imm, MCK_PCRel32 }, },
2661 { 662 /* brct */, SystemZ::BRCT, Convert__GR321_0__Tie0_1_1__PCRel161_1, 0, { MCK_GR32, MCK_PCRel16 }, },
2662 { 667 /* brctg */, SystemZ::BRCTG, Convert__GR641_0__Tie0_1_1__PCRel161_1, 0, { MCK_GR64, MCK_PCRel16 }, },
2663 { 673 /* brcth */, SystemZ::BRCTH, Convert__GRH321_0__Tie0_1_1__PCRel321_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_PCRel32 }, },
2664 { 679 /* brxh */, SystemZ::BRXH, Convert__GR321_0__Tie0_1_1__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
2665 { 684 /* brxhg */, SystemZ::BRXHG, Convert__GR641_0__Tie0_1_1__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2666 { 690 /* brxle */, SystemZ::BRXLE, Convert__GR321_0__Tie0_1_1__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
2667 { 696 /* brxlg */, SystemZ::BRXLG, Convert__GR641_0__Tie0_1_1__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2668 { 702 /* bsa */, SystemZ::BSA, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2669 { 706 /* bsg */, SystemZ::BSG, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2670 { 710 /* bsm */, SystemZ::BSM, Convert__GR641_0__ADDR641_1, 0, { MCK_GR64, MCK_ADDR64 }, },
2671 { 714 /* bxh */, SystemZ::BXH, Convert__GR321_0__Tie0_1_1__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
2672 { 718 /* bxhg */, SystemZ::BXHG, Convert__GR641_0__Tie0_1_1__GR641_1__BDAddr64Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
2673 { 723 /* bxle */, SystemZ::BXLE, Convert__GR321_0__Tie0_1_1__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
2674 { 728 /* bxleg */, SystemZ::BXLEG, Convert__GR641_0__Tie0_1_1__GR641_1__BDAddr64Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
2675 { 734 /* bz */, SystemZ::BAsmZ, Convert__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
2676 { 737 /* bzr */, SystemZ::BRAsmZ, Convert__ADDR641_0, 0, { MCK_ADDR64 }, },
2677 { 741 /* c */, SystemZ::C, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
2678 { 743 /* cd */, SystemZ::CD, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
2679 { 746 /* cdb */, SystemZ::CDB, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
2680 { 750 /* cdbr */, SystemZ::CDBR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
2681 { 755 /* cdfbr */, SystemZ::CDFBR, Convert__FP641_0__GR321_1, 0, { MCK_FP64, MCK_GR32 }, },
2682 { 761 /* cdfbra */, SystemZ::CDFBRA, Convert__FP641_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
2683 { 768 /* cdfr */, SystemZ::CDFR, Convert__FP641_0__GR321_1, 0, { MCK_FP64, MCK_GR32 }, },
2684 { 773 /* cdftr */, SystemZ::CDFTR, Convert__FP641_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
2685 { 779 /* cdgbr */, SystemZ::CDGBR, Convert__FP641_0__GR641_1, 0, { MCK_FP64, MCK_GR64 }, },
2686 { 785 /* cdgbra */, SystemZ::CDGBRA, Convert__FP641_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
2687 { 792 /* cdgr */, SystemZ::CDGR, Convert__FP641_0__GR641_1, 0, { MCK_FP64, MCK_GR64 }, },
2688 { 797 /* cdgtr */, SystemZ::CDGTR, Convert__FP641_0__GR641_1, 0, { MCK_FP64, MCK_GR64 }, },
2689 { 803 /* cdgtra */, SystemZ::CDGTRA, Convert__FP641_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
2690 { 810 /* cdlfbr */, SystemZ::CDLFBR, Convert__FP641_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
2691 { 817 /* cdlftr */, SystemZ::CDLFTR, Convert__FP641_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
2692 { 824 /* cdlgbr */, SystemZ::CDLGBR, Convert__FP641_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
2693 { 831 /* cdlgtr */, SystemZ::CDLGTR, Convert__FP641_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
2694 { 838 /* cdpt */, SystemZ::CDPT, Convert__FP641_0__BDLAddr64Disp12Len83_1__U4Imm1_2, Feature_FeatureDFPPackedConversion, { MCK_FP64, MCK_BDLAddr64Disp12Len8, MCK_U4Imm }, },
2695 { 843 /* cdr */, SystemZ::CDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
2696 { 847 /* cds */, SystemZ::CDS, Convert__GR1281_0__Tie0_1_1__GR1281_1__BDAddr64Disp122_2, 0, { MCK_GR128, MCK_GR128, MCK_BDAddr64Disp12 }, },
2697 { 851 /* cdsg */, SystemZ::CDSG, Convert__GR1281_0__Tie0_1_1__GR1281_1__BDAddr64Disp202_2, 0, { MCK_GR128, MCK_GR128, MCK_BDAddr64Disp20 }, },
2698 { 856 /* cdstr */, SystemZ::CDSTR, Convert__FP641_0__GR641_1, 0, { MCK_FP64, MCK_GR64 }, },
2699 { 862 /* cdsy */, SystemZ::CDSY, Convert__GR1281_0__Tie0_1_1__GR1281_1__BDAddr64Disp202_2, 0, { MCK_GR128, MCK_GR128, MCK_BDAddr64Disp20 }, },
2700 { 867 /* cdtr */, SystemZ::CDTR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
2701 { 872 /* cdutr */, SystemZ::CDUTR, Convert__FP641_0__GR641_1, 0, { MCK_FP64, MCK_GR64 }, },
2702 { 878 /* cdzt */, SystemZ::CDZT, Convert__FP641_0__BDLAddr64Disp12Len83_1__U4Imm1_2, Feature_FeatureDFPZonedConversion, { MCK_FP64, MCK_BDLAddr64Disp12Len8, MCK_U4Imm }, },
2703 { 883 /* ce */, SystemZ::CE, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
2704 { 886 /* ceb */, SystemZ::CEB, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
2705 { 890 /* cebr */, SystemZ::CEBR, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
2706 { 895 /* cedtr */, SystemZ::CEDTR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
2707 { 901 /* cefbr */, SystemZ::CEFBR, Convert__FP321_0__GR321_1, 0, { MCK_FP32, MCK_GR32 }, },
2708 { 907 /* cefbra */, SystemZ::CEFBRA, Convert__FP321_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP32, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
2709 { 914 /* cefr */, SystemZ::CEFR, Convert__FP321_0__GR321_1, 0, { MCK_FP32, MCK_GR32 }, },
2710 { 919 /* cegbr */, SystemZ::CEGBR, Convert__FP321_0__GR641_1, 0, { MCK_FP32, MCK_GR64 }, },
2711 { 925 /* cegbra */, SystemZ::CEGBRA, Convert__FP321_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP32, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
2712 { 932 /* cegr */, SystemZ::CEGR, Convert__FP321_0__GR641_1, 0, { MCK_FP32, MCK_GR64 }, },
2713 { 937 /* celfbr */, SystemZ::CELFBR, Convert__FP321_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP32, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
2714 { 944 /* celgbr */, SystemZ::CELGBR, Convert__FP321_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP32, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
2715 { 951 /* cer */, SystemZ::CER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
2716 { 955 /* cextr */, SystemZ::CEXTR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
2717 { 961 /* cfc */, SystemZ::CFC, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
2718 { 965 /* cfdbr */, SystemZ::CFDBR, Convert__GR321_0__U4Imm1_1__FP641_2, 0, { MCK_GR32, MCK_U4Imm, MCK_FP64 }, },
2719 { 971 /* cfdbra */, SystemZ::CFDBRA, Convert__GR321_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
2720 { 978 /* cfdr */, SystemZ::CFDR, Convert__GR321_0__U4Imm1_1__FP641_2, 0, { MCK_GR32, MCK_U4Imm, MCK_FP64 }, },
2721 { 983 /* cfdtr */, SystemZ::CFDTR, Convert__GR321_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
2722 { 989 /* cfebr */, SystemZ::CFEBR, Convert__GR321_0__U4Imm1_1__FP321_2, 0, { MCK_GR32, MCK_U4Imm, MCK_FP32 }, },
2723 { 995 /* cfebra */, SystemZ::CFEBRA, Convert__GR321_0__U4Imm1_1__FP321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP32, MCK_U4Imm }, },
2724 { 1002 /* cfer */, SystemZ::CFER, Convert__GR321_0__U4Imm1_1__FP321_2, 0, { MCK_GR32, MCK_U4Imm, MCK_FP32 }, },
2725 { 1007 /* cfi */, SystemZ::CFI, Convert__GR321_0__S32Imm1_1, 0, { MCK_GR32, MCK_S32Imm }, },
2726 { 1011 /* cfxbr */, SystemZ::CFXBR, Convert__GR321_0__U4Imm1_1__FP1281_2, 0, { MCK_GR32, MCK_U4Imm, MCK_FP128 }, },
2727 { 1017 /* cfxbra */, SystemZ::CFXBRA, Convert__GR321_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
2728 { 1024 /* cfxr */, SystemZ::CFXR, Convert__GR321_0__U4Imm1_1__FP1281_2, 0, { MCK_GR32, MCK_U4Imm, MCK_FP128 }, },
2729 { 1029 /* cfxtr */, SystemZ::CFXTR, Convert__GR321_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
2730 { 1035 /* cg */, SystemZ::CG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2731 { 1038 /* cgdbr */, SystemZ::CGDBR, Convert__GR641_0__U4Imm1_1__FP641_2, 0, { MCK_GR64, MCK_U4Imm, MCK_FP64 }, },
2732 { 1044 /* cgdbra */, SystemZ::CGDBRA, Convert__GR641_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
2733 { 1051 /* cgdr */, SystemZ::CGDR, Convert__GR641_0__U4Imm1_1__FP641_2, 0, { MCK_GR64, MCK_U4Imm, MCK_FP64 }, },
2734 { 1056 /* cgdtr */, SystemZ::CGDTR, Convert__GR641_0__U4Imm1_1__FP641_2, 0, { MCK_GR64, MCK_U4Imm, MCK_FP64 }, },
2735 { 1062 /* cgdtra */, SystemZ::CGDTRA, Convert__GR641_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
2736 { 1069 /* cgebr */, SystemZ::CGEBR, Convert__GR641_0__U4Imm1_1__FP321_2, 0, { MCK_GR64, MCK_U4Imm, MCK_FP32 }, },
2737 { 1075 /* cgebra */, SystemZ::CGEBRA, Convert__GR641_0__U4Imm1_1__FP321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP32, MCK_U4Imm }, },
2738 { 1082 /* cger */, SystemZ::CGER, Convert__GR641_0__U4Imm1_1__FP321_2, 0, { MCK_GR64, MCK_U4Imm, MCK_FP32 }, },
2739 { 1087 /* cgf */, SystemZ::CGF, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2740 { 1091 /* cgfi */, SystemZ::CGFI, Convert__GR641_0__S32Imm1_1, 0, { MCK_GR64, MCK_S32Imm }, },
2741 { 1096 /* cgfr */, SystemZ::CGFR, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
2742 { 1101 /* cgfrl */, SystemZ::CGFRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
2743 { 1107 /* cgh */, SystemZ::CGH, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2744 { 1111 /* cghi */, SystemZ::CGHI, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2745 { 1116 /* cghrl */, SystemZ::CGHRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
2746 { 1122 /* cghsi */, SystemZ::CGHSI, Convert__BDAddr64Disp122_0__S16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_S16Imm }, },
2747 { 1128 /* cgib */, SystemZ::CGIBAsm, Convert__GR641_0__S8Imm1_1__U4Imm1_2__BDAddr64Disp122_3, 0, { MCK_GR64, MCK_S8Imm, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
2748 { 1133 /* cgibe */, SystemZ::CGIBAsmE, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2749 { 1139 /* cgibh */, SystemZ::CGIBAsmH, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2750 { 1145 /* cgibhe */, SystemZ::CGIBAsmHE, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2751 { 1152 /* cgibl */, SystemZ::CGIBAsmL, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2752 { 1158 /* cgible */, SystemZ::CGIBAsmLE, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2753 { 1165 /* cgiblh */, SystemZ::CGIBAsmLH, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2754 { 1172 /* cgibne */, SystemZ::CGIBAsmNE, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2755 { 1179 /* cgibnh */, SystemZ::CGIBAsmNH, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2756 { 1186 /* cgibnhe */, SystemZ::CGIBAsmNHE, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2757 { 1194 /* cgibnl */, SystemZ::CGIBAsmNL, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2758 { 1201 /* cgibnle */, SystemZ::CGIBAsmNLE, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2759 { 1209 /* cgibnlh */, SystemZ::CGIBAsmNLH, Convert__GR641_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2760 { 1217 /* cgij */, SystemZ::CGIJAsm, Convert__GR641_0__S8Imm1_1__U4Imm1_2__PCRel161_3, 0, { MCK_GR64, MCK_S8Imm, MCK_U4Imm, MCK_PCRel16 }, },
2761 { 1222 /* cgije */, SystemZ::CGIJAsmE, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2762 { 1228 /* cgijh */, SystemZ::CGIJAsmH, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2763 { 1234 /* cgijhe */, SystemZ::CGIJAsmHE, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2764 { 1241 /* cgijl */, SystemZ::CGIJAsmL, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2765 { 1247 /* cgijle */, SystemZ::CGIJAsmLE, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2766 { 1254 /* cgijlh */, SystemZ::CGIJAsmLH, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2767 { 1261 /* cgijne */, SystemZ::CGIJAsmNE, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2768 { 1268 /* cgijnh */, SystemZ::CGIJAsmNH, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2769 { 1275 /* cgijnhe */, SystemZ::CGIJAsmNHE, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2770 { 1283 /* cgijnl */, SystemZ::CGIJAsmNL, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2771 { 1290 /* cgijnle */, SystemZ::CGIJAsmNLE, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2772 { 1298 /* cgijnlh */, SystemZ::CGIJAsmNLH, Convert__GR641_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_S8Imm, MCK_PCRel16 }, },
2773 { 1306 /* cgit */, SystemZ::CGITAsm, Convert__GR641_0__S16Imm1_1__U4Imm1_2, 0, { MCK_GR64, MCK_S16Imm, MCK_U4Imm }, },
2774 { 1311 /* cgite */, SystemZ::CGITAsmE, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2775 { 1317 /* cgith */, SystemZ::CGITAsmH, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2776 { 1323 /* cgithe */, SystemZ::CGITAsmHE, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2777 { 1330 /* cgitl */, SystemZ::CGITAsmL, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2778 { 1336 /* cgitle */, SystemZ::CGITAsmLE, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2779 { 1343 /* cgitlh */, SystemZ::CGITAsmLH, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2780 { 1350 /* cgitne */, SystemZ::CGITAsmNE, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2781 { 1357 /* cgitnh */, SystemZ::CGITAsmNH, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2782 { 1364 /* cgitnhe */, SystemZ::CGITAsmNHE, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2783 { 1372 /* cgitnl */, SystemZ::CGITAsmNL, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2784 { 1379 /* cgitnle */, SystemZ::CGITAsmNLE, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2785 { 1387 /* cgitnlh */, SystemZ::CGITAsmNLH, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
2786 { 1395 /* cgr */, SystemZ::CGR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2787 { 1399 /* cgrb */, SystemZ::CGRBAsm, Convert__GR641_0__GR641_1__U4Imm1_2__BDAddr64Disp122_3, 0, { MCK_GR64, MCK_GR64, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
2788 { 1404 /* cgrbe */, SystemZ::CGRBAsmE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2789 { 1410 /* cgrbh */, SystemZ::CGRBAsmH, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2790 { 1416 /* cgrbhe */, SystemZ::CGRBAsmHE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2791 { 1423 /* cgrbl */, SystemZ::CGRBAsmL, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2792 { 1429 /* cgrble */, SystemZ::CGRBAsmLE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2793 { 1436 /* cgrblh */, SystemZ::CGRBAsmLH, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2794 { 1443 /* cgrbne */, SystemZ::CGRBAsmNE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2795 { 1450 /* cgrbnh */, SystemZ::CGRBAsmNH, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2796 { 1457 /* cgrbnhe */, SystemZ::CGRBAsmNHE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2797 { 1465 /* cgrbnl */, SystemZ::CGRBAsmNL, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2798 { 1472 /* cgrbnle */, SystemZ::CGRBAsmNLE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2799 { 1480 /* cgrbnlh */, SystemZ::CGRBAsmNLH, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2800 { 1488 /* cgrj */, SystemZ::CGRJAsm, Convert__GR641_0__GR641_1__U4Imm1_2__PCRel161_3, 0, { MCK_GR64, MCK_GR64, MCK_U4Imm, MCK_PCRel16 }, },
2801 { 1493 /* cgrje */, SystemZ::CGRJAsmE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2802 { 1499 /* cgrjh */, SystemZ::CGRJAsmH, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2803 { 1505 /* cgrjhe */, SystemZ::CGRJAsmHE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2804 { 1512 /* cgrjl */, SystemZ::CGRJAsmL, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2805 { 1518 /* cgrjle */, SystemZ::CGRJAsmLE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2806 { 1525 /* cgrjlh */, SystemZ::CGRJAsmLH, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2807 { 1532 /* cgrjne */, SystemZ::CGRJAsmNE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2808 { 1539 /* cgrjnh */, SystemZ::CGRJAsmNH, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2809 { 1546 /* cgrjnhe */, SystemZ::CGRJAsmNHE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2810 { 1554 /* cgrjnl */, SystemZ::CGRJAsmNL, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2811 { 1561 /* cgrjnle */, SystemZ::CGRJAsmNLE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2812 { 1569 /* cgrjnlh */, SystemZ::CGRJAsmNLH, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2813 { 1577 /* cgrl */, SystemZ::CGRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
2814 { 1582 /* cgrt */, SystemZ::CGRTAsm, Convert__GR641_0__GR641_1__U4Imm1_2, 0, { MCK_GR64, MCK_GR64, MCK_U4Imm }, },
2815 { 1587 /* cgrte */, SystemZ::CGRTAsmE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2816 { 1593 /* cgrth */, SystemZ::CGRTAsmH, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2817 { 1599 /* cgrthe */, SystemZ::CGRTAsmHE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2818 { 1606 /* cgrtl */, SystemZ::CGRTAsmL, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2819 { 1612 /* cgrtle */, SystemZ::CGRTAsmLE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2820 { 1619 /* cgrtlh */, SystemZ::CGRTAsmLH, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2821 { 1626 /* cgrtne */, SystemZ::CGRTAsmNE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2822 { 1633 /* cgrtnh */, SystemZ::CGRTAsmNH, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2823 { 1640 /* cgrtnhe */, SystemZ::CGRTAsmNHE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2824 { 1648 /* cgrtnl */, SystemZ::CGRTAsmNL, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2825 { 1655 /* cgrtnle */, SystemZ::CGRTAsmNLE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2826 { 1663 /* cgrtnlh */, SystemZ::CGRTAsmNLH, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2827 { 1671 /* cgxbr */, SystemZ::CGXBR, Convert__GR641_0__U4Imm1_1__FP1281_2, 0, { MCK_GR64, MCK_U4Imm, MCK_FP128 }, },
2828 { 1677 /* cgxbra */, SystemZ::CGXBRA, Convert__GR641_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
2829 { 1684 /* cgxr */, SystemZ::CGXR, Convert__GR641_0__U4Imm1_1__FP1281_2, 0, { MCK_GR64, MCK_U4Imm, MCK_FP128 }, },
2830 { 1689 /* cgxtr */, SystemZ::CGXTR, Convert__GR641_0__U4Imm1_1__FP1281_2, 0, { MCK_GR64, MCK_U4Imm, MCK_FP128 }, },
2831 { 1695 /* cgxtra */, SystemZ::CGXTRA, Convert__GR641_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
2832 { 1702 /* ch */, SystemZ::CH, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
2833 { 1705 /* chf */, SystemZ::CHF, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
2834 { 1709 /* chhr */, SystemZ::CHHR, Convert__GRH321_0__GRH321_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32 }, },
2835 { 1714 /* chhsi */, SystemZ::CHHSI, Convert__BDAddr64Disp122_0__S16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_S16Imm }, },
2836 { 1720 /* chi */, SystemZ::CHI, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2837 { 1724 /* chlr */, SystemZ::CHLR, Convert__GRH321_0__GR321_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_GR32 }, },
2838 { 1729 /* chrl */, SystemZ::CHRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
2839 { 1734 /* chsi */, SystemZ::CHSI, Convert__BDAddr64Disp122_0__S16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_S16Imm }, },
2840 { 1739 /* chy */, SystemZ::CHY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
2841 { 1743 /* cib */, SystemZ::CIBAsm, Convert__GR321_0__S8Imm1_1__U4Imm1_2__BDAddr64Disp122_3, 0, { MCK_GR32, MCK_S8Imm, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
2842 { 1747 /* cibe */, SystemZ::CIBAsmE, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2843 { 1752 /* cibh */, SystemZ::CIBAsmH, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2844 { 1757 /* cibhe */, SystemZ::CIBAsmHE, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2845 { 1763 /* cibl */, SystemZ::CIBAsmL, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2846 { 1768 /* cible */, SystemZ::CIBAsmLE, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2847 { 1774 /* ciblh */, SystemZ::CIBAsmLH, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2848 { 1780 /* cibne */, SystemZ::CIBAsmNE, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2849 { 1786 /* cibnh */, SystemZ::CIBAsmNH, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2850 { 1792 /* cibnhe */, SystemZ::CIBAsmNHE, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2851 { 1799 /* cibnl */, SystemZ::CIBAsmNL, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2852 { 1805 /* cibnle */, SystemZ::CIBAsmNLE, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2853 { 1812 /* cibnlh */, SystemZ::CIBAsmNLH, Convert__GR321_0__S8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_S8Imm, MCK_BDAddr64Disp12 }, },
2854 { 1819 /* cih */, SystemZ::CIH, Convert__GRH321_0__S32Imm1_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_S32Imm }, },
2855 { 1823 /* cij */, SystemZ::CIJAsm, Convert__GR321_0__S8Imm1_1__U4Imm1_2__PCRel161_3, 0, { MCK_GR32, MCK_S8Imm, MCK_U4Imm, MCK_PCRel16 }, },
2856 { 1827 /* cije */, SystemZ::CIJAsmE, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2857 { 1832 /* cijh */, SystemZ::CIJAsmH, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2858 { 1837 /* cijhe */, SystemZ::CIJAsmHE, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2859 { 1843 /* cijl */, SystemZ::CIJAsmL, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2860 { 1848 /* cijle */, SystemZ::CIJAsmLE, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2861 { 1854 /* cijlh */, SystemZ::CIJAsmLH, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2862 { 1860 /* cijne */, SystemZ::CIJAsmNE, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2863 { 1866 /* cijnh */, SystemZ::CIJAsmNH, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2864 { 1872 /* cijnhe */, SystemZ::CIJAsmNHE, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2865 { 1879 /* cijnl */, SystemZ::CIJAsmNL, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2866 { 1885 /* cijnle */, SystemZ::CIJAsmNLE, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2867 { 1892 /* cijnlh */, SystemZ::CIJAsmNLH, Convert__GR321_0__S8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_S8Imm, MCK_PCRel16 }, },
2868 { 1899 /* cit */, SystemZ::CITAsm, Convert__GR321_0__S16Imm1_1__U4Imm1_2, 0, { MCK_GR32, MCK_S16Imm, MCK_U4Imm }, },
2869 { 1903 /* cite */, SystemZ::CITAsmE, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2870 { 1908 /* cith */, SystemZ::CITAsmH, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2871 { 1913 /* cithe */, SystemZ::CITAsmHE, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2872 { 1919 /* citl */, SystemZ::CITAsmL, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2873 { 1924 /* citle */, SystemZ::CITAsmLE, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2874 { 1930 /* citlh */, SystemZ::CITAsmLH, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2875 { 1936 /* citne */, SystemZ::CITAsmNE, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2876 { 1942 /* citnh */, SystemZ::CITAsmNH, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2877 { 1948 /* citnhe */, SystemZ::CITAsmNHE, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2878 { 1955 /* citnl */, SystemZ::CITAsmNL, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2879 { 1961 /* citnle */, SystemZ::CITAsmNLE, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2880 { 1968 /* citnlh */, SystemZ::CITAsmNLH, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
2881 { 1975 /* cksm */, SystemZ::CKSM, Convert__GR641_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR64, MCK_GR128 }, },
2882 { 1980 /* cl */, SystemZ::CL, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
2883 { 1983 /* clc */, SystemZ::CLC, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
2884 { 1987 /* clcl */, SystemZ::CLCL, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
2885 { 1992 /* clcle */, SystemZ::CLCLE, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__BDAddr32Disp122_2, 0, { MCK_GR128, MCK_GR128, MCK_BDAddr32Disp12 }, },
2886 { 1998 /* clclu */, SystemZ::CLCLU, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__BDAddr32Disp202_2, 0, { MCK_GR128, MCK_GR128, MCK_BDAddr32Disp20 }, },
2887 { 2004 /* clfdbr */, SystemZ::CLFDBR, Convert__GR321_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
2888 { 2011 /* clfdtr */, SystemZ::CLFDTR, Convert__GR321_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
2889 { 2018 /* clfebr */, SystemZ::CLFEBR, Convert__GR321_0__U4Imm1_1__FP321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP32, MCK_U4Imm }, },
2890 { 2025 /* clfhsi */, SystemZ::CLFHSI, Convert__BDAddr64Disp122_0__U16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U16Imm }, },
2891 { 2032 /* clfi */, SystemZ::CLFI, Convert__GR321_0__U32Imm1_1, 0, { MCK_GR32, MCK_U32Imm }, },
2892 { 2037 /* clfit */, SystemZ::CLFITAsm, Convert__GR321_0__U16Imm1_1__U4Imm1_2, 0, { MCK_GR32, MCK_U16Imm, MCK_U4Imm }, },
2893 { 2043 /* clfite */, SystemZ::CLFITAsmE, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2894 { 2050 /* clfith */, SystemZ::CLFITAsmH, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2895 { 2057 /* clfithe */, SystemZ::CLFITAsmHE, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2896 { 2065 /* clfitl */, SystemZ::CLFITAsmL, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2897 { 2072 /* clfitle */, SystemZ::CLFITAsmLE, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2898 { 2080 /* clfitlh */, SystemZ::CLFITAsmLH, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2899 { 2088 /* clfitne */, SystemZ::CLFITAsmNE, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2900 { 2096 /* clfitnh */, SystemZ::CLFITAsmNH, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2901 { 2104 /* clfitnhe */, SystemZ::CLFITAsmNHE, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2902 { 2113 /* clfitnl */, SystemZ::CLFITAsmNL, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2903 { 2121 /* clfitnle */, SystemZ::CLFITAsmNLE, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2904 { 2130 /* clfitnlh */, SystemZ::CLFITAsmNLH, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
2905 { 2139 /* clfxbr */, SystemZ::CLFXBR, Convert__GR321_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
2906 { 2146 /* clfxtr */, SystemZ::CLFXTR, Convert__GR321_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR32, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
2907 { 2153 /* clg */, SystemZ::CLG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2908 { 2157 /* clgdbr */, SystemZ::CLGDBR, Convert__GR641_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
2909 { 2164 /* clgdtr */, SystemZ::CLGDTR, Convert__GR641_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
2910 { 2171 /* clgebr */, SystemZ::CLGEBR, Convert__GR641_0__U4Imm1_1__FP321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP32, MCK_U4Imm }, },
2911 { 2178 /* clgf */, SystemZ::CLGF, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
2912 { 2183 /* clgfi */, SystemZ::CLGFI, Convert__GR641_0__U32Imm1_1, 0, { MCK_GR64, MCK_U32Imm }, },
2913 { 2189 /* clgfr */, SystemZ::CLGFR, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
2914 { 2195 /* clgfrl */, SystemZ::CLGFRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
2915 { 2202 /* clghrl */, SystemZ::CLGHRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
2916 { 2209 /* clghsi */, SystemZ::CLGHSI, Convert__BDAddr64Disp122_0__U16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U16Imm }, },
2917 { 2216 /* clgib */, SystemZ::CLGIBAsm, Convert__GR641_0__U8Imm1_1__U4Imm1_2__BDAddr64Disp122_3, 0, { MCK_GR64, MCK_U8Imm, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
2918 { 2222 /* clgibe */, SystemZ::CLGIBAsmE, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2919 { 2229 /* clgibh */, SystemZ::CLGIBAsmH, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2920 { 2236 /* clgibhe */, SystemZ::CLGIBAsmHE, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2921 { 2244 /* clgibl */, SystemZ::CLGIBAsmL, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2922 { 2251 /* clgible */, SystemZ::CLGIBAsmLE, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2923 { 2259 /* clgiblh */, SystemZ::CLGIBAsmLH, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2924 { 2267 /* clgibne */, SystemZ::CLGIBAsmNE, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2925 { 2275 /* clgibnh */, SystemZ::CLGIBAsmNH, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2926 { 2283 /* clgibnhe */, SystemZ::CLGIBAsmNHE, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2927 { 2292 /* clgibnl */, SystemZ::CLGIBAsmNL, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2928 { 2300 /* clgibnle */, SystemZ::CLGIBAsmNLE, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2929 { 2309 /* clgibnlh */, SystemZ::CLGIBAsmNLH, Convert__GR641_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
2930 { 2318 /* clgij */, SystemZ::CLGIJAsm, Convert__GR641_0__U8Imm1_1__U4Imm1_2__PCRel161_3, 0, { MCK_GR64, MCK_U8Imm, MCK_U4Imm, MCK_PCRel16 }, },
2931 { 2324 /* clgije */, SystemZ::CLGIJAsmE, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2932 { 2331 /* clgijh */, SystemZ::CLGIJAsmH, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2933 { 2338 /* clgijhe */, SystemZ::CLGIJAsmHE, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2934 { 2346 /* clgijl */, SystemZ::CLGIJAsmL, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2935 { 2353 /* clgijle */, SystemZ::CLGIJAsmLE, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2936 { 2361 /* clgijlh */, SystemZ::CLGIJAsmLH, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2937 { 2369 /* clgijne */, SystemZ::CLGIJAsmNE, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2938 { 2377 /* clgijnh */, SystemZ::CLGIJAsmNH, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2939 { 2385 /* clgijnhe */, SystemZ::CLGIJAsmNHE, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2940 { 2394 /* clgijnl */, SystemZ::CLGIJAsmNL, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2941 { 2402 /* clgijnle */, SystemZ::CLGIJAsmNLE, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2942 { 2411 /* clgijnlh */, SystemZ::CLGIJAsmNLH, Convert__GR641_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR64, MCK_U8Imm, MCK_PCRel16 }, },
2943 { 2420 /* clgit */, SystemZ::CLGITAsm, Convert__GR641_0__U16Imm1_1__U4Imm1_2, 0, { MCK_GR64, MCK_U16Imm, MCK_U4Imm }, },
2944 { 2426 /* clgite */, SystemZ::CLGITAsmE, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2945 { 2433 /* clgith */, SystemZ::CLGITAsmH, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2946 { 2440 /* clgithe */, SystemZ::CLGITAsmHE, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2947 { 2448 /* clgitl */, SystemZ::CLGITAsmL, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2948 { 2455 /* clgitle */, SystemZ::CLGITAsmLE, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2949 { 2463 /* clgitlh */, SystemZ::CLGITAsmLH, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2950 { 2471 /* clgitne */, SystemZ::CLGITAsmNE, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2951 { 2479 /* clgitnh */, SystemZ::CLGITAsmNH, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2952 { 2487 /* clgitnhe */, SystemZ::CLGITAsmNHE, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2953 { 2496 /* clgitnl */, SystemZ::CLGITAsmNL, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2954 { 2504 /* clgitnle */, SystemZ::CLGITAsmNLE, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2955 { 2513 /* clgitnlh */, SystemZ::CLGITAsmNLH, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
2956 { 2522 /* clgr */, SystemZ::CLGR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2957 { 2527 /* clgrb */, SystemZ::CLGRBAsm, Convert__GR641_0__GR641_1__U4Imm1_2__BDAddr64Disp122_3, 0, { MCK_GR64, MCK_GR64, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
2958 { 2533 /* clgrbe */, SystemZ::CLGRBAsmE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2959 { 2540 /* clgrbh */, SystemZ::CLGRBAsmH, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2960 { 2547 /* clgrbhe */, SystemZ::CLGRBAsmHE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2961 { 2555 /* clgrbl */, SystemZ::CLGRBAsmL, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2962 { 2562 /* clgrble */, SystemZ::CLGRBAsmLE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2963 { 2570 /* clgrblh */, SystemZ::CLGRBAsmLH, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2964 { 2578 /* clgrbne */, SystemZ::CLGRBAsmNE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2965 { 2586 /* clgrbnh */, SystemZ::CLGRBAsmNH, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2966 { 2594 /* clgrbnhe */, SystemZ::CLGRBAsmNHE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2967 { 2603 /* clgrbnl */, SystemZ::CLGRBAsmNL, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2968 { 2611 /* clgrbnle */, SystemZ::CLGRBAsmNLE, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2969 { 2620 /* clgrbnlh */, SystemZ::CLGRBAsmNLH, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
2970 { 2629 /* clgrj */, SystemZ::CLGRJAsm, Convert__GR641_0__GR641_1__U4Imm1_2__PCRel161_3, 0, { MCK_GR64, MCK_GR64, MCK_U4Imm, MCK_PCRel16 }, },
2971 { 2635 /* clgrje */, SystemZ::CLGRJAsmE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2972 { 2642 /* clgrjh */, SystemZ::CLGRJAsmH, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2973 { 2649 /* clgrjhe */, SystemZ::CLGRJAsmHE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2974 { 2657 /* clgrjl */, SystemZ::CLGRJAsmL, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2975 { 2664 /* clgrjle */, SystemZ::CLGRJAsmLE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2976 { 2672 /* clgrjlh */, SystemZ::CLGRJAsmLH, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2977 { 2680 /* clgrjne */, SystemZ::CLGRJAsmNE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2978 { 2688 /* clgrjnh */, SystemZ::CLGRJAsmNH, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2979 { 2696 /* clgrjnhe */, SystemZ::CLGRJAsmNHE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2980 { 2705 /* clgrjnl */, SystemZ::CLGRJAsmNL, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2981 { 2713 /* clgrjnle */, SystemZ::CLGRJAsmNLE, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2982 { 2722 /* clgrjnlh */, SystemZ::CLGRJAsmNLH, Convert__GR641_0__GR641_1__PCRel161_2, 0, { MCK_GR64, MCK_GR64, MCK_PCRel16 }, },
2983 { 2731 /* clgrl */, SystemZ::CLGRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
2984 { 2737 /* clgrt */, SystemZ::CLGRTAsm, Convert__GR641_0__GR641_1__U4Imm1_2, 0, { MCK_GR64, MCK_GR64, MCK_U4Imm }, },
2985 { 2743 /* clgrte */, SystemZ::CLGRTAsmE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2986 { 2750 /* clgrth */, SystemZ::CLGRTAsmH, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2987 { 2757 /* clgrthe */, SystemZ::CLGRTAsmHE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2988 { 2765 /* clgrtl */, SystemZ::CLGRTAsmL, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2989 { 2772 /* clgrtle */, SystemZ::CLGRTAsmLE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2990 { 2780 /* clgrtlh */, SystemZ::CLGRTAsmLH, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2991 { 2788 /* clgrtne */, SystemZ::CLGRTAsmNE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2992 { 2796 /* clgrtnh */, SystemZ::CLGRTAsmNH, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2993 { 2804 /* clgrtnhe */, SystemZ::CLGRTAsmNHE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2994 { 2813 /* clgrtnl */, SystemZ::CLGRTAsmNL, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2995 { 2821 /* clgrtnle */, SystemZ::CLGRTAsmNLE, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2996 { 2830 /* clgrtnlh */, SystemZ::CLGRTAsmNLH, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
2997 { 2839 /* clgt */, SystemZ::CLGTAsm, Convert__GR641_0__BDAddr64Disp202_2__U4Imm1_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_U4Imm, MCK_BDAddr64Disp20 }, },
2998 { 2844 /* clgte */, SystemZ::CLGTAsmE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
2999 { 2850 /* clgth */, SystemZ::CLGTAsmH, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3000 { 2856 /* clgthe */, SystemZ::CLGTAsmHE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3001 { 2863 /* clgtl */, SystemZ::CLGTAsmL, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3002 { 2869 /* clgtle */, SystemZ::CLGTAsmLE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3003 { 2876 /* clgtlh */, SystemZ::CLGTAsmLH, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3004 { 2883 /* clgtne */, SystemZ::CLGTAsmNE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3005 { 2890 /* clgtnh */, SystemZ::CLGTAsmNH, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3006 { 2897 /* clgtnhe */, SystemZ::CLGTAsmNHE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3007 { 2905 /* clgtnl */, SystemZ::CLGTAsmNL, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3008 { 2912 /* clgtnle */, SystemZ::CLGTAsmNLE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3009 { 2920 /* clgtnlh */, SystemZ::CLGTAsmNLH, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3010 { 2928 /* clgxbr */, SystemZ::CLGXBR, Convert__GR641_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
3011 { 2935 /* clgxtr */, SystemZ::CLGXTR, Convert__GR641_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_GR64, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
3012 { 2942 /* clhf */, SystemZ::CLHF, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
3013 { 2947 /* clhhr */, SystemZ::CLHHR, Convert__GRH321_0__GRH321_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32 }, },
3014 { 2953 /* clhhsi */, SystemZ::CLHHSI, Convert__BDAddr64Disp122_0__U16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U16Imm }, },
3015 { 2960 /* clhlr */, SystemZ::CLHLR, Convert__GRH321_0__GR321_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_GR32 }, },
3016 { 2966 /* clhrl */, SystemZ::CLHRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
3017 { 2972 /* cli */, SystemZ::CLI, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
3018 { 2976 /* clib */, SystemZ::CLIBAsm, Convert__GR321_0__U8Imm1_1__U4Imm1_2__BDAddr64Disp122_3, 0, { MCK_GR32, MCK_U8Imm, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
3019 { 2981 /* clibe */, SystemZ::CLIBAsmE, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3020 { 2987 /* clibh */, SystemZ::CLIBAsmH, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3021 { 2993 /* clibhe */, SystemZ::CLIBAsmHE, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3022 { 3000 /* clibl */, SystemZ::CLIBAsmL, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3023 { 3006 /* clible */, SystemZ::CLIBAsmLE, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3024 { 3013 /* cliblh */, SystemZ::CLIBAsmLH, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3025 { 3020 /* clibne */, SystemZ::CLIBAsmNE, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3026 { 3027 /* clibnh */, SystemZ::CLIBAsmNH, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3027 { 3034 /* clibnhe */, SystemZ::CLIBAsmNHE, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3028 { 3042 /* clibnl */, SystemZ::CLIBAsmNL, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3029 { 3049 /* clibnle */, SystemZ::CLIBAsmNLE, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3030 { 3057 /* clibnlh */, SystemZ::CLIBAsmNLH, Convert__GR321_0__U8Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U8Imm, MCK_BDAddr64Disp12 }, },
3031 { 3065 /* clih */, SystemZ::CLIH, Convert__GRH321_0__U32Imm1_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_U32Imm }, },
3032 { 3070 /* clij */, SystemZ::CLIJAsm, Convert__GR321_0__U8Imm1_1__U4Imm1_2__PCRel161_3, 0, { MCK_GR32, MCK_U8Imm, MCK_U4Imm, MCK_PCRel16 }, },
3033 { 3075 /* clije */, SystemZ::CLIJAsmE, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3034 { 3081 /* clijh */, SystemZ::CLIJAsmH, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3035 { 3087 /* clijhe */, SystemZ::CLIJAsmHE, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3036 { 3094 /* clijl */, SystemZ::CLIJAsmL, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3037 { 3100 /* clijle */, SystemZ::CLIJAsmLE, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3038 { 3107 /* clijlh */, SystemZ::CLIJAsmLH, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3039 { 3114 /* clijne */, SystemZ::CLIJAsmNE, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3040 { 3121 /* clijnh */, SystemZ::CLIJAsmNH, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3041 { 3128 /* clijnhe */, SystemZ::CLIJAsmNHE, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3042 { 3136 /* clijnl */, SystemZ::CLIJAsmNL, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3043 { 3143 /* clijnle */, SystemZ::CLIJAsmNLE, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3044 { 3151 /* clijnlh */, SystemZ::CLIJAsmNLH, Convert__GR321_0__U8Imm1_1__PCRel161_2, 0, { MCK_GR32, MCK_U8Imm, MCK_PCRel16 }, },
3045 { 3159 /* cliy */, SystemZ::CLIY, Convert__BDAddr64Disp202_0__U8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_U8Imm }, },
3046 { 3164 /* clm */, SystemZ::CLM, Convert__GR321_0__U4Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
3047 { 3168 /* clmh */, SystemZ::CLMH, Convert__GRH321_0__U4Imm1_1__BDAddr64Disp202_2, 0, { MCK_GRH32, MCK_U4Imm, MCK_BDAddr64Disp20 }, },
3048 { 3173 /* clmy */, SystemZ::CLMY, Convert__GR321_0__U4Imm1_1__BDAddr64Disp202_2, 0, { MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp20 }, },
3049 { 3178 /* clr */, SystemZ::CLR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3050 { 3182 /* clrb */, SystemZ::CLRBAsm, Convert__GR321_0__GR321_1__U4Imm1_2__BDAddr64Disp122_3, 0, { MCK_GR32, MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
3051 { 3187 /* clrbe */, SystemZ::CLRBAsmE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3052 { 3193 /* clrbh */, SystemZ::CLRBAsmH, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3053 { 3199 /* clrbhe */, SystemZ::CLRBAsmHE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3054 { 3206 /* clrbl */, SystemZ::CLRBAsmL, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3055 { 3212 /* clrble */, SystemZ::CLRBAsmLE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3056 { 3219 /* clrblh */, SystemZ::CLRBAsmLH, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3057 { 3226 /* clrbne */, SystemZ::CLRBAsmNE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3058 { 3233 /* clrbnh */, SystemZ::CLRBAsmNH, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3059 { 3240 /* clrbnhe */, SystemZ::CLRBAsmNHE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3060 { 3248 /* clrbnl */, SystemZ::CLRBAsmNL, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3061 { 3255 /* clrbnle */, SystemZ::CLRBAsmNLE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3062 { 3263 /* clrbnlh */, SystemZ::CLRBAsmNLH, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3063 { 3271 /* clrj */, SystemZ::CLRJAsm, Convert__GR321_0__GR321_1__U4Imm1_2__PCRel161_3, 0, { MCK_GR32, MCK_GR32, MCK_U4Imm, MCK_PCRel16 }, },
3064 { 3276 /* clrje */, SystemZ::CLRJAsmE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3065 { 3282 /* clrjh */, SystemZ::CLRJAsmH, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3066 { 3288 /* clrjhe */, SystemZ::CLRJAsmHE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3067 { 3295 /* clrjl */, SystemZ::CLRJAsmL, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3068 { 3301 /* clrjle */, SystemZ::CLRJAsmLE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3069 { 3308 /* clrjlh */, SystemZ::CLRJAsmLH, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3070 { 3315 /* clrjne */, SystemZ::CLRJAsmNE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3071 { 3322 /* clrjnh */, SystemZ::CLRJAsmNH, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3072 { 3329 /* clrjnhe */, SystemZ::CLRJAsmNHE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3073 { 3337 /* clrjnl */, SystemZ::CLRJAsmNL, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3074 { 3344 /* clrjnle */, SystemZ::CLRJAsmNLE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3075 { 3352 /* clrjnlh */, SystemZ::CLRJAsmNLH, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3076 { 3360 /* clrl */, SystemZ::CLRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
3077 { 3365 /* clrt */, SystemZ::CLRTAsm, Convert__GR321_0__GR321_1__U4Imm1_2, 0, { MCK_GR32, MCK_GR32, MCK_U4Imm }, },
3078 { 3370 /* clrte */, SystemZ::CLRTAsmE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3079 { 3376 /* clrth */, SystemZ::CLRTAsmH, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3080 { 3382 /* clrthe */, SystemZ::CLRTAsmHE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3081 { 3389 /* clrtl */, SystemZ::CLRTAsmL, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3082 { 3395 /* clrtle */, SystemZ::CLRTAsmLE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3083 { 3402 /* clrtlh */, SystemZ::CLRTAsmLH, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3084 { 3409 /* clrtne */, SystemZ::CLRTAsmNE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3085 { 3416 /* clrtnh */, SystemZ::CLRTAsmNH, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3086 { 3423 /* clrtnhe */, SystemZ::CLRTAsmNHE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3087 { 3431 /* clrtnl */, SystemZ::CLRTAsmNL, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3088 { 3438 /* clrtnle */, SystemZ::CLRTAsmNLE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3089 { 3446 /* clrtnlh */, SystemZ::CLRTAsmNLH, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3090 { 3454 /* clst */, SystemZ::CLST, Convert__GR641_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR64, MCK_GR64 }, },
3091 { 3459 /* clt */, SystemZ::CLTAsm, Convert__GR321_0__BDAddr64Disp202_2__U4Imm1_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp20 }, },
3092 { 3463 /* clte */, SystemZ::CLTAsmE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3093 { 3468 /* clth */, SystemZ::CLTAsmH, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3094 { 3473 /* clthe */, SystemZ::CLTAsmHE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3095 { 3479 /* cltl */, SystemZ::CLTAsmL, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3096 { 3484 /* cltle */, SystemZ::CLTAsmLE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3097 { 3490 /* cltlh */, SystemZ::CLTAsmLH, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3098 { 3496 /* cltne */, SystemZ::CLTAsmNE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3099 { 3502 /* cltnh */, SystemZ::CLTAsmNH, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3100 { 3508 /* cltnhe */, SystemZ::CLTAsmNHE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3101 { 3515 /* cltnl */, SystemZ::CLTAsmNL, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3102 { 3521 /* cltnle */, SystemZ::CLTAsmNLE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3103 { 3528 /* cltnlh */, SystemZ::CLTAsmNLH, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureMiscellaneousExtensions, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3104 { 3535 /* cly */, SystemZ::CLY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3105 { 3539 /* cmpsc */, SystemZ::CMPSC, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3106 { 3545 /* cp */, SystemZ::CP, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
3107 { 3548 /* cpdt */, SystemZ::CPDT, Convert__FP641_0__BDLAddr64Disp12Len83_1__U4Imm1_2, Feature_FeatureDFPPackedConversion, { MCK_FP64, MCK_BDLAddr64Disp12Len8, MCK_U4Imm }, },
3108 { 3553 /* cpsdr */, SystemZ::CPSDRdd, Convert__FP641_0__FP641_2__FP641_1, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3109 { 3559 /* cpxt */, SystemZ::CPXT, Convert__FP1281_0__BDLAddr64Disp12Len83_1__U4Imm1_2, Feature_FeatureDFPPackedConversion, { MCK_FP128, MCK_BDLAddr64Disp12Len8, MCK_U4Imm }, },
3110 { 3564 /* cpya */, SystemZ::CPYA, Convert__AR321_0__AR321_1, 0, { MCK_AR32, MCK_AR32 }, },
3111 { 3569 /* cr */, SystemZ::CR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3112 { 3572 /* crb */, SystemZ::CRBAsm, Convert__GR321_0__GR321_1__U4Imm1_2__BDAddr64Disp122_3, 0, { MCK_GR32, MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
3113 { 3576 /* crbe */, SystemZ::CRBAsmE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3114 { 3581 /* crbh */, SystemZ::CRBAsmH, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3115 { 3586 /* crbhe */, SystemZ::CRBAsmHE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3116 { 3592 /* crbl */, SystemZ::CRBAsmL, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3117 { 3597 /* crble */, SystemZ::CRBAsmLE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3118 { 3603 /* crblh */, SystemZ::CRBAsmLH, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3119 { 3609 /* crbne */, SystemZ::CRBAsmNE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3120 { 3615 /* crbnh */, SystemZ::CRBAsmNH, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3121 { 3621 /* crbnhe */, SystemZ::CRBAsmNHE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3122 { 3628 /* crbnl */, SystemZ::CRBAsmNL, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3123 { 3634 /* crbnle */, SystemZ::CRBAsmNLE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3124 { 3641 /* crbnlh */, SystemZ::CRBAsmNLH, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3125 { 3648 /* crdte */, SystemZ::CRDTEOpt, Convert__GR1281_0__GR1281_2__GR641_1, Feature_FeatureEnhancedDAT2, { MCK_GR128, MCK_GR64, MCK_GR128 }, },
3126 { 3648 /* crdte */, SystemZ::CRDTE, Convert__GR1281_0__GR1281_2__GR641_1__U4Imm1_3, Feature_FeatureEnhancedDAT2, { MCK_GR128, MCK_GR64, MCK_GR128, MCK_U4Imm }, },
3127 { 3654 /* crj */, SystemZ::CRJAsm, Convert__GR321_0__GR321_1__U4Imm1_2__PCRel161_3, 0, { MCK_GR32, MCK_GR32, MCK_U4Imm, MCK_PCRel16 }, },
3128 { 3658 /* crje */, SystemZ::CRJAsmE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3129 { 3663 /* crjh */, SystemZ::CRJAsmH, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3130 { 3668 /* crjhe */, SystemZ::CRJAsmHE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3131 { 3674 /* crjl */, SystemZ::CRJAsmL, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3132 { 3679 /* crjle */, SystemZ::CRJAsmLE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3133 { 3685 /* crjlh */, SystemZ::CRJAsmLH, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3134 { 3691 /* crjne */, SystemZ::CRJAsmNE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3135 { 3697 /* crjnh */, SystemZ::CRJAsmNH, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3136 { 3703 /* crjnhe */, SystemZ::CRJAsmNHE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3137 { 3710 /* crjnl */, SystemZ::CRJAsmNL, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3138 { 3716 /* crjnle */, SystemZ::CRJAsmNLE, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3139 { 3723 /* crjnlh */, SystemZ::CRJAsmNLH, Convert__GR321_0__GR321_1__PCRel161_2, 0, { MCK_GR32, MCK_GR32, MCK_PCRel16 }, },
3140 { 3730 /* crl */, SystemZ::CRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
3141 { 3734 /* crt */, SystemZ::CRTAsm, Convert__GR321_0__GR321_1__U4Imm1_2, 0, { MCK_GR32, MCK_GR32, MCK_U4Imm }, },
3142 { 3738 /* crte */, SystemZ::CRTAsmE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3143 { 3743 /* crth */, SystemZ::CRTAsmH, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3144 { 3748 /* crthe */, SystemZ::CRTAsmHE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3145 { 3754 /* crtl */, SystemZ::CRTAsmL, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3146 { 3759 /* crtle */, SystemZ::CRTAsmLE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3147 { 3765 /* crtlh */, SystemZ::CRTAsmLH, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3148 { 3771 /* crtne */, SystemZ::CRTAsmNE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3149 { 3777 /* crtnh */, SystemZ::CRTAsmNH, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3150 { 3783 /* crtnhe */, SystemZ::CRTAsmNHE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3151 { 3790 /* crtnl */, SystemZ::CRTAsmNL, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3152 { 3796 /* crtnle */, SystemZ::CRTAsmNLE, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3153 { 3803 /* crtnlh */, SystemZ::CRTAsmNLH, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3154 { 3810 /* cs */, SystemZ::CS, Convert__GR321_0__Tie0_1_1__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3155 { 3813 /* csch */, SystemZ::CSCH, Convert_NoOperands, 0, { }, },
3156 { 3818 /* csdtr */, SystemZ::CSDTR, Convert__GR641_0__FP641_1__U4Imm1_2, 0, { MCK_GR64, MCK_FP64, MCK_U4Imm }, },
3157 { 3824 /* csg */, SystemZ::CSG, Convert__GR641_0__Tie0_1_1__GR641_1__BDAddr64Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
3158 { 3828 /* csp */, SystemZ::CSP, Convert__GR1281_0__Tie0_1_1__GR641_1, 0, { MCK_GR128, MCK_GR64 }, },
3159 { 3832 /* cspg */, SystemZ::CSPG, Convert__GR1281_0__Tie0_1_1__GR641_1, 0, { MCK_GR128, MCK_GR64 }, },
3160 { 3837 /* csst */, SystemZ::CSST, Convert__BDAddr64Disp122_0__BDAddr64Disp122_1__GR641_2, 0, { MCK_BDAddr64Disp12, MCK_BDAddr64Disp12, MCK_GR64 }, },
3161 { 3842 /* csxtr */, SystemZ::CSXTR, Convert__GR1281_0__FP1281_1__U4Imm1_2, 0, { MCK_GR128, MCK_FP128, MCK_U4Imm }, },
3162 { 3848 /* csy */, SystemZ::CSY, Convert__GR321_0__Tie0_1_1__GR321_1__BDAddr64Disp202_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp20 }, },
3163 { 3852 /* cu12 */, SystemZ::CU12Opt, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3164 { 3852 /* cu12 */, SystemZ::CU12, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR128, MCK_U4Imm }, },
3165 { 3857 /* cu14 */, SystemZ::CU14Opt, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3166 { 3857 /* cu14 */, SystemZ::CU14, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR128, MCK_U4Imm }, },
3167 { 3862 /* cu21 */, SystemZ::CU21Opt, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3168 { 3862 /* cu21 */, SystemZ::CU21, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR128, MCK_U4Imm }, },
3169 { 3867 /* cu24 */, SystemZ::CU24Opt, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3170 { 3867 /* cu24 */, SystemZ::CU24, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR128, MCK_U4Imm }, },
3171 { 3872 /* cu41 */, SystemZ::CU41, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3172 { 3877 /* cu42 */, SystemZ::CU42, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3173 { 3882 /* cudtr */, SystemZ::CUDTR, Convert__GR641_0__FP641_1, 0, { MCK_GR64, MCK_FP64 }, },
3174 { 3888 /* cuse */, SystemZ::CUSE, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3175 { 3893 /* cutfu */, SystemZ::CUTFUOpt, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3176 { 3893 /* cutfu */, SystemZ::CUTFU, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR128, MCK_U4Imm }, },
3177 { 3899 /* cuutf */, SystemZ::CUUTFOpt, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3178 { 3899 /* cuutf */, SystemZ::CUUTF, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR128, MCK_U4Imm }, },
3179 { 3905 /* cuxtr */, SystemZ::CUXTR, Convert__GR1281_0__FP1281_1, 0, { MCK_GR128, MCK_FP128 }, },
3180 { 3911 /* cvb */, SystemZ::CVB, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3181 { 3915 /* cvbg */, SystemZ::CVBG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3182 { 3920 /* cvby */, SystemZ::CVBY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3183 { 3925 /* cvd */, SystemZ::CVD, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3184 { 3929 /* cvdg */, SystemZ::CVDG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3185 { 3934 /* cvdy */, SystemZ::CVDY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3186 { 3939 /* cxbr */, SystemZ::CXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3187 { 3944 /* cxfbr */, SystemZ::CXFBR, Convert__FP1281_0__GR321_1, 0, { MCK_FP128, MCK_GR32 }, },
3188 { 3950 /* cxfbra */, SystemZ::CXFBRA, Convert__FP1281_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
3189 { 3957 /* cxfr */, SystemZ::CXFR, Convert__FP1281_0__GR321_1, 0, { MCK_FP128, MCK_GR32 }, },
3190 { 3962 /* cxftr */, SystemZ::CXFTR, Convert__FP1281_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
3191 { 3968 /* cxgbr */, SystemZ::CXGBR, Convert__FP1281_0__GR641_1, 0, { MCK_FP128, MCK_GR64 }, },
3192 { 3974 /* cxgbra */, SystemZ::CXGBRA, Convert__FP1281_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
3193 { 3981 /* cxgr */, SystemZ::CXGR, Convert__FP1281_0__GR641_1, 0, { MCK_FP128, MCK_GR64 }, },
3194 { 3986 /* cxgtr */, SystemZ::CXGTR, Convert__FP1281_0__GR641_1, 0, { MCK_FP128, MCK_GR64 }, },
3195 { 3992 /* cxgtra */, SystemZ::CXGTRA, Convert__FP1281_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
3196 { 3999 /* cxlfbr */, SystemZ::CXLFBR, Convert__FP1281_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
3197 { 4006 /* cxlftr */, SystemZ::CXLFTR, Convert__FP1281_0__U4Imm1_1__GR321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_GR32, MCK_U4Imm }, },
3198 { 4013 /* cxlgbr */, SystemZ::CXLGBR, Convert__FP1281_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
3199 { 4020 /* cxlgtr */, SystemZ::CXLGTR, Convert__FP1281_0__U4Imm1_1__GR641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_GR64, MCK_U4Imm }, },
3200 { 4027 /* cxpt */, SystemZ::CXPT, Convert__FP1281_0__BDLAddr64Disp12Len83_1__U4Imm1_2, Feature_FeatureDFPPackedConversion, { MCK_FP128, MCK_BDLAddr64Disp12Len8, MCK_U4Imm }, },
3201 { 4032 /* cxr */, SystemZ::CXR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3202 { 4036 /* cxstr */, SystemZ::CXSTR, Convert__FP1281_0__GR1281_1, 0, { MCK_FP128, MCK_GR128 }, },
3203 { 4042 /* cxtr */, SystemZ::CXTR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3204 { 4047 /* cxutr */, SystemZ::CXUTR, Convert__FP1281_0__GR1281_1, 0, { MCK_FP128, MCK_GR128 }, },
3205 { 4053 /* cxzt */, SystemZ::CXZT, Convert__FP1281_0__BDLAddr64Disp12Len83_1__U4Imm1_2, Feature_FeatureDFPZonedConversion, { MCK_FP128, MCK_BDLAddr64Disp12Len8, MCK_U4Imm }, },
3206 { 4058 /* cy */, SystemZ::CY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3207 { 4061 /* czdt */, SystemZ::CZDT, Convert__FP641_0__BDLAddr64Disp12Len83_1__U4Imm1_2, Feature_FeatureDFPZonedConversion, { MCK_FP64, MCK_BDLAddr64Disp12Len8, MCK_U4Imm }, },
3208 { 4066 /* czxt */, SystemZ::CZXT, Convert__FP1281_0__BDLAddr64Disp12Len83_1__U4Imm1_2, Feature_FeatureDFPZonedConversion, { MCK_FP128, MCK_BDLAddr64Disp12Len8, MCK_U4Imm }, },
3209 { 4071 /* d */, SystemZ::D, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR128, MCK_BDXAddr64Disp12 }, },
3210 { 4073 /* dd */, SystemZ::DD, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3211 { 4076 /* ddb */, SystemZ::DDB, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3212 { 4080 /* ddbr */, SystemZ::DDBR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3213 { 4085 /* ddr */, SystemZ::DDR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3214 { 4089 /* ddtr */, SystemZ::DDTR, Convert__FP641_0__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3215 { 4094 /* ddtra */, SystemZ::DDTRA, Convert__FP641_0__FP641_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_FP64, MCK_FP64, MCK_U4Imm }, },
3216 { 4100 /* de */, SystemZ::DE, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
3217 { 4103 /* deb */, SystemZ::DEB, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
3218 { 4107 /* debr */, SystemZ::DEBR, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3219 { 4112 /* der */, SystemZ::DER, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3220 { 4116 /* diag */, SystemZ::DIAG, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3221 { 4121 /* didbr */, SystemZ::DIDBR, Convert__FP641_0__FP641_1__Tie0_1_1__FP641_2__U4Imm1_3, 0, { MCK_FP64, MCK_FP64, MCK_FP64, MCK_U4Imm }, },
3222 { 4127 /* diebr */, SystemZ::DIEBR, Convert__FP321_0__FP321_1__Tie0_1_1__FP321_2__U4Imm1_3, 0, { MCK_FP32, MCK_FP32, MCK_FP32, MCK_U4Imm }, },
3223 { 4133 /* dl */, SystemZ::DL, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3224 { 4136 /* dlg */, SystemZ::DLG, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3225 { 4140 /* dlgr */, SystemZ::DLGR, Convert__GR1281_0__Tie0_1_1__GR641_1, 0, { MCK_GR128, MCK_GR64 }, },
3226 { 4145 /* dlr */, SystemZ::DLR, Convert__GR1281_0__Tie0_1_1__GR321_1, 0, { MCK_GR128, MCK_GR32 }, },
3227 { 4149 /* dp */, SystemZ::DP, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
3228 { 4152 /* dr */, SystemZ::DR, Convert__GR1281_0__Tie0_1_1__GR321_1, 0, { MCK_GR128, MCK_GR32 }, },
3229 { 4155 /* dsg */, SystemZ::DSG, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3230 { 4159 /* dsgf */, SystemZ::DSGF, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3231 { 4164 /* dsgfr */, SystemZ::DSGFR, Convert__GR1281_0__Tie0_1_1__GR321_1, 0, { MCK_GR128, MCK_GR32 }, },
3232 { 4170 /* dsgr */, SystemZ::DSGR, Convert__GR1281_0__Tie0_1_1__GR641_1, 0, { MCK_GR128, MCK_GR64 }, },
3233 { 4175 /* dxbr */, SystemZ::DXBR, Convert__FP1281_0__Tie0_1_1__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3234 { 4180 /* dxr */, SystemZ::DXR, Convert__FP1281_0__Tie0_1_1__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3235 { 4184 /* dxtr */, SystemZ::DXTR, Convert__FP1281_0__FP1281_1__FP1281_2, 0, { MCK_FP128, MCK_FP128, MCK_FP128 }, },
3236 { 4189 /* dxtra */, SystemZ::DXTRA, Convert__FP1281_0__FP1281_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_FP128, MCK_FP128, MCK_U4Imm }, },
3237 { 4195 /* ear */, SystemZ::EAR, Convert__GR321_0__AR321_1, 0, { MCK_GR32, MCK_AR32 }, },
3238 { 4199 /* ecag */, SystemZ::ECAG, Convert__GR641_0__GR641_1__BDAddr32Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr32Disp20 }, },
3239 { 4204 /* ecctr */, SystemZ::ECCTR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3240 { 4210 /* ecpga */, SystemZ::ECPGA, Convert__GR321_0__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
3241 { 4216 /* ectg */, SystemZ::ECTG, Convert__BDAddr64Disp122_0__BDAddr64Disp122_1__GR641_2, 0, { MCK_BDAddr64Disp12, MCK_BDAddr64Disp12, MCK_GR64 }, },
3242 { 4221 /* ed */, SystemZ::ED, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
3243 { 4224 /* edmk */, SystemZ::EDMK, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
3244 { 4229 /* eedtr */, SystemZ::EEDTR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3245 { 4235 /* eextr */, SystemZ::EEXTR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3246 { 4241 /* efpc */, SystemZ::EFPC, Convert__GR321_0, 0, { MCK_GR32 }, },
3247 { 4246 /* epair */, SystemZ::EPAIR, Convert__GR641_0, 0, { MCK_GR64 }, },
3248 { 4252 /* epar */, SystemZ::EPAR, Convert__GR321_0, 0, { MCK_GR32 }, },
3249 { 4257 /* epctr */, SystemZ::EPCTR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3250 { 4263 /* epsw */, SystemZ::EPSW, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3251 { 4268 /* ereg */, SystemZ::EREG, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3252 { 4273 /* eregg */, SystemZ::EREGG, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3253 { 4279 /* esair */, SystemZ::ESAIR, Convert__GR641_0, 0, { MCK_GR64 }, },
3254 { 4285 /* esar */, SystemZ::ESAR, Convert__GR321_0, 0, { MCK_GR32 }, },
3255 { 4290 /* esdtr */, SystemZ::ESDTR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3256 { 4296 /* esea */, SystemZ::ESEA, Convert__GR321_0__Tie0_1_1, 0, { MCK_GR32 }, },
3257 { 4301 /* esta */, SystemZ::ESTA, Convert__GR1281_0__GR321_1, 0, { MCK_GR128, MCK_GR32 }, },
3258 { 4306 /* esxtr */, SystemZ::ESXTR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3259 { 4312 /* etnd */, SystemZ::ETND, Convert__GR321_0, Feature_FeatureTransactionalExecution, { MCK_GR32 }, },
3260 { 4317 /* ex */, SystemZ::EX, Convert__GR641_0__BDXAddr64Disp123_1, 0, { MCK_GR64, MCK_BDXAddr64Disp12 }, },
3261 { 4320 /* exrl */, SystemZ::EXRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
3262 { 4325 /* fidbr */, SystemZ::FIDBR, Convert__FP641_0__U4Imm1_1__FP641_2, 0, { MCK_FP64, MCK_U4Imm, MCK_FP64 }, },
3263 { 4331 /* fidbra */, SystemZ::FIDBRA, Convert__FP641_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
3264 { 4338 /* fidr */, SystemZ::FIDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3265 { 4343 /* fidtr */, SystemZ::FIDTR, Convert__FP641_0__U4Imm1_1__FP641_2__U4Imm1_3, 0, { MCK_FP64, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
3266 { 4349 /* fiebr */, SystemZ::FIEBR, Convert__FP321_0__U4Imm1_1__FP321_2, 0, { MCK_FP32, MCK_U4Imm, MCK_FP32 }, },
3267 { 4355 /* fiebra */, SystemZ::FIEBRA, Convert__FP321_0__U4Imm1_1__FP321_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP32, MCK_U4Imm, MCK_FP32, MCK_U4Imm }, },
3268 { 4362 /* fier */, SystemZ::FIER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3269 { 4367 /* fixbr */, SystemZ::FIXBR, Convert__FP1281_0__U4Imm1_1__FP1281_2, 0, { MCK_FP128, MCK_U4Imm, MCK_FP128 }, },
3270 { 4373 /* fixbra */, SystemZ::FIXBRA, Convert__FP1281_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
3271 { 4380 /* fixr */, SystemZ::FIXR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3272 { 4385 /* fixtr */, SystemZ::FIXTR, Convert__FP1281_0__U4Imm1_1__FP1281_2__U4Imm1_3, 0, { MCK_FP128, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
3273 { 4391 /* flogr */, SystemZ::FLOGR, Convert__GR1281_0__GR641_1, 0, { MCK_GR128, MCK_GR64 }, },
3274 { 4397 /* hdr */, SystemZ::HDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3275 { 4401 /* her */, SystemZ::HER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3276 { 4405 /* hsch */, SystemZ::HSCH, Convert_NoOperands, 0, { }, },
3277 { 4410 /* iac */, SystemZ::IAC, Convert__GR321_0, 0, { MCK_GR32 }, },
3278 { 4414 /* ic */, SystemZ::IC, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR64, MCK_BDXAddr64Disp12 }, },
3279 { 4417 /* icm */, SystemZ::ICM, Convert__GR321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
3280 { 4421 /* icmh */, SystemZ::ICMH, Convert__GRH321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp202_2, 0, { MCK_GRH32, MCK_U4Imm, MCK_BDAddr64Disp20 }, },
3281 { 4426 /* icmy */, SystemZ::ICMY, Convert__GR321_0__Tie0_1_1__U4Imm1_1__BDAddr64Disp202_2, 0, { MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp20 }, },
3282 { 4431 /* icy */, SystemZ::ICY, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3283 { 4435 /* idte */, SystemZ::IDTEOpt, Convert__GR641_0__GR641_2__GR641_1, 0, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
3284 { 4435 /* idte */, SystemZ::IDTE, Convert__GR641_0__GR641_2__GR641_1__U4Imm1_3, 0, { MCK_GR64, MCK_GR64, MCK_GR64, MCK_U4Imm }, },
3285 { 4440 /* iedtr */, SystemZ::IEDTR, Convert__FP641_0__FP641_2__FP641_1, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3286 { 4446 /* iextr */, SystemZ::IEXTR, Convert__FP1281_0__FP1281_2__FP1281_1, 0, { MCK_FP128, MCK_FP128, MCK_FP128 }, },
3287 { 4452 /* iihf */, SystemZ::IIHF, Convert__GRH321_0__U32Imm1_1, 0, { MCK_GRH32, MCK_U32Imm }, },
3288 { 4457 /* iihh */, SystemZ::IIHH, Convert__GRH321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GRH32, MCK_U16Imm }, },
3289 { 4462 /* iihl */, SystemZ::IIHL, Convert__GRH321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GRH32, MCK_U16Imm }, },
3290 { 4467 /* iilf */, SystemZ::IILF, Convert__GR321_0__U32Imm1_1, 0, { MCK_GR32, MCK_U32Imm }, },
3291 { 4472 /* iilh */, SystemZ::IILH, Convert__GR321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
3292 { 4477 /* iill */, SystemZ::IILL, Convert__GR321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
3293 { 4482 /* ipk */, SystemZ::IPK, Convert_NoOperands, 0, { }, },
3294 { 4486 /* ipm */, SystemZ::IPM, Convert__GR321_0, 0, { MCK_GR32 }, },
3295 { 4490 /* ipte */, SystemZ::IPTEOptOpt, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3296 { 4490 /* ipte */, SystemZ::IPTEOpt, Convert__GR641_0__GR321_1__GR321_2, 0, { MCK_GR64, MCK_GR32, MCK_GR32 }, },
3297 { 4490 /* ipte */, SystemZ::IPTE, Convert__GR641_0__GR321_1__GR321_2__U4Imm1_3, 0, { MCK_GR64, MCK_GR32, MCK_GR32, MCK_U4Imm }, },
3298 { 4495 /* irbm */, SystemZ::IRBM, Convert__GR641_0__GR641_1, Feature_FeatureInsertReferenceBitsMultiple, { MCK_GR64, MCK_GR64 }, },
3299 { 4500 /* iske */, SystemZ::ISKE, Convert__GR321_0__Tie0_1_1__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
3300 { 4505 /* ivsk */, SystemZ::IVSK, Convert__GR321_0__Tie0_1_1__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
3301 { 4510 /* j */, SystemZ::J, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3302 { 4512 /* je */, SystemZ::JAsmE, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3303 { 4515 /* jg */, SystemZ::JG, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3304 { 4518 /* jge */, SystemZ::JGAsmE, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3305 { 4522 /* jgh */, SystemZ::JGAsmH, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3306 { 4526 /* jghe */, SystemZ::JGAsmHE, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3307 { 4531 /* jgl */, SystemZ::JGAsmL, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3308 { 4535 /* jgle */, SystemZ::JGAsmLE, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3309 { 4540 /* jglh */, SystemZ::JGAsmLH, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3310 { 4545 /* jgm */, SystemZ::JGAsmM, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3311 { 4549 /* jgne */, SystemZ::JGAsmNE, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3312 { 4554 /* jgnh */, SystemZ::JGAsmNH, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3313 { 4559 /* jgnhe */, SystemZ::JGAsmNHE, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3314 { 4565 /* jgnl */, SystemZ::JGAsmNL, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3315 { 4570 /* jgnle */, SystemZ::JGAsmNLE, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3316 { 4576 /* jgnlh */, SystemZ::JGAsmNLH, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3317 { 4582 /* jgnm */, SystemZ::JGAsmNM, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3318 { 4587 /* jgno */, SystemZ::JGAsmNO, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3319 { 4592 /* jgnp */, SystemZ::JGAsmNP, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3320 { 4597 /* jgnz */, SystemZ::JGAsmNZ, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3321 { 4602 /* jgo */, SystemZ::JGAsmO, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3322 { 4606 /* jgp */, SystemZ::JGAsmP, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3323 { 4610 /* jgz */, SystemZ::JGAsmZ, Convert__PCRel321_0, 0, { MCK_PCRel32 }, },
3324 { 4614 /* jh */, SystemZ::JAsmH, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3325 { 4617 /* jhe */, SystemZ::JAsmHE, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3326 { 4621 /* jl */, SystemZ::JAsmL, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3327 { 4624 /* jle */, SystemZ::JAsmLE, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3328 { 4628 /* jlh */, SystemZ::JAsmLH, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3329 { 4632 /* jm */, SystemZ::JAsmM, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3330 { 4635 /* jne */, SystemZ::JAsmNE, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3331 { 4639 /* jnh */, SystemZ::JAsmNH, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3332 { 4643 /* jnhe */, SystemZ::JAsmNHE, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3333 { 4648 /* jnl */, SystemZ::JAsmNL, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3334 { 4652 /* jnle */, SystemZ::JAsmNLE, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3335 { 4657 /* jnlh */, SystemZ::JAsmNLH, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3336 { 4662 /* jnm */, SystemZ::JAsmNM, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3337 { 4666 /* jno */, SystemZ::JAsmNO, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3338 { 4670 /* jnp */, SystemZ::JAsmNP, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3339 { 4674 /* jnz */, SystemZ::JAsmNZ, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3340 { 4678 /* jo */, SystemZ::JAsmO, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3341 { 4681 /* jp */, SystemZ::JAsmP, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3342 { 4684 /* jz */, SystemZ::JAsmZ, Convert__PCRel161_0, 0, { MCK_PCRel16 }, },
3343 { 4687 /* kdb */, SystemZ::KDB, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3344 { 4691 /* kdbr */, SystemZ::KDBR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3345 { 4696 /* kdtr */, SystemZ::KDTR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3346 { 4701 /* keb */, SystemZ::KEB, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
3347 { 4705 /* kebr */, SystemZ::KEBR, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3348 { 4710 /* kimd */, SystemZ::KIMD, Convert__GR1281_1__GR641_0__Tie0_1_1, 0, { MCK_GR64, MCK_GR128 }, },
3349 { 4715 /* klmd */, SystemZ::KLMD, Convert__GR1281_1__GR641_0__Tie0_1_1, 0, { MCK_GR64, MCK_GR128 }, },
3350 { 4720 /* km */, SystemZ::KM, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3351 { 4723 /* kma */, SystemZ::KMA, Convert__GR1281_0__GR1281_2__GR1281_1__Tie0_1_1__Tie1_1_1__Tie2_1_1, Feature_FeatureMessageSecurityAssist8, { MCK_GR128, MCK_GR128, MCK_GR128 }, },
3352 { 4727 /* kmac */, SystemZ::KMAC, Convert__GR1281_1__GR641_0__Tie0_1_1, 0, { MCK_GR64, MCK_GR128 }, },
3353 { 4732 /* kmc */, SystemZ::KMC, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3354 { 4736 /* kmctr */, SystemZ::KMCTR, Convert__GR1281_0__GR1281_2__GR1281_1__Tie0_1_1__Tie1_1_1__Tie2_1_1, Feature_FeatureMessageSecurityAssist4, { MCK_GR128, MCK_GR128, MCK_GR128 }, },
3355 { 4742 /* kmf */, SystemZ::KMF, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, Feature_FeatureMessageSecurityAssist4, { MCK_GR128, MCK_GR128 }, },
3356 { 4746 /* kmo */, SystemZ::KMO, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, Feature_FeatureMessageSecurityAssist4, { MCK_GR128, MCK_GR128 }, },
3357 { 4750 /* kxbr */, SystemZ::KXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3358 { 4755 /* kxtr */, SystemZ::KXTR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3359 { 4760 /* l */, SystemZ::L, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3360 { 4762 /* la */, SystemZ::LA, Convert__GR641_0__BDXAddr64Disp123_1, 0, { MCK_GR64, MCK_BDXAddr64Disp12 }, },
3361 { 4765 /* laa */, SystemZ::LAA, Convert__GR321_0__GR321_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp20 }, },
3362 { 4769 /* laag */, SystemZ::LAAG, Convert__GR641_0__GR641_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
3363 { 4774 /* laal */, SystemZ::LAAL, Convert__GR321_0__GR321_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp20 }, },
3364 { 4779 /* laalg */, SystemZ::LAALG, Convert__GR641_0__GR641_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
3365 { 4785 /* lae */, SystemZ::LAE, Convert__GR641_0__BDXAddr64Disp123_1, 0, { MCK_GR64, MCK_BDXAddr64Disp12 }, },
3366 { 4789 /* laey */, SystemZ::LAEY, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3367 { 4794 /* lam */, SystemZ::LAM, Convert__AR321_0__AR321_1__BDAddr64Disp122_2, 0, { MCK_AR32, MCK_AR32, MCK_BDAddr64Disp12 }, },
3368 { 4798 /* lamy */, SystemZ::LAMY, Convert__AR321_0__AR321_1__BDAddr64Disp202_2, 0, { MCK_AR32, MCK_AR32, MCK_BDAddr64Disp20 }, },
3369 { 4803 /* lan */, SystemZ::LAN, Convert__GR321_0__GR321_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp20 }, },
3370 { 4807 /* lang */, SystemZ::LANG, Convert__GR641_0__GR641_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
3371 { 4812 /* lao */, SystemZ::LAO, Convert__GR321_0__GR321_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp20 }, },
3372 { 4816 /* laog */, SystemZ::LAOG, Convert__GR641_0__GR641_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
3373 { 4821 /* larl */, SystemZ::LARL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
3374 { 4826 /* lasp */, SystemZ::LASP, Convert__BDAddr64Disp122_0__BDAddr64Disp122_1, 0, { MCK_BDAddr64Disp12, MCK_BDAddr64Disp12 }, },
3375 { 4831 /* lat */, SystemZ::LAT, Convert__GR321_0__BDXAddr64Disp203_1, Feature_FeatureLoadAndTrap, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3376 { 4835 /* lax */, SystemZ::LAX, Convert__GR321_0__GR321_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp20 }, },
3377 { 4839 /* laxg */, SystemZ::LAXG, Convert__GR641_0__GR641_1__BDAddr64Disp202_2, Feature_FeatureInterlockedAccess1, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
3378 { 4844 /* lay */, SystemZ::LAY, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3379 { 4848 /* lb */, SystemZ::LB, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3380 { 4851 /* lbh */, SystemZ::LBH, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
3381 { 4855 /* lbr */, SystemZ::LBR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3382 { 4859 /* lcbb */, SystemZ::LCBB, Convert__GR321_0__BDXAddr64Disp123_1__U4Imm1_2, Feature_FeatureVector, { MCK_GR32, MCK_BDXAddr64Disp12, MCK_U4Imm }, },
3383 { 4864 /* lcctl */, SystemZ::LCCTL, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3384 { 4870 /* lcdbr */, SystemZ::LCDBR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3385 { 4876 /* lcdfr */, SystemZ::LCDFR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3386 { 4882 /* lcdr */, SystemZ::LCDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3387 { 4887 /* lcebr */, SystemZ::LCEBR, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3388 { 4893 /* lcer */, SystemZ::LCER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3389 { 4898 /* lcgfr */, SystemZ::LCGFR, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3390 { 4904 /* lcgr */, SystemZ::LCGR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3391 { 4909 /* lcr */, SystemZ::LCR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3392 { 4913 /* lctl */, SystemZ::LCTL, Convert__CR641_0__CR641_1__BDAddr64Disp122_2, 0, { MCK_CR64, MCK_CR64, MCK_BDAddr64Disp12 }, },
3393 { 4918 /* lctlg */, SystemZ::LCTLG, Convert__CR641_0__CR641_1__BDAddr64Disp202_2, 0, { MCK_CR64, MCK_CR64, MCK_BDAddr64Disp20 }, },
3394 { 4924 /* lcxbr */, SystemZ::LCXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3395 { 4930 /* lcxr */, SystemZ::LCXR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3396 { 4935 /* ld */, SystemZ::LD, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3397 { 4938 /* lde */, SystemZ::LDE, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3398 { 4942 /* ldeb */, SystemZ::LDEB, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3399 { 4947 /* ldebr */, SystemZ::LDEBR, Convert__FP641_0__FP321_1, 0, { MCK_FP64, MCK_FP32 }, },
3400 { 4953 /* lder */, SystemZ::LDER, Convert__FP641_0__FP321_1, 0, { MCK_FP64, MCK_FP32 }, },
3401 { 4958 /* ldetr */, SystemZ::LDETR, Convert__FP641_0__FP321_1__U4Imm1_2, 0, { MCK_FP64, MCK_FP32, MCK_U4Imm }, },
3402 { 4964 /* ldgr */, SystemZ::LDGR, Convert__FP641_0__GR641_1, 0, { MCK_FP64, MCK_GR64 }, },
3403 { 4969 /* ldr */, SystemZ::LDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3404 { 4973 /* ldxbr */, SystemZ::LDXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3405 { 4979 /* ldxbra */, SystemZ::LDXBRA, Convert__FP1281_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
3406 { 4986 /* ldxr */, SystemZ::LDXR, Convert__FP641_0__FP1281_1, 0, { MCK_FP64, MCK_FP128 }, },
3407 { 4991 /* ldxtr */, SystemZ::LDXTR, Convert__FP1281_0__U4Imm1_1__FP1281_2__U4Imm1_3, 0, { MCK_FP128, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
3408 { 4997 /* ldy */, SystemZ::LDY, Convert__FP641_0__BDXAddr64Disp203_1, 0, { MCK_FP64, MCK_BDXAddr64Disp20 }, },
3409 { 5001 /* le */, SystemZ::LE, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
3410 { 5004 /* ledbr */, SystemZ::LEDBR, Convert__FP321_0__FP641_1, 0, { MCK_FP32, MCK_FP64 }, },
3411 { 5010 /* ledbra */, SystemZ::LEDBRA, Convert__FP321_0__U4Imm1_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP32, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
3412 { 5017 /* ledr */, SystemZ::LEDR, Convert__FP321_0__FP641_1, 0, { MCK_FP32, MCK_FP64 }, },
3413 { 5022 /* ledtr */, SystemZ::LEDTR, Convert__FP321_0__U4Imm1_1__FP641_2__U4Imm1_3, 0, { MCK_FP32, MCK_U4Imm, MCK_FP64, MCK_U4Imm }, },
3414 { 5028 /* ler */, SystemZ::LER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3415 { 5032 /* lexbr */, SystemZ::LEXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3416 { 5038 /* lexbra */, SystemZ::LEXBRA, Convert__FP1281_0__U4Imm1_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_U4Imm, MCK_FP128, MCK_U4Imm }, },
3417 { 5045 /* lexr */, SystemZ::LEXR, Convert__FP321_0__FP1281_1, 0, { MCK_FP32, MCK_FP128 }, },
3418 { 5050 /* ley */, SystemZ::LEY, Convert__FP321_0__BDXAddr64Disp203_1, 0, { MCK_FP32, MCK_BDXAddr64Disp20 }, },
3419 { 5054 /* lfas */, SystemZ::LFAS, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3420 { 5059 /* lfh */, SystemZ::LFH, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
3421 { 5063 /* lfhat */, SystemZ::LFHAT, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureLoadAndTrap, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
3422 { 5069 /* lfpc */, SystemZ::LFPC, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3423 { 5074 /* lg */, SystemZ::LG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3424 { 5077 /* lgat */, SystemZ::LGAT, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureLoadAndTrap, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3425 { 5082 /* lgb */, SystemZ::LGB, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3426 { 5086 /* lgbr */, SystemZ::LGBR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3427 { 5091 /* lgdr */, SystemZ::LGDR, Convert__GR641_0__FP641_1, 0, { MCK_GR64, MCK_FP64 }, },
3428 { 5096 /* lgf */, SystemZ::LGF, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3429 { 5100 /* lgfi */, SystemZ::LGFI, Convert__GR641_0__S32Imm1_1, 0, { MCK_GR64, MCK_S32Imm }, },
3430 { 5105 /* lgfr */, SystemZ::LGFR, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3431 { 5110 /* lgfrl */, SystemZ::LGFRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
3432 { 5116 /* lgg */, SystemZ::LGG, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureGuardedStorage, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3433 { 5120 /* lgh */, SystemZ::LGH, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3434 { 5124 /* lghi */, SystemZ::LGHI, Convert__GR641_0__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
3435 { 5129 /* lghr */, SystemZ::LGHR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3436 { 5134 /* lghrl */, SystemZ::LGHRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
3437 { 5140 /* lgr */, SystemZ::LGR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3438 { 5144 /* lgrl */, SystemZ::LGRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
3439 { 5149 /* lgsc */, SystemZ::LGSC, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureGuardedStorage, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3440 { 5154 /* lh */, SystemZ::LH, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3441 { 5157 /* lhh */, SystemZ::LHH, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
3442 { 5161 /* lhi */, SystemZ::LHI, Convert__GR321_0__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
3443 { 5165 /* lhr */, SystemZ::LHR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3444 { 5169 /* lhrl */, SystemZ::LHRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
3445 { 5174 /* lhy */, SystemZ::LHY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3446 { 5178 /* llc */, SystemZ::LLC, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3447 { 5182 /* llch */, SystemZ::LLCH, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
3448 { 5187 /* llcr */, SystemZ::LLCR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3449 { 5192 /* llgc */, SystemZ::LLGC, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3450 { 5197 /* llgcr */, SystemZ::LLGCR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3451 { 5203 /* llgf */, SystemZ::LLGF, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3452 { 5208 /* llgfat */, SystemZ::LLGFAT, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureLoadAndTrap, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3453 { 5215 /* llgfr */, SystemZ::LLGFR, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3454 { 5221 /* llgfrl */, SystemZ::LLGFRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
3455 { 5228 /* llgfsg */, SystemZ::LLGFSG, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureGuardedStorage, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3456 { 5235 /* llgh */, SystemZ::LLGH, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3457 { 5240 /* llghr */, SystemZ::LLGHR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3458 { 5246 /* llghrl */, SystemZ::LLGHRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
3459 { 5253 /* llgt */, SystemZ::LLGT, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3460 { 5258 /* llgtat */, SystemZ::LLGTAT, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureLoadAndTrap, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3461 { 5265 /* llgtr */, SystemZ::LLGTR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3462 { 5271 /* llh */, SystemZ::LLH, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3463 { 5275 /* llhh */, SystemZ::LLHH, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
3464 { 5280 /* llhr */, SystemZ::LLHR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3465 { 5285 /* llhrl */, SystemZ::LLHRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
3466 { 5291 /* llihf */, SystemZ::LLIHF, Convert__GR641_0__U32Imm1_1, 0, { MCK_GR64, MCK_U32Imm }, },
3467 { 5297 /* llihh */, SystemZ::LLIHH, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
3468 { 5303 /* llihl */, SystemZ::LLIHL, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
3469 { 5309 /* llilf */, SystemZ::LLILF, Convert__GR641_0__U32Imm1_1, 0, { MCK_GR64, MCK_U32Imm }, },
3470 { 5315 /* llilh */, SystemZ::LLILH, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
3471 { 5321 /* llill */, SystemZ::LLILL, Convert__GR641_0__U16Imm1_1, 0, { MCK_GR64, MCK_U16Imm }, },
3472 { 5327 /* llzrgf */, SystemZ::LLZRGF, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureLoadAndZeroRightmostByte, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3473 { 5334 /* lm */, SystemZ::LM, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
3474 { 5337 /* lmd */, SystemZ::LMD, Convert__GR641_0__GR641_1__BDAddr64Disp122_2__BDAddr64Disp122_3, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12, MCK_BDAddr64Disp12 }, },
3475 { 5341 /* lmg */, SystemZ::LMG, Convert__GR641_0__GR641_1__BDAddr64Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
3476 { 5345 /* lmh */, SystemZ::LMH, Convert__GRH321_0__GRH321_1__BDAddr64Disp202_2, 0, { MCK_GRH32, MCK_GRH32, MCK_BDAddr64Disp20 }, },
3477 { 5349 /* lmy */, SystemZ::LMY, Convert__GR321_0__GR321_1__BDAddr64Disp202_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp20 }, },
3478 { 5353 /* lndbr */, SystemZ::LNDBR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3479 { 5359 /* lndfr */, SystemZ::LNDFR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3480 { 5365 /* lndr */, SystemZ::LNDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3481 { 5370 /* lnebr */, SystemZ::LNEBR, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3482 { 5376 /* lner */, SystemZ::LNER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3483 { 5381 /* lngfr */, SystemZ::LNGFR, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3484 { 5387 /* lngr */, SystemZ::LNGR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3485 { 5392 /* lnr */, SystemZ::LNR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3486 { 5396 /* lnxbr */, SystemZ::LNXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3487 { 5402 /* lnxr */, SystemZ::LNXR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3488 { 5407 /* loc */, SystemZ::LOCAsm, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20, MCK_U4Imm }, },
3489 { 5411 /* loce */, SystemZ::LOCAsmE, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3490 { 5416 /* locfh */, SystemZ::LOCFHAsm, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20, MCK_U4Imm }, },
3491 { 5422 /* locfhe */, SystemZ::LOCFHAsmE, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3492 { 5429 /* locfhh */, SystemZ::LOCFHAsmH, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3493 { 5436 /* locfhhe */, SystemZ::LOCFHAsmHE, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3494 { 5444 /* locfhl */, SystemZ::LOCFHAsmL, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3495 { 5451 /* locfhle */, SystemZ::LOCFHAsmLE, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3496 { 5459 /* locfhlh */, SystemZ::LOCFHAsmLH, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3497 { 5467 /* locfhm */, SystemZ::LOCFHAsmM, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3498 { 5474 /* locfhne */, SystemZ::LOCFHAsmNE, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3499 { 5482 /* locfhnh */, SystemZ::LOCFHAsmNH, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3500 { 5490 /* locfhnhe */, SystemZ::LOCFHAsmNHE, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3501 { 5499 /* locfhnl */, SystemZ::LOCFHAsmNL, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3502 { 5507 /* locfhnle */, SystemZ::LOCFHAsmNLE, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3503 { 5516 /* locfhnlh */, SystemZ::LOCFHAsmNLH, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3504 { 5525 /* locfhnm */, SystemZ::LOCFHAsmNM, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3505 { 5533 /* locfhno */, SystemZ::LOCFHAsmNO, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3506 { 5541 /* locfhnp */, SystemZ::LOCFHAsmNP, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3507 { 5549 /* locfhnz */, SystemZ::LOCFHAsmNZ, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3508 { 5557 /* locfho */, SystemZ::LOCFHAsmO, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3509 { 5564 /* locfhp */, SystemZ::LOCFHAsmP, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3510 { 5571 /* locfhr */, SystemZ::LOCFHRAsm, Convert__GRH321_0__Tie0_1_1__GRH321_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32, MCK_U4Imm }, },
3511 { 5578 /* locfhre */, SystemZ::LOCFHRAsmE, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3512 { 5586 /* locfhrh */, SystemZ::LOCFHRAsmH, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3513 { 5594 /* locfhrhe */, SystemZ::LOCFHRAsmHE, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3514 { 5603 /* locfhrl */, SystemZ::LOCFHRAsmL, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3515 { 5611 /* locfhrle */, SystemZ::LOCFHRAsmLE, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3516 { 5620 /* locfhrlh */, SystemZ::LOCFHRAsmLH, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3517 { 5629 /* locfhrm */, SystemZ::LOCFHRAsmM, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3518 { 5637 /* locfhrne */, SystemZ::LOCFHRAsmNE, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3519 { 5646 /* locfhrnh */, SystemZ::LOCFHRAsmNH, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3520 { 5655 /* locfhrnhe */, SystemZ::LOCFHRAsmNHE, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3521 { 5665 /* locfhrnl */, SystemZ::LOCFHRAsmNL, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3522 { 5674 /* locfhrnle */, SystemZ::LOCFHRAsmNLE, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3523 { 5684 /* locfhrnlh */, SystemZ::LOCFHRAsmNLH, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3524 { 5694 /* locfhrnm */, SystemZ::LOCFHRAsmNM, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3525 { 5703 /* locfhrno */, SystemZ::LOCFHRAsmNO, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3526 { 5712 /* locfhrnp */, SystemZ::LOCFHRAsmNP, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3527 { 5721 /* locfhrnz */, SystemZ::LOCFHRAsmNZ, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3528 { 5730 /* locfhro */, SystemZ::LOCFHRAsmO, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3529 { 5738 /* locfhrp */, SystemZ::LOCFHRAsmP, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3530 { 5746 /* locfhrz */, SystemZ::LOCFHRAsmZ, Convert__GRH321_0__Tie0_1_1__GRH321_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_GRH32 }, },
3531 { 5754 /* locfhz */, SystemZ::LOCFHAsmZ, Convert__GRH321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
3532 { 5761 /* locg */, SystemZ::LOCGAsm, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20, MCK_U4Imm }, },
3533 { 5766 /* locge */, SystemZ::LOCGAsmE, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3534 { 5772 /* locgh */, SystemZ::LOCGAsmH, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3535 { 5778 /* locghe */, SystemZ::LOCGAsmHE, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3536 { 5785 /* locghi */, SystemZ::LOCGHIAsm, Convert__GR641_0__Tie0_1_1__S16Imm1_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm, MCK_U4Imm }, },
3537 { 5792 /* locghie */, SystemZ::LOCGHIAsmE, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3538 { 5800 /* locghih */, SystemZ::LOCGHIAsmH, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3539 { 5808 /* locghihe */, SystemZ::LOCGHIAsmHE, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3540 { 5817 /* locghil */, SystemZ::LOCGHIAsmL, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3541 { 5825 /* locghile */, SystemZ::LOCGHIAsmLE, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3542 { 5834 /* locghilh */, SystemZ::LOCGHIAsmLH, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3543 { 5843 /* locghim */, SystemZ::LOCGHIAsmM, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3544 { 5851 /* locghine */, SystemZ::LOCGHIAsmNE, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3545 { 5860 /* locghinh */, SystemZ::LOCGHIAsmNH, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3546 { 5869 /* locghinhe */, SystemZ::LOCGHIAsmNHE, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3547 { 5879 /* locghinl */, SystemZ::LOCGHIAsmNL, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3548 { 5888 /* locghinle */, SystemZ::LOCGHIAsmNLE, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3549 { 5898 /* locghinlh */, SystemZ::LOCGHIAsmNLH, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3550 { 5908 /* locghinm */, SystemZ::LOCGHIAsmNM, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3551 { 5917 /* locghino */, SystemZ::LOCGHIAsmNO, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3552 { 5926 /* locghinp */, SystemZ::LOCGHIAsmNP, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3553 { 5935 /* locghinz */, SystemZ::LOCGHIAsmNZ, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3554 { 5944 /* locghio */, SystemZ::LOCGHIAsmO, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3555 { 5952 /* locghip */, SystemZ::LOCGHIAsmP, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3556 { 5960 /* locghiz */, SystemZ::LOCGHIAsmZ, Convert__GR641_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR64, MCK_S16Imm }, },
3557 { 5968 /* locgl */, SystemZ::LOCGAsmL, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3558 { 5974 /* locgle */, SystemZ::LOCGAsmLE, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3559 { 5981 /* locglh */, SystemZ::LOCGAsmLH, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3560 { 5988 /* locgm */, SystemZ::LOCGAsmM, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3561 { 5994 /* locgne */, SystemZ::LOCGAsmNE, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3562 { 6001 /* locgnh */, SystemZ::LOCGAsmNH, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3563 { 6008 /* locgnhe */, SystemZ::LOCGAsmNHE, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3564 { 6016 /* locgnl */, SystemZ::LOCGAsmNL, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3565 { 6023 /* locgnle */, SystemZ::LOCGAsmNLE, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3566 { 6031 /* locgnlh */, SystemZ::LOCGAsmNLH, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3567 { 6039 /* locgnm */, SystemZ::LOCGAsmNM, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3568 { 6046 /* locgno */, SystemZ::LOCGAsmNO, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3569 { 6053 /* locgnp */, SystemZ::LOCGAsmNP, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3570 { 6060 /* locgnz */, SystemZ::LOCGAsmNZ, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3571 { 6067 /* locgo */, SystemZ::LOCGAsmO, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3572 { 6073 /* locgp */, SystemZ::LOCGAsmP, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3573 { 6079 /* locgr */, SystemZ::LOCGRAsm, Convert__GR641_0__Tie0_1_1__GR641_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64, MCK_U4Imm }, },
3574 { 6085 /* locgre */, SystemZ::LOCGRAsmE, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3575 { 6092 /* locgrh */, SystemZ::LOCGRAsmH, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3576 { 6099 /* locgrhe */, SystemZ::LOCGRAsmHE, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3577 { 6107 /* locgrl */, SystemZ::LOCGRAsmL, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3578 { 6114 /* locgrle */, SystemZ::LOCGRAsmLE, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3579 { 6122 /* locgrlh */, SystemZ::LOCGRAsmLH, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3580 { 6130 /* locgrm */, SystemZ::LOCGRAsmM, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3581 { 6137 /* locgrne */, SystemZ::LOCGRAsmNE, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3582 { 6145 /* locgrnh */, SystemZ::LOCGRAsmNH, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3583 { 6153 /* locgrnhe */, SystemZ::LOCGRAsmNHE, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3584 { 6162 /* locgrnl */, SystemZ::LOCGRAsmNL, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3585 { 6170 /* locgrnle */, SystemZ::LOCGRAsmNLE, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3586 { 6179 /* locgrnlh */, SystemZ::LOCGRAsmNLH, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3587 { 6188 /* locgrnm */, SystemZ::LOCGRAsmNM, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3588 { 6196 /* locgrno */, SystemZ::LOCGRAsmNO, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3589 { 6204 /* locgrnp */, SystemZ::LOCGRAsmNP, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3590 { 6212 /* locgrnz */, SystemZ::LOCGRAsmNZ, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3591 { 6220 /* locgro */, SystemZ::LOCGRAsmO, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3592 { 6227 /* locgrp */, SystemZ::LOCGRAsmP, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3593 { 6234 /* locgrz */, SystemZ::LOCGRAsmZ, Convert__GR641_0__Tie0_1_1__GR641_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_GR64 }, },
3594 { 6241 /* locgz */, SystemZ::LOCGAsmZ, Convert__GR641_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
3595 { 6247 /* loch */, SystemZ::LOCAsmH, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3596 { 6252 /* loche */, SystemZ::LOCAsmHE, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3597 { 6258 /* lochhi */, SystemZ::LOCHHIAsm, Convert__GRH321_0__Tie0_1_1__S16Imm1_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm, MCK_U4Imm }, },
3598 { 6265 /* lochhie */, SystemZ::LOCHHIAsmE, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3599 { 6273 /* lochhih */, SystemZ::LOCHHIAsmH, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3600 { 6281 /* lochhihe */, SystemZ::LOCHHIAsmHE, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3601 { 6290 /* lochhil */, SystemZ::LOCHHIAsmL, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3602 { 6298 /* lochhile */, SystemZ::LOCHHIAsmLE, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3603 { 6307 /* lochhilh */, SystemZ::LOCHHIAsmLH, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3604 { 6316 /* lochhim */, SystemZ::LOCHHIAsmM, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3605 { 6324 /* lochhine */, SystemZ::LOCHHIAsmNE, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3606 { 6333 /* lochhinh */, SystemZ::LOCHHIAsmNH, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3607 { 6342 /* lochhinhe */, SystemZ::LOCHHIAsmNHE, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3608 { 6352 /* lochhinl */, SystemZ::LOCHHIAsmNL, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3609 { 6361 /* lochhinle */, SystemZ::LOCHHIAsmNLE, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3610 { 6371 /* lochhinlh */, SystemZ::LOCHHIAsmNLH, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3611 { 6381 /* lochhinm */, SystemZ::LOCHHIAsmNM, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3612 { 6390 /* lochhino */, SystemZ::LOCHHIAsmNO, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3613 { 6399 /* lochhinp */, SystemZ::LOCHHIAsmNP, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3614 { 6408 /* lochhinz */, SystemZ::LOCHHIAsmNZ, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3615 { 6417 /* lochhio */, SystemZ::LOCHHIAsmO, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3616 { 6425 /* lochhip */, SystemZ::LOCHHIAsmP, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3617 { 6433 /* lochhiz */, SystemZ::LOCHHIAsmZ, Convert__GRH321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_S16Imm }, },
3618 { 6441 /* lochi */, SystemZ::LOCHIAsm, Convert__GR321_0__Tie0_1_1__S16Imm1_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm, MCK_U4Imm }, },
3619 { 6447 /* lochie */, SystemZ::LOCHIAsmE, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3620 { 6454 /* lochih */, SystemZ::LOCHIAsmH, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3621 { 6461 /* lochihe */, SystemZ::LOCHIAsmHE, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3622 { 6469 /* lochil */, SystemZ::LOCHIAsmL, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3623 { 6476 /* lochile */, SystemZ::LOCHIAsmLE, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3624 { 6484 /* lochilh */, SystemZ::LOCHIAsmLH, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3625 { 6492 /* lochim */, SystemZ::LOCHIAsmM, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3626 { 6499 /* lochine */, SystemZ::LOCHIAsmNE, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3627 { 6507 /* lochinh */, SystemZ::LOCHIAsmNH, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3628 { 6515 /* lochinhe */, SystemZ::LOCHIAsmNHE, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3629 { 6524 /* lochinl */, SystemZ::LOCHIAsmNL, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3630 { 6532 /* lochinle */, SystemZ::LOCHIAsmNLE, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3631 { 6541 /* lochinlh */, SystemZ::LOCHIAsmNLH, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3632 { 6550 /* lochinm */, SystemZ::LOCHIAsmNM, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3633 { 6558 /* lochino */, SystemZ::LOCHIAsmNO, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3634 { 6566 /* lochinp */, SystemZ::LOCHIAsmNP, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3635 { 6574 /* lochinz */, SystemZ::LOCHIAsmNZ, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3636 { 6582 /* lochio */, SystemZ::LOCHIAsmO, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3637 { 6589 /* lochip */, SystemZ::LOCHIAsmP, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3638 { 6596 /* lochiz */, SystemZ::LOCHIAsmZ, Convert__GR321_0__Tie0_1_1__S16Imm1_1, Feature_FeatureLoadStoreOnCond2, { MCK_GR32, MCK_S16Imm }, },
3639 { 6603 /* locl */, SystemZ::LOCAsmL, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3640 { 6608 /* locle */, SystemZ::LOCAsmLE, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3641 { 6614 /* loclh */, SystemZ::LOCAsmLH, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3642 { 6620 /* locm */, SystemZ::LOCAsmM, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3643 { 6625 /* locne */, SystemZ::LOCAsmNE, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3644 { 6631 /* locnh */, SystemZ::LOCAsmNH, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3645 { 6637 /* locnhe */, SystemZ::LOCAsmNHE, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3646 { 6644 /* locnl */, SystemZ::LOCAsmNL, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3647 { 6650 /* locnle */, SystemZ::LOCAsmNLE, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3648 { 6657 /* locnlh */, SystemZ::LOCAsmNLH, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3649 { 6664 /* locnm */, SystemZ::LOCAsmNM, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3650 { 6670 /* locno */, SystemZ::LOCAsmNO, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3651 { 6676 /* locnp */, SystemZ::LOCAsmNP, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3652 { 6682 /* locnz */, SystemZ::LOCAsmNZ, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3653 { 6688 /* loco */, SystemZ::LOCAsmO, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3654 { 6693 /* locp */, SystemZ::LOCAsmP, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3655 { 6698 /* locr */, SystemZ::LOCRAsm, Convert__GR321_0__Tie0_1_1__GR321_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32, MCK_U4Imm }, },
3656 { 6703 /* locre */, SystemZ::LOCRAsmE, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3657 { 6709 /* locrh */, SystemZ::LOCRAsmH, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3658 { 6715 /* locrhe */, SystemZ::LOCRAsmHE, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3659 { 6722 /* locrl */, SystemZ::LOCRAsmL, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3660 { 6728 /* locrle */, SystemZ::LOCRAsmLE, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3661 { 6735 /* locrlh */, SystemZ::LOCRAsmLH, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3662 { 6742 /* locrm */, SystemZ::LOCRAsmM, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3663 { 6748 /* locrne */, SystemZ::LOCRAsmNE, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3664 { 6755 /* locrnh */, SystemZ::LOCRAsmNH, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3665 { 6762 /* locrnhe */, SystemZ::LOCRAsmNHE, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3666 { 6770 /* locrnl */, SystemZ::LOCRAsmNL, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3667 { 6777 /* locrnle */, SystemZ::LOCRAsmNLE, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3668 { 6785 /* locrnlh */, SystemZ::LOCRAsmNLH, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3669 { 6793 /* locrnm */, SystemZ::LOCRAsmNM, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3670 { 6800 /* locrno */, SystemZ::LOCRAsmNO, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3671 { 6807 /* locrnp */, SystemZ::LOCRAsmNP, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3672 { 6814 /* locrnz */, SystemZ::LOCRAsmNZ, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3673 { 6821 /* locro */, SystemZ::LOCRAsmO, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3674 { 6827 /* locrp */, SystemZ::LOCRAsmP, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3675 { 6833 /* locrz */, SystemZ::LOCRAsmZ, Convert__GR321_0__Tie0_1_1__GR321_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_GR32 }, },
3676 { 6839 /* locz */, SystemZ::LOCAsmZ, Convert__GR321_0__Tie0_1_1__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
3677 { 6844 /* lpctl */, SystemZ::LPCTL, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3678 { 6850 /* lpd */, SystemZ::LPD, Convert__GR1281_0__BDAddr64Disp122_1__BDAddr64Disp122_2, Feature_FeatureInterlockedAccess1, { MCK_GR128, MCK_BDAddr64Disp12, MCK_BDAddr64Disp12 }, },
3679 { 6854 /* lpdbr */, SystemZ::LPDBR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3680 { 6860 /* lpdfr */, SystemZ::LPDFR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3681 { 6866 /* lpdg */, SystemZ::LPDG, Convert__GR1281_0__BDAddr64Disp122_1__BDAddr64Disp122_2, Feature_FeatureInterlockedAccess1, { MCK_GR128, MCK_BDAddr64Disp12, MCK_BDAddr64Disp12 }, },
3682 { 6871 /* lpdr */, SystemZ::LPDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3683 { 6876 /* lpebr */, SystemZ::LPEBR, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3684 { 6882 /* lper */, SystemZ::LPER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3685 { 6887 /* lpgfr */, SystemZ::LPGFR, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3686 { 6893 /* lpgr */, SystemZ::LPGR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3687 { 6898 /* lpp */, SystemZ::LPP, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3688 { 6902 /* lpq */, SystemZ::LPQ, Convert__GR1281_0__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3689 { 6906 /* lpr */, SystemZ::LPR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3690 { 6910 /* lpsw */, SystemZ::LPSW, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3691 { 6915 /* lpswe */, SystemZ::LPSWE, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3692 { 6921 /* lptea */, SystemZ::LPTEA, Convert__GR641_0__GR641_1__Tie0_1_1__GR641_2__U4Imm1_3, 0, { MCK_GR64, MCK_GR64, MCK_GR64, MCK_U4Imm }, },
3693 { 6927 /* lpxbr */, SystemZ::LPXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3694 { 6933 /* lpxr */, SystemZ::LPXR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3695 { 6938 /* lr */, SystemZ::LR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3696 { 6941 /* lra */, SystemZ::LRA, Convert__GR641_0__BDXAddr64Disp123_1, 0, { MCK_GR64, MCK_BDXAddr64Disp12 }, },
3697 { 6945 /* lrag */, SystemZ::LRAG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3698 { 6950 /* lray */, SystemZ::LRAY, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3699 { 6955 /* lrdr */, SystemZ::LRDR, Convert__FP641_0__FP1281_1, 0, { MCK_FP64, MCK_FP128 }, },
3700 { 6960 /* lrer */, SystemZ::LRER, Convert__FP321_0__FP641_1, 0, { MCK_FP32, MCK_FP64 }, },
3701 { 6965 /* lrl */, SystemZ::LRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
3702 { 6969 /* lrv */, SystemZ::LRV, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3703 { 6973 /* lrvg */, SystemZ::LRVG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3704 { 6978 /* lrvgr */, SystemZ::LRVGR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3705 { 6984 /* lrvh */, SystemZ::LRVH, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3706 { 6989 /* lrvr */, SystemZ::LRVR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3707 { 6994 /* lsctl */, SystemZ::LSCTL, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3708 { 7000 /* lt */, SystemZ::LT, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3709 { 7003 /* ltdbr */, SystemZ::LTDBR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3710 { 7009 /* ltdr */, SystemZ::LTDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3711 { 7014 /* ltdtr */, SystemZ::LTDTR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3712 { 7020 /* ltebr */, SystemZ::LTEBR, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3713 { 7026 /* lter */, SystemZ::LTER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3714 { 7031 /* ltg */, SystemZ::LTG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3715 { 7035 /* ltgf */, SystemZ::LTGF, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3716 { 7040 /* ltgfr */, SystemZ::LTGFR, Convert__GR641_0__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3717 { 7046 /* ltgr */, SystemZ::LTGR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3718 { 7051 /* ltr */, SystemZ::LTR, Convert__GR321_0__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3719 { 7055 /* ltxbr */, SystemZ::LTXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3720 { 7061 /* ltxr */, SystemZ::LTXR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3721 { 7066 /* ltxtr */, SystemZ::LTXTR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3722 { 7072 /* lura */, SystemZ::LURA, Convert__GR321_0__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
3723 { 7077 /* lurag */, SystemZ::LURAG, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3724 { 7083 /* lxd */, SystemZ::LXD, Convert__FP1281_0__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
3725 { 7087 /* lxdb */, SystemZ::LXDB, Convert__FP1281_0__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
3726 { 7092 /* lxdbr */, SystemZ::LXDBR, Convert__FP1281_0__FP641_1, 0, { MCK_FP128, MCK_FP64 }, },
3727 { 7098 /* lxdr */, SystemZ::LXDR, Convert__FP1281_0__FP641_1, 0, { MCK_FP128, MCK_FP64 }, },
3728 { 7103 /* lxdtr */, SystemZ::LXDTR, Convert__FP1281_0__FP641_1__U4Imm1_2, 0, { MCK_FP128, MCK_FP64, MCK_U4Imm }, },
3729 { 7109 /* lxe */, SystemZ::LXE, Convert__FP1281_0__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
3730 { 7113 /* lxeb */, SystemZ::LXEB, Convert__FP1281_0__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
3731 { 7118 /* lxebr */, SystemZ::LXEBR, Convert__FP1281_0__FP321_1, 0, { MCK_FP128, MCK_FP32 }, },
3732 { 7124 /* lxer */, SystemZ::LXER, Convert__FP1281_0__FP321_1, 0, { MCK_FP128, MCK_FP32 }, },
3733 { 7129 /* lxr */, SystemZ::LXR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3734 { 7133 /* ly */, SystemZ::LY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3735 { 7136 /* lzdr */, SystemZ::LZDR, Convert__FP641_0, 0, { MCK_FP64 }, },
3736 { 7141 /* lzer */, SystemZ::LZER, Convert__FP321_0, 0, { MCK_FP32 }, },
3737 { 7146 /* lzrf */, SystemZ::LZRF, Convert__GR321_0__BDXAddr64Disp203_1, Feature_FeatureLoadAndZeroRightmostByte, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3738 { 7151 /* lzrg */, SystemZ::LZRG, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureLoadAndZeroRightmostByte, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3739 { 7156 /* lzxr */, SystemZ::LZXR, Convert__FP1281_0, 0, { MCK_FP128 }, },
3740 { 7161 /* m */, SystemZ::M, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR128, MCK_BDXAddr64Disp12 }, },
3741 { 7163 /* mad */, SystemZ::MAD, Convert__FP641_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3742 { 7167 /* madb */, SystemZ::MADB, Convert__FP641_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3743 { 7172 /* madbr */, SystemZ::MADBR, Convert__FP641_0__Tie0_1_1__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3744 { 7178 /* madr */, SystemZ::MADR, Convert__FP641_0__Tie0_1_1__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3745 { 7183 /* mae */, SystemZ::MAE, Convert__FP321_0__Tie0_1_1__FP321_1__BDXAddr64Disp123_2, 0, { MCK_FP32, MCK_FP32, MCK_BDXAddr64Disp12 }, },
3746 { 7187 /* maeb */, SystemZ::MAEB, Convert__FP321_0__Tie0_1_1__FP321_1__BDXAddr64Disp123_2, 0, { MCK_FP32, MCK_FP32, MCK_BDXAddr64Disp12 }, },
3747 { 7192 /* maebr */, SystemZ::MAEBR, Convert__FP321_0__Tie0_1_1__FP321_1__FP321_2, 0, { MCK_FP32, MCK_FP32, MCK_FP32 }, },
3748 { 7198 /* maer */, SystemZ::MAER, Convert__FP321_0__Tie0_1_1__FP321_1__FP321_2, 0, { MCK_FP32, MCK_FP32, MCK_FP32 }, },
3749 { 7203 /* may */, SystemZ::MAY, Convert__FP1281_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP128, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3750 { 7207 /* mayh */, SystemZ::MAYH, Convert__FP641_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3751 { 7212 /* mayhr */, SystemZ::MAYHR, Convert__FP641_0__Tie0_1_1__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3752 { 7218 /* mayl */, SystemZ::MAYL, Convert__FP641_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3753 { 7223 /* maylr */, SystemZ::MAYLR, Convert__FP641_0__Tie0_1_1__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3754 { 7229 /* mayr */, SystemZ::MAYR, Convert__FP1281_0__Tie0_1_1__FP641_1__FP641_2, 0, { MCK_FP128, MCK_FP64, MCK_FP64 }, },
3755 { 7234 /* mc */, SystemZ::MC, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
3756 { 7237 /* md */, SystemZ::MD, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3757 { 7240 /* mdb */, SystemZ::MDB, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3758 { 7244 /* mdbr */, SystemZ::MDBR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3759 { 7249 /* mde */, SystemZ::MDE, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3760 { 7253 /* mdeb */, SystemZ::MDEB, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3761 { 7258 /* mdebr */, SystemZ::MDEBR, Convert__FP641_0__Tie0_1_1__FP321_1, 0, { MCK_FP64, MCK_FP32 }, },
3762 { 7264 /* mder */, SystemZ::MDER, Convert__FP641_0__Tie0_1_1__FP321_1, 0, { MCK_FP64, MCK_FP32 }, },
3763 { 7269 /* mdr */, SystemZ::MDR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3764 { 7273 /* mdtr */, SystemZ::MDTR, Convert__FP641_0__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3765 { 7278 /* mdtra */, SystemZ::MDTRA, Convert__FP641_0__FP641_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_FP64, MCK_FP64, MCK_U4Imm }, },
3766 { 7284 /* me */, SystemZ::ME, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3767 { 7287 /* mee */, SystemZ::MEE, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
3768 { 7291 /* meeb */, SystemZ::MEEB, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
3769 { 7296 /* meebr */, SystemZ::MEEBR, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3770 { 7302 /* meer */, SystemZ::MEER, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3771 { 7307 /* mer */, SystemZ::MER, Convert__FP641_0__Tie0_1_1__FP321_1, 0, { MCK_FP64, MCK_FP32 }, },
3772 { 7311 /* mfy */, SystemZ::MFY, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3773 { 7315 /* mg */, SystemZ::MG, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1, Feature_FeatureMiscellaneousExtensions2, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3774 { 7318 /* mgh */, SystemZ::MGH, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, Feature_FeatureMiscellaneousExtensions2, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3775 { 7322 /* mghi */, SystemZ::MGHI, Convert__GR641_0__Tie0_1_1__S16Imm1_1, 0, { MCK_GR64, MCK_S16Imm }, },
3776 { 7327 /* mgrk */, SystemZ::MGRK, Convert__GR1281_0__GR641_1__GR641_2, Feature_FeatureMiscellaneousExtensions2, { MCK_GR128, MCK_GR64, MCK_GR64 }, },
3777 { 7332 /* mh */, SystemZ::MH, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3778 { 7335 /* mhi */, SystemZ::MHI, Convert__GR321_0__Tie0_1_1__S16Imm1_1, 0, { MCK_GR32, MCK_S16Imm }, },
3779 { 7339 /* mhy */, SystemZ::MHY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3780 { 7343 /* ml */, SystemZ::ML, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3781 { 7346 /* mlg */, SystemZ::MLG, Convert__GR1281_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
3782 { 7350 /* mlgr */, SystemZ::MLGR, Convert__GR1281_0__Tie0_1_1__GR641_1, 0, { MCK_GR128, MCK_GR64 }, },
3783 { 7355 /* mlr */, SystemZ::MLR, Convert__GR1281_0__Tie0_1_1__GR321_1, 0, { MCK_GR128, MCK_GR32 }, },
3784 { 7359 /* mp */, SystemZ::MP, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
3785 { 7362 /* mr */, SystemZ::MR, Convert__GR1281_0__Tie0_1_1__GR321_1, 0, { MCK_GR128, MCK_GR32 }, },
3786 { 7365 /* ms */, SystemZ::MS, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3787 { 7368 /* msc */, SystemZ::MSC, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, Feature_FeatureMiscellaneousExtensions2, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3788 { 7372 /* msch */, SystemZ::MSCH, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3789 { 7377 /* msd */, SystemZ::MSD, Convert__FP641_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3790 { 7381 /* msdb */, SystemZ::MSDB, Convert__FP641_0__Tie0_1_1__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3791 { 7386 /* msdbr */, SystemZ::MSDBR, Convert__FP641_0__Tie0_1_1__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3792 { 7392 /* msdr */, SystemZ::MSDR, Convert__FP641_0__Tie0_1_1__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3793 { 7397 /* mse */, SystemZ::MSE, Convert__FP321_0__Tie0_1_1__FP321_1__BDXAddr64Disp123_2, 0, { MCK_FP32, MCK_FP32, MCK_BDXAddr64Disp12 }, },
3794 { 7401 /* mseb */, SystemZ::MSEB, Convert__FP321_0__Tie0_1_1__FP321_1__BDXAddr64Disp123_2, 0, { MCK_FP32, MCK_FP32, MCK_BDXAddr64Disp12 }, },
3795 { 7406 /* msebr */, SystemZ::MSEBR, Convert__FP321_0__Tie0_1_1__FP321_1__FP321_2, 0, { MCK_FP32, MCK_FP32, MCK_FP32 }, },
3796 { 7412 /* mser */, SystemZ::MSER, Convert__FP321_0__Tie0_1_1__FP321_1__FP321_2, 0, { MCK_FP32, MCK_FP32, MCK_FP32 }, },
3797 { 7417 /* msfi */, SystemZ::MSFI, Convert__GR321_0__Tie0_1_1__S32Imm1_1, 0, { MCK_GR32, MCK_S32Imm }, },
3798 { 7422 /* msg */, SystemZ::MSG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3799 { 7426 /* msgc */, SystemZ::MSGC, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, Feature_FeatureMiscellaneousExtensions2, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3800 { 7431 /* msgf */, SystemZ::MSGF, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3801 { 7436 /* msgfi */, SystemZ::MSGFI, Convert__GR641_0__Tie0_1_1__S32Imm1_1, 0, { MCK_GR64, MCK_S32Imm }, },
3802 { 7442 /* msgfr */, SystemZ::MSGFR, Convert__GR641_0__Tie0_1_1__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3803 { 7448 /* msgr */, SystemZ::MSGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3804 { 7453 /* msgrkc */, SystemZ::MSGRKC, Convert__GR641_0__GR641_1__GR641_2, Feature_FeatureMiscellaneousExtensions2, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
3805 { 7460 /* msr */, SystemZ::MSR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3806 { 7464 /* msrkc */, SystemZ::MSRKC, Convert__GR321_0__GR321_1__GR321_2, Feature_FeatureMiscellaneousExtensions2, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
3807 { 7470 /* msta */, SystemZ::MSTA, Convert__GR1281_0, 0, { MCK_GR128 }, },
3808 { 7475 /* msy */, SystemZ::MSY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3809 { 7479 /* mvc */, SystemZ::MVC, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
3810 { 7483 /* mvcdk */, SystemZ::MVCDK, Convert__BDAddr64Disp122_0__BDAddr64Disp122_1, 0, { MCK_BDAddr64Disp12, MCK_BDAddr64Disp12 }, },
3811 { 7489 /* mvcin */, SystemZ::MVCIN, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
3812 { 7495 /* mvck */, SystemZ::MVCK, Convert__BDRAddr64Disp123_0__BDAddr64Disp122_1__GR641_2, 0, { MCK_BDRAddr64Disp12, MCK_BDAddr64Disp12, MCK_GR64 }, },
3813 { 7500 /* mvcl */, SystemZ::MVCL, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR128 }, },
3814 { 7505 /* mvcle */, SystemZ::MVCLE, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__BDAddr32Disp122_2, 0, { MCK_GR128, MCK_GR128, MCK_BDAddr32Disp12 }, },
3815 { 7511 /* mvclu */, SystemZ::MVCLU, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1__BDAddr32Disp202_2, 0, { MCK_GR128, MCK_GR128, MCK_BDAddr32Disp20 }, },
3816 { 7517 /* mvcos */, SystemZ::MVCOS, Convert__BDAddr64Disp122_0__BDAddr64Disp122_1__GR641_2, 0, { MCK_BDAddr64Disp12, MCK_BDAddr64Disp12, MCK_GR64 }, },
3817 { 7523 /* mvcp */, SystemZ::MVCP, Convert__BDRAddr64Disp123_0__BDAddr64Disp122_1__GR641_2, 0, { MCK_BDRAddr64Disp12, MCK_BDAddr64Disp12, MCK_GR64 }, },
3818 { 7528 /* mvcs */, SystemZ::MVCS, Convert__BDRAddr64Disp123_0__BDAddr64Disp122_1__GR641_2, 0, { MCK_BDRAddr64Disp12, MCK_BDAddr64Disp12, MCK_GR64 }, },
3819 { 7533 /* mvcsk */, SystemZ::MVCSK, Convert__BDAddr64Disp122_0__BDAddr64Disp122_1, 0, { MCK_BDAddr64Disp12, MCK_BDAddr64Disp12 }, },
3820 { 7539 /* mvghi */, SystemZ::MVGHI, Convert__BDAddr64Disp122_0__S16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_S16Imm }, },
3821 { 7545 /* mvhhi */, SystemZ::MVHHI, Convert__BDAddr64Disp122_0__S16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_S16Imm }, },
3822 { 7551 /* mvhi */, SystemZ::MVHI, Convert__BDAddr64Disp122_0__S16Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_S16Imm }, },
3823 { 7556 /* mvi */, SystemZ::MVI, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
3824 { 7560 /* mviy */, SystemZ::MVIY, Convert__BDAddr64Disp202_0__U8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_U8Imm }, },
3825 { 7565 /* mvn */, SystemZ::MVN, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
3826 { 7569 /* mvo */, SystemZ::MVO, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
3827 { 7573 /* mvpg */, SystemZ::MVPG, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3828 { 7578 /* mvst */, SystemZ::MVST, Convert__GR641_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR64, MCK_GR64 }, },
3829 { 7583 /* mvz */, SystemZ::MVZ, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
3830 { 7587 /* mxbr */, SystemZ::MXBR, Convert__FP1281_0__Tie0_1_1__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3831 { 7592 /* mxd */, SystemZ::MXD, Convert__FP1281_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
3832 { 7596 /* mxdb */, SystemZ::MXDB, Convert__FP1281_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
3833 { 7601 /* mxdbr */, SystemZ::MXDBR, Convert__FP1281_0__Tie0_1_1__FP641_1, 0, { MCK_FP128, MCK_FP64 }, },
3834 { 7607 /* mxdr */, SystemZ::MXDR, Convert__FP1281_0__Tie0_1_1__FP641_1, 0, { MCK_FP128, MCK_FP64 }, },
3835 { 7612 /* mxr */, SystemZ::MXR, Convert__FP1281_0__Tie0_1_1__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
3836 { 7616 /* mxtr */, SystemZ::MXTR, Convert__FP1281_0__FP1281_1__FP1281_2, 0, { MCK_FP128, MCK_FP128, MCK_FP128 }, },
3837 { 7621 /* mxtra */, SystemZ::MXTRA, Convert__FP1281_0__FP1281_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_FP128, MCK_FP128, MCK_U4Imm }, },
3838 { 7627 /* my */, SystemZ::MY, Convert__FP1281_0__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP128, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3839 { 7630 /* myh */, SystemZ::MYH, Convert__FP641_0__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3840 { 7634 /* myhr */, SystemZ::MYHR, Convert__FP641_0__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3841 { 7639 /* myl */, SystemZ::MYL, Convert__FP641_0__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3842 { 7643 /* mylr */, SystemZ::MYLR, Convert__FP641_0__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3843 { 7648 /* myr */, SystemZ::MYR, Convert__FP1281_0__FP641_1__FP641_2, 0, { MCK_FP128, MCK_FP64, MCK_FP64 }, },
3844 { 7652 /* n */, SystemZ::N, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3845 { 7654 /* nc */, SystemZ::NC, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
3846 { 7657 /* ng */, SystemZ::NG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3847 { 7660 /* ngr */, SystemZ::NGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3848 { 7664 /* ngrk */, SystemZ::NGRK, Convert__GR641_0__GR641_1__GR641_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
3849 { 7669 /* ni */, SystemZ::NI, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
3850 { 7672 /* niai */, SystemZ::NIAI, Convert__U4Imm1_0__U4Imm1_1, Feature_FeatureExecutionHint, { MCK_U4Imm, MCK_U4Imm }, },
3851 { 7677 /* nihf */, SystemZ::NIHF, Convert__GRH321_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GRH32, MCK_U32Imm }, },
3852 { 7682 /* nihh */, SystemZ::NIHH, Convert__GRH321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GRH32, MCK_U16Imm }, },
3853 { 7687 /* nihl */, SystemZ::NIHL, Convert__GRH321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GRH32, MCK_U16Imm }, },
3854 { 7692 /* nilf */, SystemZ::NILF, Convert__GR321_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GR32, MCK_U32Imm }, },
3855 { 7697 /* nilh */, SystemZ::NILH, Convert__GR321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
3856 { 7702 /* nill */, SystemZ::NILL, Convert__GR321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
3857 { 7707 /* niy */, SystemZ::NIY, Convert__BDAddr64Disp202_0__U8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_U8Imm }, },
3858 { 7711 /* nop */, SystemZ::BCAsm, Convert__imm_95_0__BDXAddr64Disp123_0, 0, { MCK_BDXAddr64Disp12 }, },
3859 { 7715 /* nopr */, SystemZ::BCRAsm, Convert__imm_95_0__GR641_0, 0, { MCK_GR64 }, },
3860 { 7720 /* nr */, SystemZ::NR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3861 { 7723 /* nrk */, SystemZ::NRK, Convert__GR321_0__GR321_1__GR321_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
3862 { 7727 /* ntstg */, SystemZ::NTSTG, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureTransactionalExecution, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3863 { 7733 /* ny */, SystemZ::NY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3864 { 7736 /* o */, SystemZ::O, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3865 { 7738 /* oc */, SystemZ::OC, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
3866 { 7741 /* og */, SystemZ::OG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3867 { 7744 /* ogr */, SystemZ::OGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3868 { 7748 /* ogrk */, SystemZ::OGRK, Convert__GR641_0__GR641_1__GR641_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
3869 { 7753 /* oi */, SystemZ::OI, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
3870 { 7756 /* oihf */, SystemZ::OIHF, Convert__GRH321_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GRH32, MCK_U32Imm }, },
3871 { 7761 /* oihh */, SystemZ::OIHH, Convert__GRH321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GRH32, MCK_U16Imm }, },
3872 { 7766 /* oihl */, SystemZ::OIHL, Convert__GRH321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GRH32, MCK_U16Imm }, },
3873 { 7771 /* oilf */, SystemZ::OILF, Convert__GR321_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GR32, MCK_U32Imm }, },
3874 { 7776 /* oilh */, SystemZ::OILH, Convert__GR321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
3875 { 7781 /* oill */, SystemZ::OILL, Convert__GR321_0__Tie0_1_1__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
3876 { 7786 /* oiy */, SystemZ::OIY, Convert__BDAddr64Disp202_0__U8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_U8Imm }, },
3877 { 7790 /* or */, SystemZ::OR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3878 { 7793 /* ork */, SystemZ::ORK, Convert__GR321_0__GR321_1__GR321_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
3879 { 7797 /* oy */, SystemZ::OY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3880 { 7800 /* pack */, SystemZ::PACK, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
3881 { 7805 /* palb */, SystemZ::PALB, Convert_NoOperands, 0, { }, },
3882 { 7810 /* pc */, SystemZ::PC, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3883 { 7813 /* pcc */, SystemZ::PCC, Convert_NoOperands, Feature_FeatureMessageSecurityAssist4, { }, },
3884 { 7817 /* pckmo */, SystemZ::PCKMO, Convert_NoOperands, Feature_FeatureMessageSecurityAssist3, { }, },
3885 { 7823 /* pfd */, SystemZ::PFD, Convert__U4Imm1_0__BDXAddr64Disp203_1, 0, { MCK_U4Imm, MCK_BDXAddr64Disp20 }, },
3886 { 7827 /* pfdrl */, SystemZ::PFDRL, Convert__U4Imm1_0__PCRel321_1, 0, { MCK_U4Imm, MCK_PCRel32 }, },
3887 { 7833 /* pfmf */, SystemZ::PFMF, Convert__GR641_1__GR321_0__Tie0_1_1, 0, { MCK_GR32, MCK_GR64 }, },
3888 { 7838 /* pfpo */, SystemZ::PFPO, Convert_NoOperands, 0, { }, },
3889 { 7843 /* pgin */, SystemZ::PGIN, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3890 { 7848 /* pgout */, SystemZ::PGOUT, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3891 { 7854 /* pka */, SystemZ::PKA, Convert__BDAddr64Disp122_0__BDLAddr64Disp12Len83_1, 0, { MCK_BDAddr64Disp12, MCK_BDLAddr64Disp12Len8 }, },
3892 { 7858 /* pku */, SystemZ::PKU, Convert__BDAddr64Disp122_0__BDLAddr64Disp12Len83_1, 0, { MCK_BDAddr64Disp12, MCK_BDLAddr64Disp12Len8 }, },
3893 { 7862 /* plo */, SystemZ::PLO, Convert__GR641_0__BDAddr64Disp122_1__GR641_2__BDAddr64Disp122_3, 0, { MCK_GR64, MCK_BDAddr64Disp12, MCK_GR64, MCK_BDAddr64Disp12 }, },
3894 { 7866 /* popcnt */, SystemZ::POPCNT, Convert__GR641_0__GR641_1, Feature_FeaturePopulationCount, { MCK_GR64, MCK_GR64 }, },
3895 { 7873 /* ppa */, SystemZ::PPA, Convert__GR641_0__GR641_1__U4Imm1_2, Feature_FeatureProcessorAssist, { MCK_GR64, MCK_GR64, MCK_U4Imm }, },
3896 { 7877 /* ppno */, SystemZ::PPNO, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, Feature_FeatureMessageSecurityAssist5, { MCK_GR128, MCK_GR128 }, },
3897 { 7882 /* pr */, SystemZ::PR, Convert_NoOperands, 0, { }, },
3898 { 7885 /* prno */, SystemZ::PRNO, Convert__GR1281_0__GR1281_1__Tie0_1_1__Tie1_1_1, Feature_FeatureMessageSecurityAssist7, { MCK_GR128, MCK_GR128 }, },
3899 { 7890 /* pt */, SystemZ::PT, Convert__GR321_0__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
3900 { 7893 /* ptf */, SystemZ::PTF, Convert__GR641_0__Tie0_1_1, 0, { MCK_GR64 }, },
3901 { 7897 /* ptff */, SystemZ::PTFF, Convert_NoOperands, 0, { }, },
3902 { 7902 /* pti */, SystemZ::PTI, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3903 { 7906 /* ptlb */, SystemZ::PTLB, Convert_NoOperands, 0, { }, },
3904 { 7911 /* qadtr */, SystemZ::QADTR, Convert__FP641_0__FP641_1__Tie0_1_1__FP641_2__U4Imm1_3, 0, { MCK_FP64, MCK_FP64, MCK_FP64, MCK_U4Imm }, },
3905 { 7917 /* qaxtr */, SystemZ::QAXTR, Convert__FP1281_0__FP1281_1__Tie0_1_1__FP1281_2__U4Imm1_3, 0, { MCK_FP128, MCK_FP128, MCK_FP128, MCK_U4Imm }, },
3906 { 7923 /* qctri */, SystemZ::QCTRI, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3907 { 7929 /* qsi */, SystemZ::QSI, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3908 { 7933 /* rchp */, SystemZ::RCHP, Convert_NoOperands, 0, { }, },
3909 { 7938 /* risbg */, SystemZ::RISBG, Convert__GR641_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4, 0, { MCK_GR64, MCK_GR64, MCK_U8Imm, MCK_U8Imm, MCK_U6Imm }, },
3910 { 7944 /* risbgn */, SystemZ::RISBGN, Convert__GR641_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4, Feature_FeatureMiscellaneousExtensions, { MCK_GR64, MCK_GR64, MCK_U8Imm, MCK_U8Imm, MCK_U6Imm }, },
3911 { 7951 /* risbhg */, SystemZ::RISBHG, Convert__GRH321_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4, Feature_FeatureHighWord, { MCK_GRH32, MCK_GR64, MCK_U8Imm, MCK_U8Imm, MCK_U6Imm }, },
3912 { 7958 /* risblg */, SystemZ::RISBLG, Convert__GR321_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4, Feature_FeatureHighWord, { MCK_GR32, MCK_GR64, MCK_U8Imm, MCK_U8Imm, MCK_U6Imm }, },
3913 { 7965 /* rll */, SystemZ::RLL, Convert__GR321_0__GR321_1__BDAddr32Disp202_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr32Disp20 }, },
3914 { 7969 /* rllg */, SystemZ::RLLG, Convert__GR641_0__GR641_1__BDAddr32Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr32Disp20 }, },
3915 { 7974 /* rnsbg */, SystemZ::RNSBG, Convert__GR641_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4, 0, { MCK_GR64, MCK_GR64, MCK_U8Imm, MCK_U8Imm, MCK_U6Imm }, },
3916 { 7980 /* rosbg */, SystemZ::ROSBG, Convert__GR641_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4, 0, { MCK_GR64, MCK_GR64, MCK_U8Imm, MCK_U8Imm, MCK_U6Imm }, },
3917 { 7986 /* rp */, SystemZ::RP, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3918 { 7989 /* rrbe */, SystemZ::RRBE, Convert__GR321_0__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
3919 { 7994 /* rrbm */, SystemZ::RRBM, Convert__GR641_0__GR641_1, Feature_FeatureResetReferenceBitsMultiple, { MCK_GR64, MCK_GR64 }, },
3920 { 7999 /* rrdtr */, SystemZ::RRDTR, Convert__FP641_0__FP641_1__Tie0_1_1__FP641_2__U4Imm1_3, 0, { MCK_FP64, MCK_FP64, MCK_FP64, MCK_U4Imm }, },
3921 { 8005 /* rrxtr */, SystemZ::RRXTR, Convert__FP1281_0__FP1281_1__Tie0_1_1__FP1281_2__U4Imm1_3, 0, { MCK_FP128, MCK_FP128, MCK_FP128, MCK_U4Imm }, },
3922 { 8011 /* rsch */, SystemZ::RSCH, Convert_NoOperands, 0, { }, },
3923 { 8016 /* rxsbg */, SystemZ::RXSBG, Convert__GR641_0__Tie0_1_1__GR641_1__U8Imm1_2__U8Imm1_3__U6Imm1_4, 0, { MCK_GR64, MCK_GR64, MCK_U8Imm, MCK_U8Imm, MCK_U6Imm }, },
3924 { 8022 /* s */, SystemZ::S, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3925 { 8024 /* sac */, SystemZ::SAC, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3926 { 8028 /* sacf */, SystemZ::SACF, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3927 { 8033 /* sal */, SystemZ::SAL, Convert_NoOperands, 0, { }, },
3928 { 8037 /* sam24 */, SystemZ::SAM24, Convert_NoOperands, 0, { }, },
3929 { 8043 /* sam31 */, SystemZ::SAM31, Convert_NoOperands, 0, { }, },
3930 { 8049 /* sam64 */, SystemZ::SAM64, Convert_NoOperands, 0, { }, },
3931 { 8055 /* sar */, SystemZ::SAR, Convert__AR321_0__GR321_1, 0, { MCK_AR32, MCK_GR32 }, },
3932 { 8059 /* scctr */, SystemZ::SCCTR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3933 { 8065 /* schm */, SystemZ::SCHM, Convert_NoOperands, 0, { }, },
3934 { 8070 /* sck */, SystemZ::SCK, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3935 { 8074 /* sckc */, SystemZ::SCKC, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3936 { 8079 /* sckpf */, SystemZ::SCKPF, Convert_NoOperands, 0, { }, },
3937 { 8085 /* sd */, SystemZ::SD, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3938 { 8088 /* sdb */, SystemZ::SDB, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3939 { 8092 /* sdbr */, SystemZ::SDBR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3940 { 8097 /* sdr */, SystemZ::SDR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3941 { 8101 /* sdtr */, SystemZ::SDTR, Convert__FP641_0__FP641_1__FP641_2, 0, { MCK_FP64, MCK_FP64, MCK_FP64 }, },
3942 { 8106 /* sdtra */, SystemZ::SDTRA, Convert__FP641_0__FP641_1__FP641_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP64, MCK_FP64, MCK_FP64, MCK_U4Imm }, },
3943 { 8112 /* se */, SystemZ::SE, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
3944 { 8115 /* seb */, SystemZ::SEB, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
3945 { 8119 /* sebr */, SystemZ::SEBR, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3946 { 8124 /* ser */, SystemZ::SER, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
3947 { 8128 /* sfasr */, SystemZ::SFASR, Convert__GR321_0, 0, { MCK_GR32 }, },
3948 { 8134 /* sfpc */, SystemZ::SFPC, Convert__GR321_0, 0, { MCK_GR32 }, },
3949 { 8139 /* sg */, SystemZ::SG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3950 { 8142 /* sgf */, SystemZ::SGF, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3951 { 8146 /* sgfr */, SystemZ::SGFR, Convert__GR641_0__Tie0_1_1__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3952 { 8151 /* sgh */, SystemZ::SGH, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, Feature_FeatureMiscellaneousExtensions2, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3953 { 8155 /* sgr */, SystemZ::SGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3954 { 8159 /* sgrk */, SystemZ::SGRK, Convert__GR641_0__GR641_1__GR641_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
3955 { 8164 /* sh */, SystemZ::SH, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3956 { 8167 /* shhhr */, SystemZ::SHHHR, Convert__GRH321_0__GRH321_1__GRH321_2, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32, MCK_GRH32 }, },
3957 { 8173 /* shhlr */, SystemZ::SHHLR, Convert__GRH321_0__GRH321_1__GR321_2, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32, MCK_GR32 }, },
3958 { 8179 /* shy */, SystemZ::SHY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3959 { 8183 /* sie */, SystemZ::SIE, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3960 { 8187 /* siga */, SystemZ::SIGA, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3961 { 8192 /* sigp */, SystemZ::SIGP, Convert__GR641_0__GR641_1__BDAddr64Disp122_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp12 }, },
3962 { 8197 /* sl */, SystemZ::SL, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
3963 { 8200 /* sla */, SystemZ::SLA, Convert__GR321_0__Tie0_1_1__BDAddr32Disp122_1, 0, { MCK_GR32, MCK_BDAddr32Disp12 }, },
3964 { 8204 /* slag */, SystemZ::SLAG, Convert__GR641_0__GR641_1__BDAddr32Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr32Disp20 }, },
3965 { 8209 /* slak */, SystemZ::SLAK, Convert__GR321_0__GR321_1__BDAddr32Disp202_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_BDAddr32Disp20 }, },
3966 { 8214 /* slb */, SystemZ::SLB, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3967 { 8218 /* slbg */, SystemZ::SLBG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3968 { 8223 /* slbgr */, SystemZ::SLBGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3969 { 8229 /* slbr */, SystemZ::SLBR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3970 { 8234 /* slda */, SystemZ::SLDA, Convert__GR1281_0__Tie0_1_1__BDAddr32Disp122_1, 0, { MCK_GR128, MCK_BDAddr32Disp12 }, },
3971 { 8239 /* sldl */, SystemZ::SLDL, Convert__GR1281_0__Tie0_1_1__BDAddr32Disp122_1, 0, { MCK_GR128, MCK_BDAddr32Disp12 }, },
3972 { 8244 /* sldt */, SystemZ::SLDT, Convert__FP641_0__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
3973 { 8249 /* slfi */, SystemZ::SLFI, Convert__GR321_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GR32, MCK_U32Imm }, },
3974 { 8254 /* slg */, SystemZ::SLG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3975 { 8258 /* slgf */, SystemZ::SLGF, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
3976 { 8263 /* slgfi */, SystemZ::SLGFI, Convert__GR641_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GR64, MCK_U32Imm }, },
3977 { 8269 /* slgfr */, SystemZ::SLGFR, Convert__GR641_0__Tie0_1_1__GR321_1, 0, { MCK_GR64, MCK_GR32 }, },
3978 { 8275 /* slgr */, SystemZ::SLGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3979 { 8280 /* slgrk */, SystemZ::SLGRK, Convert__GR641_0__GR641_1__GR641_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
3980 { 8286 /* slhhhr */, SystemZ::SLHHHR, Convert__GRH321_0__GRH321_1__GRH321_2, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32, MCK_GRH32 }, },
3981 { 8293 /* slhhlr */, SystemZ::SLHHLR, Convert__GRH321_0__GRH321_1__GR321_2, Feature_FeatureHighWord, { MCK_GRH32, MCK_GRH32, MCK_GR32 }, },
3982 { 8300 /* sll */, SystemZ::SLL, Convert__GR321_0__Tie0_1_1__BDAddr32Disp122_1, 0, { MCK_GR32, MCK_BDAddr32Disp12 }, },
3983 { 8304 /* sllg */, SystemZ::SLLG, Convert__GR641_0__GR641_1__BDAddr32Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr32Disp20 }, },
3984 { 8309 /* sllk */, SystemZ::SLLK, Convert__GR321_0__GR321_1__BDAddr32Disp202_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_BDAddr32Disp20 }, },
3985 { 8314 /* slr */, SystemZ::SLR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
3986 { 8318 /* slrk */, SystemZ::SLRK, Convert__GR321_0__GR321_1__GR321_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
3987 { 8323 /* slxt */, SystemZ::SLXT, Convert__FP1281_0__FP1281_1__BDXAddr64Disp123_2, 0, { MCK_FP128, MCK_FP128, MCK_BDXAddr64Disp12 }, },
3988 { 8328 /* sly */, SystemZ::SLY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
3989 { 8332 /* sp */, SystemZ::SP, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
3990 { 8335 /* spctr */, SystemZ::SPCTR, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
3991 { 8341 /* spka */, SystemZ::SPKA, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3992 { 8346 /* spm */, SystemZ::SPM, Convert__GR321_0, 0, { MCK_GR32 }, },
3993 { 8350 /* spt */, SystemZ::SPT, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3994 { 8354 /* spx */, SystemZ::SPX, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
3995 { 8358 /* sqd */, SystemZ::SQD, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3996 { 8362 /* sqdb */, SystemZ::SQDB, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
3997 { 8367 /* sqdbr */, SystemZ::SQDBR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3998 { 8373 /* sqdr */, SystemZ::SQDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
3999 { 8378 /* sqe */, SystemZ::SQE, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
4000 { 8382 /* sqeb */, SystemZ::SQEB, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
4001 { 8387 /* sqebr */, SystemZ::SQEBR, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
4002 { 8393 /* sqer */, SystemZ::SQER, Convert__FP321_0__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
4003 { 8398 /* sqxbr */, SystemZ::SQXBR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
4004 { 8404 /* sqxr */, SystemZ::SQXR, Convert__FP1281_0__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
4005 { 8409 /* sr */, SystemZ::SR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
4006 { 8412 /* sra */, SystemZ::SRA, Convert__GR321_0__Tie0_1_1__BDAddr32Disp122_1, 0, { MCK_GR32, MCK_BDAddr32Disp12 }, },
4007 { 8416 /* srag */, SystemZ::SRAG, Convert__GR641_0__GR641_1__BDAddr32Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr32Disp20 }, },
4008 { 8421 /* srak */, SystemZ::SRAK, Convert__GR321_0__GR321_1__BDAddr32Disp202_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_BDAddr32Disp20 }, },
4009 { 8426 /* srda */, SystemZ::SRDA, Convert__GR1281_0__Tie0_1_1__BDAddr32Disp122_1, 0, { MCK_GR128, MCK_BDAddr32Disp12 }, },
4010 { 8431 /* srdl */, SystemZ::SRDL, Convert__GR1281_0__Tie0_1_1__BDAddr32Disp122_1, 0, { MCK_GR128, MCK_BDAddr32Disp12 }, },
4011 { 8436 /* srdt */, SystemZ::SRDT, Convert__FP641_0__FP641_1__BDXAddr64Disp123_2, 0, { MCK_FP64, MCK_FP64, MCK_BDXAddr64Disp12 }, },
4012 { 8441 /* srk */, SystemZ::SRK, Convert__GR321_0__GR321_1__GR321_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
4013 { 8445 /* srl */, SystemZ::SRL, Convert__GR321_0__Tie0_1_1__BDAddr32Disp122_1, 0, { MCK_GR32, MCK_BDAddr32Disp12 }, },
4014 { 8449 /* srlg */, SystemZ::SRLG, Convert__GR641_0__GR641_1__BDAddr32Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr32Disp20 }, },
4015 { 8454 /* srlk */, SystemZ::SRLK, Convert__GR321_0__GR321_1__BDAddr32Disp202_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_BDAddr32Disp20 }, },
4016 { 8459 /* srnm */, SystemZ::SRNM, Convert__BDAddr32Disp122_0, 0, { MCK_BDAddr32Disp12 }, },
4017 { 8464 /* srnmb */, SystemZ::SRNMB, Convert__BDAddr32Disp122_0, Feature_FeatureFPExtension, { MCK_BDAddr32Disp12 }, },
4018 { 8470 /* srnmt */, SystemZ::SRNMT, Convert__BDAddr32Disp122_0, 0, { MCK_BDAddr32Disp12 }, },
4019 { 8476 /* srp */, SystemZ::SRP, Convert__BDLAddr64Disp12Len43_0__BDAddr32Disp122_1__U4Imm1_2, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDAddr32Disp12, MCK_U4Imm }, },
4020 { 8480 /* srst */, SystemZ::SRST, Convert__GR641_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR64, MCK_GR64 }, },
4021 { 8485 /* srstu */, SystemZ::SRSTU, Convert__GR641_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR64, MCK_GR64 }, },
4022 { 8491 /* srxt */, SystemZ::SRXT, Convert__FP1281_0__FP1281_1__BDXAddr64Disp123_2, 0, { MCK_FP128, MCK_FP128, MCK_BDXAddr64Disp12 }, },
4023 { 8496 /* ssair */, SystemZ::SSAIR, Convert__GR641_0, 0, { MCK_GR64 }, },
4024 { 8502 /* ssar */, SystemZ::SSAR, Convert__GR321_0, 0, { MCK_GR32 }, },
4025 { 8507 /* ssch */, SystemZ::SSCH, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4026 { 8512 /* sske */, SystemZ::SSKEOpt, Convert__GR321_0__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
4027 { 8512 /* sske */, SystemZ::SSKE, Convert__GR321_0__GR641_1__U4Imm1_2, 0, { MCK_GR32, MCK_GR64, MCK_U4Imm }, },
4028 { 8517 /* ssm */, SystemZ::SSM, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4029 { 8521 /* st */, SystemZ::ST, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
4030 { 8524 /* stam */, SystemZ::STAM, Convert__AR321_0__AR321_1__BDAddr64Disp122_2, 0, { MCK_AR32, MCK_AR32, MCK_BDAddr64Disp12 }, },
4031 { 8529 /* stamy */, SystemZ::STAMY, Convert__AR321_0__AR321_1__BDAddr64Disp202_2, 0, { MCK_AR32, MCK_AR32, MCK_BDAddr64Disp20 }, },
4032 { 8535 /* stap */, SystemZ::STAP, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4033 { 8540 /* stc */, SystemZ::STC, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
4034 { 8544 /* stch */, SystemZ::STCH, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
4035 { 8549 /* stck */, SystemZ::STCK, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4036 { 8554 /* stckc */, SystemZ::STCKC, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4037 { 8560 /* stcke */, SystemZ::STCKE, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4038 { 8566 /* stckf */, SystemZ::STCKF, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4039 { 8572 /* stcm */, SystemZ::STCM, Convert__GR321_0__U4Imm1_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp12 }, },
4040 { 8577 /* stcmh */, SystemZ::STCMH, Convert__GRH321_0__U4Imm1_1__BDAddr64Disp202_2, 0, { MCK_GRH32, MCK_U4Imm, MCK_BDAddr64Disp20 }, },
4041 { 8583 /* stcmy */, SystemZ::STCMY, Convert__GR321_0__U4Imm1_1__BDAddr64Disp202_2, 0, { MCK_GR32, MCK_U4Imm, MCK_BDAddr64Disp20 }, },
4042 { 8589 /* stcps */, SystemZ::STCPS, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4043 { 8595 /* stcrw */, SystemZ::STCRW, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4044 { 8601 /* stctg */, SystemZ::STCTG, Convert__CR641_0__CR641_1__BDAddr64Disp202_2, 0, { MCK_CR64, MCK_CR64, MCK_BDAddr64Disp20 }, },
4045 { 8607 /* stctl */, SystemZ::STCTL, Convert__CR641_0__CR641_1__BDAddr64Disp122_2, 0, { MCK_CR64, MCK_CR64, MCK_BDAddr64Disp12 }, },
4046 { 8613 /* stcy */, SystemZ::STCY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
4047 { 8618 /* std */, SystemZ::STD, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
4048 { 8622 /* stdy */, SystemZ::STDY, Convert__FP641_0__BDXAddr64Disp203_1, 0, { MCK_FP64, MCK_BDXAddr64Disp20 }, },
4049 { 8627 /* ste */, SystemZ::STE, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
4050 { 8631 /* stey */, SystemZ::STEY, Convert__FP321_0__BDXAddr64Disp203_1, 0, { MCK_FP32, MCK_BDXAddr64Disp20 }, },
4051 { 8636 /* stfh */, SystemZ::STFH, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
4052 { 8641 /* stfl */, SystemZ::STFL, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4053 { 8646 /* stfle */, SystemZ::STFLE, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4054 { 8652 /* stfpc */, SystemZ::STFPC, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4055 { 8658 /* stg */, SystemZ::STG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
4056 { 8662 /* stgrl */, SystemZ::STGRL, Convert__GR641_0__PCRel321_1, 0, { MCK_GR64, MCK_PCRel32 }, },
4057 { 8668 /* stgsc */, SystemZ::STGSC, Convert__GR641_0__BDXAddr64Disp203_1, Feature_FeatureGuardedStorage, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
4058 { 8674 /* sth */, SystemZ::STH, Convert__GR321_0__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
4059 { 8678 /* sthh */, SystemZ::STHH, Convert__GRH321_0__BDXAddr64Disp203_1, Feature_FeatureHighWord, { MCK_GRH32, MCK_BDXAddr64Disp20 }, },
4060 { 8683 /* sthrl */, SystemZ::STHRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
4061 { 8689 /* sthy */, SystemZ::STHY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
4062 { 8694 /* stidp */, SystemZ::STIDP, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4063 { 8700 /* stm */, SystemZ::STM, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
4064 { 8704 /* stmg */, SystemZ::STMG, Convert__GR641_0__GR641_1__BDAddr64Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
4065 { 8709 /* stmh */, SystemZ::STMH, Convert__GRH321_0__GRH321_1__BDAddr64Disp202_2, 0, { MCK_GRH32, MCK_GRH32, MCK_BDAddr64Disp20 }, },
4066 { 8714 /* stmy */, SystemZ::STMY, Convert__GR321_0__GR321_1__BDAddr64Disp202_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp20 }, },
4067 { 8719 /* stnsm */, SystemZ::STNSM, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
4068 { 8725 /* stoc */, SystemZ::STOCAsm, Convert__GR321_0__BDAddr64Disp202_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20, MCK_U4Imm }, },
4069 { 8730 /* stoce */, SystemZ::STOCAsmE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4070 { 8736 /* stocfh */, SystemZ::STOCFHAsm, Convert__GRH321_0__BDAddr64Disp202_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20, MCK_U4Imm }, },
4071 { 8743 /* stocfhe */, SystemZ::STOCFHAsmE, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4072 { 8751 /* stocfhh */, SystemZ::STOCFHAsmH, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4073 { 8759 /* stocfhhe */, SystemZ::STOCFHAsmHE, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4074 { 8768 /* stocfhl */, SystemZ::STOCFHAsmL, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4075 { 8776 /* stocfhle */, SystemZ::STOCFHAsmLE, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4076 { 8785 /* stocfhlh */, SystemZ::STOCFHAsmLH, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4077 { 8794 /* stocfhm */, SystemZ::STOCFHAsmM, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4078 { 8802 /* stocfhne */, SystemZ::STOCFHAsmNE, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4079 { 8811 /* stocfhnh */, SystemZ::STOCFHAsmNH, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4080 { 8820 /* stocfhnhe */, SystemZ::STOCFHAsmNHE, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4081 { 8830 /* stocfhnl */, SystemZ::STOCFHAsmNL, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4082 { 8839 /* stocfhnle */, SystemZ::STOCFHAsmNLE, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4083 { 8849 /* stocfhnlh */, SystemZ::STOCFHAsmNLH, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4084 { 8859 /* stocfhnm */, SystemZ::STOCFHAsmNM, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4085 { 8868 /* stocfhno */, SystemZ::STOCFHAsmNO, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4086 { 8877 /* stocfhnp */, SystemZ::STOCFHAsmNP, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4087 { 8886 /* stocfhnz */, SystemZ::STOCFHAsmNZ, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4088 { 8895 /* stocfho */, SystemZ::STOCFHAsmO, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4089 { 8903 /* stocfhp */, SystemZ::STOCFHAsmP, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4090 { 8911 /* stocfhz */, SystemZ::STOCFHAsmZ, Convert__GRH321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond2, { MCK_GRH32, MCK_BDAddr64Disp20 }, },
4091 { 8919 /* stocg */, SystemZ::STOCGAsm, Convert__GR641_0__BDAddr64Disp202_1__U4Imm1_2, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20, MCK_U4Imm }, },
4092 { 8925 /* stocge */, SystemZ::STOCGAsmE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4093 { 8932 /* stocgh */, SystemZ::STOCGAsmH, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4094 { 8939 /* stocghe */, SystemZ::STOCGAsmHE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4095 { 8947 /* stocgl */, SystemZ::STOCGAsmL, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4096 { 8954 /* stocgle */, SystemZ::STOCGAsmLE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4097 { 8962 /* stocglh */, SystemZ::STOCGAsmLH, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4098 { 8970 /* stocgm */, SystemZ::STOCGAsmM, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4099 { 8977 /* stocgne */, SystemZ::STOCGAsmNE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4100 { 8985 /* stocgnh */, SystemZ::STOCGAsmNH, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4101 { 8993 /* stocgnhe */, SystemZ::STOCGAsmNHE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4102 { 9002 /* stocgnl */, SystemZ::STOCGAsmNL, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4103 { 9010 /* stocgnle */, SystemZ::STOCGAsmNLE, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4104 { 9019 /* stocgnlh */, SystemZ::STOCGAsmNLH, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4105 { 9028 /* stocgnm */, SystemZ::STOCGAsmNM, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4106 { 9036 /* stocgno */, SystemZ::STOCGAsmNO, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4107 { 9044 /* stocgnp */, SystemZ::STOCGAsmNP, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4108 { 9052 /* stocgnz */, SystemZ::STOCGAsmNZ, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4109 { 9060 /* stocgo */, SystemZ::STOCGAsmO, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4110 { 9067 /* stocgp */, SystemZ::STOCGAsmP, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4111 { 9074 /* stocgz */, SystemZ::STOCGAsmZ, Convert__GR641_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR64, MCK_BDAddr64Disp20 }, },
4112 { 9081 /* stoch */, SystemZ::STOCAsmH, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4113 { 9087 /* stoche */, SystemZ::STOCAsmHE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4114 { 9094 /* stocl */, SystemZ::STOCAsmL, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4115 { 9100 /* stocle */, SystemZ::STOCAsmLE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4116 { 9107 /* stoclh */, SystemZ::STOCAsmLH, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4117 { 9114 /* stocm */, SystemZ::STOCAsmM, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4118 { 9120 /* stocne */, SystemZ::STOCAsmNE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4119 { 9127 /* stocnh */, SystemZ::STOCAsmNH, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4120 { 9134 /* stocnhe */, SystemZ::STOCAsmNHE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4121 { 9142 /* stocnl */, SystemZ::STOCAsmNL, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4122 { 9149 /* stocnle */, SystemZ::STOCAsmNLE, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4123 { 9157 /* stocnlh */, SystemZ::STOCAsmNLH, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4124 { 9165 /* stocnm */, SystemZ::STOCAsmNM, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4125 { 9172 /* stocno */, SystemZ::STOCAsmNO, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4126 { 9179 /* stocnp */, SystemZ::STOCAsmNP, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4127 { 9186 /* stocnz */, SystemZ::STOCAsmNZ, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4128 { 9193 /* stoco */, SystemZ::STOCAsmO, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4129 { 9199 /* stocp */, SystemZ::STOCAsmP, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4130 { 9205 /* stocz */, SystemZ::STOCAsmZ, Convert__GR321_0__BDAddr64Disp202_1, Feature_FeatureLoadStoreOnCond, { MCK_GR32, MCK_BDAddr64Disp20 }, },
4131 { 9211 /* stosm */, SystemZ::STOSM, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
4132 { 9217 /* stpq */, SystemZ::STPQ, Convert__GR1281_0__BDXAddr64Disp203_1, 0, { MCK_GR128, MCK_BDXAddr64Disp20 }, },
4133 { 9222 /* stpt */, SystemZ::STPT, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4134 { 9227 /* stpx */, SystemZ::STPX, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4135 { 9232 /* strag */, SystemZ::STRAG, Convert__BDAddr64Disp122_0__BDAddr64Disp122_1, 0, { MCK_BDAddr64Disp12, MCK_BDAddr64Disp12 }, },
4136 { 9238 /* strl */, SystemZ::STRL, Convert__GR321_0__PCRel321_1, 0, { MCK_GR32, MCK_PCRel32 }, },
4137 { 9243 /* strv */, SystemZ::STRV, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
4138 { 9248 /* strvg */, SystemZ::STRVG, Convert__GR641_0__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
4139 { 9254 /* strvh */, SystemZ::STRVH, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
4140 { 9260 /* stsch */, SystemZ::STSCH, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4141 { 9266 /* stsi */, SystemZ::STSI, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4142 { 9271 /* stura */, SystemZ::STURA, Convert__GR321_0__GR641_1, 0, { MCK_GR32, MCK_GR64 }, },
4143 { 9277 /* sturg */, SystemZ::STURG, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
4144 { 9283 /* sty */, SystemZ::STY, Convert__GR321_0__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
4145 { 9287 /* su */, SystemZ::SU, Convert__FP321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
4146 { 9290 /* sur */, SystemZ::SUR, Convert__FP321_0__Tie0_1_1__FP321_1, 0, { MCK_FP32, MCK_FP32 }, },
4147 { 9294 /* svc */, SystemZ::SVC, Convert__U8Imm1_0, 0, { MCK_U8Imm }, },
4148 { 9298 /* sw */, SystemZ::SW, Convert__FP641_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
4149 { 9301 /* swr */, SystemZ::SWR, Convert__FP641_0__Tie0_1_1__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
4150 { 9305 /* sxbr */, SystemZ::SXBR, Convert__FP1281_0__Tie0_1_1__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
4151 { 9310 /* sxr */, SystemZ::SXR, Convert__FP1281_0__Tie0_1_1__FP1281_1, 0, { MCK_FP128, MCK_FP128 }, },
4152 { 9314 /* sxtr */, SystemZ::SXTR, Convert__FP1281_0__FP1281_1__FP1281_2, 0, { MCK_FP128, MCK_FP128, MCK_FP128 }, },
4153 { 9319 /* sxtra */, SystemZ::SXTRA, Convert__FP1281_0__FP1281_1__FP1281_2__U4Imm1_3, Feature_FeatureFPExtension, { MCK_FP128, MCK_FP128, MCK_FP128, MCK_U4Imm }, },
4154 { 9325 /* sy */, SystemZ::SY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
4155 { 9328 /* tabort */, SystemZ::TABORT, Convert__BDAddr64Disp122_0, Feature_FeatureTransactionalExecution, { MCK_BDAddr64Disp12 }, },
4156 { 9335 /* tam */, SystemZ::TAM, Convert_NoOperands, 0, { }, },
4157 { 9339 /* tar */, SystemZ::TAR, Convert__AR321_0__GR321_1, 0, { MCK_AR32, MCK_GR32 }, },
4158 { 9343 /* tb */, SystemZ::TB, Convert__GR641_0__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
4159 { 9346 /* tbdr */, SystemZ::TBDR, Convert__FP641_0__U4Imm1_1__FP641_2, 0, { MCK_FP64, MCK_U4Imm, MCK_FP64 }, },
4160 { 9351 /* tbedr */, SystemZ::TBEDR, Convert__FP321_0__U4Imm1_1__FP641_2, 0, { MCK_FP32, MCK_U4Imm, MCK_FP64 }, },
4161 { 9357 /* tbegin */, SystemZ::TBEGIN, Convert__BDAddr64Disp122_0__U16Imm1_1, Feature_FeatureTransactionalExecution, { MCK_BDAddr64Disp12, MCK_U16Imm }, },
4162 { 9364 /* tbeginc */, SystemZ::TBEGINC, Convert__BDAddr64Disp122_0__U16Imm1_1, Feature_FeatureTransactionalExecution, { MCK_BDAddr64Disp12, MCK_U16Imm }, },
4163 { 9372 /* tcdb */, SystemZ::TCDB, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
4164 { 9377 /* tceb */, SystemZ::TCEB, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
4165 { 9382 /* tcxb */, SystemZ::TCXB, Convert__FP1281_0__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
4166 { 9387 /* tdcdt */, SystemZ::TDCDT, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
4167 { 9393 /* tdcet */, SystemZ::TDCET, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
4168 { 9399 /* tdcxt */, SystemZ::TDCXT, Convert__FP1281_0__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
4169 { 9405 /* tdgdt */, SystemZ::TDGDT, Convert__FP641_0__BDXAddr64Disp123_1, 0, { MCK_FP64, MCK_BDXAddr64Disp12 }, },
4170 { 9411 /* tdget */, SystemZ::TDGET, Convert__FP321_0__BDXAddr64Disp123_1, 0, { MCK_FP32, MCK_BDXAddr64Disp12 }, },
4171 { 9417 /* tdgxt */, SystemZ::TDGXT, Convert__FP1281_0__BDXAddr64Disp123_1, 0, { MCK_FP128, MCK_BDXAddr64Disp12 }, },
4172 { 9423 /* tend */, SystemZ::TEND, Convert_NoOperands, Feature_FeatureTransactionalExecution, { }, },
4173 { 9428 /* thder */, SystemZ::THDER, Convert__FP641_0__FP321_1, 0, { MCK_FP64, MCK_FP32 }, },
4174 { 9434 /* thdr */, SystemZ::THDR, Convert__FP641_0__FP641_1, 0, { MCK_FP64, MCK_FP64 }, },
4175 { 9439 /* tm */, SystemZ::TM, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
4176 { 9442 /* tmh */, SystemZ::TMLH, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
4177 { 9446 /* tmhh */, SystemZ::TMHH, Convert__GRH321_0__U16Imm1_1, 0, { MCK_GRH32, MCK_U16Imm }, },
4178 { 9451 /* tmhl */, SystemZ::TMHL, Convert__GRH321_0__U16Imm1_1, 0, { MCK_GRH32, MCK_U16Imm }, },
4179 { 9456 /* tml */, SystemZ::TMLL, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
4180 { 9460 /* tmlh */, SystemZ::TMLH, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
4181 { 9465 /* tmll */, SystemZ::TMLL, Convert__GR321_0__U16Imm1_1, 0, { MCK_GR32, MCK_U16Imm }, },
4182 { 9470 /* tmy */, SystemZ::TMY, Convert__BDAddr64Disp202_0__U8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_U8Imm }, },
4183 { 9474 /* tp */, SystemZ::TP, Convert__BDLAddr64Disp12Len43_0, 0, { MCK_BDLAddr64Disp12Len4 }, },
4184 { 9477 /* tpi */, SystemZ::TPI, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4185 { 9481 /* tprot */, SystemZ::TPROT, Convert__BDAddr64Disp122_0__BDAddr64Disp122_1, 0, { MCK_BDAddr64Disp12, MCK_BDAddr64Disp12 }, },
4186 { 9487 /* tr */, SystemZ::TR, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
4187 { 9490 /* trace */, SystemZ::TRACE, Convert__GR321_0__GR321_1__BDAddr64Disp122_2, 0, { MCK_GR32, MCK_GR32, MCK_BDAddr64Disp12 }, },
4188 { 9496 /* tracg */, SystemZ::TRACG, Convert__GR641_0__GR641_1__BDAddr64Disp202_2, 0, { MCK_GR64, MCK_GR64, MCK_BDAddr64Disp20 }, },
4189 { 9502 /* trap2 */, SystemZ::TRAP2, Convert_NoOperands, 0, { }, },
4190 { 9508 /* trap4 */, SystemZ::TRAP4, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4191 { 9514 /* tre */, SystemZ::TRE, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR64 }, },
4192 { 9518 /* troo */, SystemZ::TROOOpt, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR64 }, },
4193 { 9518 /* troo */, SystemZ::TROO, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR64, MCK_U4Imm }, },
4194 { 9523 /* trot */, SystemZ::TROTOpt, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR64 }, },
4195 { 9523 /* trot */, SystemZ::TROT, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR64, MCK_U4Imm }, },
4196 { 9528 /* trt */, SystemZ::TRT, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
4197 { 9532 /* trte */, SystemZ::TRTEOpt, Convert__GR641_1__GR1281_0__Tie1_1_1, 0, { MCK_GR128, MCK_GR64 }, },
4198 { 9532 /* trte */, SystemZ::TRTE, Convert__GR641_1__GR1281_0__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR64, MCK_U4Imm }, },
4199 { 9537 /* trto */, SystemZ::TRTOOpt, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR64 }, },
4200 { 9537 /* trto */, SystemZ::TRTO, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR64, MCK_U4Imm }, },
4201 { 9542 /* trtr */, SystemZ::TRTR, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
4202 { 9547 /* trtre */, SystemZ::TRTREOpt, Convert__GR641_1__GR1281_0__Tie1_1_1, 0, { MCK_GR128, MCK_GR64 }, },
4203 { 9547 /* trtre */, SystemZ::TRTRE, Convert__GR641_1__GR1281_0__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR64, MCK_U4Imm }, },
4204 { 9553 /* trtt */, SystemZ::TRTTOpt, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1, 0, { MCK_GR128, MCK_GR64 }, },
4205 { 9553 /* trtt */, SystemZ::TRTT, Convert__GR1281_0__GR641_1__Tie0_1_1__Tie1_1_1__U4Imm1_2, 0, { MCK_GR128, MCK_GR64, MCK_U4Imm }, },
4206 { 9558 /* ts */, SystemZ::TS, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4207 { 9561 /* tsch */, SystemZ::TSCH, Convert__BDAddr64Disp122_0, 0, { MCK_BDAddr64Disp12 }, },
4208 { 9566 /* unpk */, SystemZ::UNPK, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
4209 { 9571 /* unpka */, SystemZ::UNPKA, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
4210 { 9577 /* unpku */, SystemZ::UNPKU, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
4211 { 9583 /* upt */, SystemZ::UPT, Convert_NoOperands, 0, { }, },
4212 { 9587 /* va */, SystemZ::VA, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4213 { 9590 /* vab */, SystemZ::VAB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4214 { 9594 /* vac */, SystemZ::VAC, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4215 { 9598 /* vacc */, SystemZ::VACC, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4216 { 9603 /* vaccb */, SystemZ::VACCB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4217 { 9609 /* vaccc */, SystemZ::VACCC, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4218 { 9615 /* vacccq */, SystemZ::VACCCQ, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4219 { 9622 /* vaccf */, SystemZ::VACCF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4220 { 9628 /* vaccg */, SystemZ::VACCG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4221 { 9634 /* vacch */, SystemZ::VACCH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4222 { 9640 /* vaccq */, SystemZ::VACCQ, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4223 { 9646 /* vacq */, SystemZ::VACQ, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4224 { 9651 /* vaf */, SystemZ::VAF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4225 { 9655 /* vag */, SystemZ::VAG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4226 { 9659 /* vah */, SystemZ::VAH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4227 { 9663 /* vap */, SystemZ::VAP, Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U4Imm }, },
4228 { 9667 /* vaq */, SystemZ::VAQ, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4229 { 9671 /* vavg */, SystemZ::VAVG, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4230 { 9676 /* vavgb */, SystemZ::VAVGB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4231 { 9682 /* vavgf */, SystemZ::VAVGF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4232 { 9688 /* vavgg */, SystemZ::VAVGG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4233 { 9694 /* vavgh */, SystemZ::VAVGH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4234 { 9700 /* vavgl */, SystemZ::VAVGL, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4235 { 9706 /* vavglb */, SystemZ::VAVGLB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4236 { 9713 /* vavglf */, SystemZ::VAVGLF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4237 { 9720 /* vavglg */, SystemZ::VAVGLG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4238 { 9727 /* vavglh */, SystemZ::VAVGLH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4239 { 9734 /* vbperm */, SystemZ::VBPERM, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4240 { 9741 /* vcdg */, SystemZ::VCDG, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4241 { 9746 /* vcdgb */, SystemZ::VCDGB, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4242 { 9752 /* vcdlg */, SystemZ::VCDLG, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4243 { 9758 /* vcdlgb */, SystemZ::VCDLGB, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4244 { 9765 /* vceq */, SystemZ::VCEQ, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4245 { 9770 /* vceqb */, SystemZ::VCEQB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4246 { 9776 /* vceqbs */, SystemZ::VCEQBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4247 { 9783 /* vceqf */, SystemZ::VCEQF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4248 { 9789 /* vceqfs */, SystemZ::VCEQFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4249 { 9796 /* vceqg */, SystemZ::VCEQG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4250 { 9802 /* vceqgs */, SystemZ::VCEQGS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4251 { 9809 /* vceqh */, SystemZ::VCEQH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4252 { 9815 /* vceqhs */, SystemZ::VCEQHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4253 { 9822 /* vcgd */, SystemZ::VCGD, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4254 { 9827 /* vcgdb */, SystemZ::VCGDB, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4255 { 9833 /* vch */, SystemZ::VCH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4256 { 9837 /* vchb */, SystemZ::VCHB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4257 { 9842 /* vchbs */, SystemZ::VCHBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4258 { 9848 /* vchf */, SystemZ::VCHF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4259 { 9853 /* vchfs */, SystemZ::VCHFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4260 { 9859 /* vchg */, SystemZ::VCHG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4261 { 9864 /* vchgs */, SystemZ::VCHGS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4262 { 9870 /* vchh */, SystemZ::VCHH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4263 { 9875 /* vchhs */, SystemZ::VCHHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4264 { 9881 /* vchl */, SystemZ::VCHL, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4265 { 9886 /* vchlb */, SystemZ::VCHLB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4266 { 9892 /* vchlbs */, SystemZ::VCHLBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4267 { 9899 /* vchlf */, SystemZ::VCHLF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4268 { 9905 /* vchlfs */, SystemZ::VCHLFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4269 { 9912 /* vchlg */, SystemZ::VCHLG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4270 { 9918 /* vchlgs */, SystemZ::VCHLGS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4271 { 9925 /* vchlh */, SystemZ::VCHLH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4272 { 9931 /* vchlhs */, SystemZ::VCHLHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4273 { 9938 /* vcksm */, SystemZ::VCKSM, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4274 { 9944 /* vclgd */, SystemZ::VCLGD, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4275 { 9950 /* vclgdb */, SystemZ::VCLGDB, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4276 { 9957 /* vclz */, SystemZ::VCLZ, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4277 { 9962 /* vclzb */, SystemZ::VCLZB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4278 { 9968 /* vclzf */, SystemZ::VCLZF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4279 { 9974 /* vclzg */, SystemZ::VCLZG, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4280 { 9980 /* vclzh */, SystemZ::VCLZH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4281 { 9986 /* vcp */, SystemZ::VCP, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4282 { 9990 /* vctz */, SystemZ::VCTZ, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4283 { 9995 /* vctzb */, SystemZ::VCTZB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4284 { 10001 /* vctzf */, SystemZ::VCTZF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4285 { 10007 /* vctzg */, SystemZ::VCTZG, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4286 { 10013 /* vctzh */, SystemZ::VCTZH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4287 { 10019 /* vcvb */, SystemZ::VCVB, Convert__GR321_0__VR1281_1__U4Imm1_2, Feature_FeatureVectorPackedDecimal, { MCK_GR32, MCK_VR128, MCK_U4Imm }, },
4288 { 10024 /* vcvbg */, SystemZ::VCVBG, Convert__GR641_0__VR1281_1__U4Imm1_2, Feature_FeatureVectorPackedDecimal, { MCK_GR64, MCK_VR128, MCK_U4Imm }, },
4289 { 10030 /* vcvd */, SystemZ::VCVD, Convert__VR1281_0__GR321_1__U8Imm1_2__U4Imm1_3, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_GR32, MCK_U8Imm, MCK_U4Imm }, },
4290 { 10035 /* vcvdg */, SystemZ::VCVDG, Convert__VR1281_0__GR641_1__U8Imm1_2__U4Imm1_3, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_GR64, MCK_U8Imm, MCK_U4Imm }, },
4291 { 10041 /* vdp */, SystemZ::VDP, Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U4Imm }, },
4292 { 10045 /* vec */, SystemZ::VEC, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4293 { 10049 /* vecb */, SystemZ::VECB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4294 { 10054 /* vecf */, SystemZ::VECF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4295 { 10059 /* vecg */, SystemZ::VECG, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4296 { 10064 /* vech */, SystemZ::VECH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4297 { 10069 /* vecl */, SystemZ::VECL, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4298 { 10074 /* veclb */, SystemZ::VECLB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4299 { 10080 /* veclf */, SystemZ::VECLF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4300 { 10086 /* veclg */, SystemZ::VECLG, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4301 { 10092 /* veclh */, SystemZ::VECLH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4302 { 10098 /* verim */, SystemZ::VERIM, Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U4Imm }, },
4303 { 10104 /* verimb */, SystemZ::VERIMB, Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm }, },
4304 { 10111 /* verimf */, SystemZ::VERIMF, Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm }, },
4305 { 10118 /* verimg */, SystemZ::VERIMG, Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm }, },
4306 { 10125 /* verimh */, SystemZ::VERIMH, Convert__VR1281_0__Tie0_1_1__VR1281_1__VR1281_2__U8Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm }, },
4307 { 10132 /* verll */, SystemZ::VERLL, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12, MCK_U4Imm }, },
4308 { 10138 /* verllb */, SystemZ::VERLLB, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4309 { 10145 /* verllf */, SystemZ::VERLLF, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4310 { 10152 /* verllg */, SystemZ::VERLLG, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4311 { 10159 /* verllh */, SystemZ::VERLLH, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4312 { 10166 /* verllv */, SystemZ::VERLLV, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4313 { 10173 /* verllvb */, SystemZ::VERLLVB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4314 { 10181 /* verllvf */, SystemZ::VERLLVF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4315 { 10189 /* verllvg */, SystemZ::VERLLVG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4316 { 10197 /* verllvh */, SystemZ::VERLLVH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4317 { 10205 /* vesl */, SystemZ::VESL, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12, MCK_U4Imm }, },
4318 { 10210 /* veslb */, SystemZ::VESLB, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4319 { 10216 /* veslf */, SystemZ::VESLF, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4320 { 10222 /* veslg */, SystemZ::VESLG, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4321 { 10228 /* veslh */, SystemZ::VESLH, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4322 { 10234 /* veslv */, SystemZ::VESLV, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4323 { 10240 /* veslvb */, SystemZ::VESLVB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4324 { 10247 /* veslvf */, SystemZ::VESLVF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4325 { 10254 /* veslvg */, SystemZ::VESLVG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4326 { 10261 /* veslvh */, SystemZ::VESLVH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4327 { 10268 /* vesra */, SystemZ::VESRA, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12, MCK_U4Imm }, },
4328 { 10274 /* vesrab */, SystemZ::VESRAB, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4329 { 10281 /* vesraf */, SystemZ::VESRAF, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4330 { 10288 /* vesrag */, SystemZ::VESRAG, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4331 { 10295 /* vesrah */, SystemZ::VESRAH, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4332 { 10302 /* vesrav */, SystemZ::VESRAV, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4333 { 10309 /* vesravb */, SystemZ::VESRAVB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4334 { 10317 /* vesravf */, SystemZ::VESRAVF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4335 { 10325 /* vesravg */, SystemZ::VESRAVG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4336 { 10333 /* vesravh */, SystemZ::VESRAVH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4337 { 10341 /* vesrl */, SystemZ::VESRL, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12, MCK_U4Imm }, },
4338 { 10347 /* vesrlb */, SystemZ::VESRLB, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4339 { 10354 /* vesrlf */, SystemZ::VESRLF, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4340 { 10361 /* vesrlg */, SystemZ::VESRLG, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4341 { 10368 /* vesrlh */, SystemZ::VESRLH, Convert__VR1281_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr32Disp12 }, },
4342 { 10375 /* vesrlv */, SystemZ::VESRLV, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4343 { 10382 /* vesrlvb */, SystemZ::VESRLVB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4344 { 10390 /* vesrlvf */, SystemZ::VESRLVF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4345 { 10398 /* vesrlvg */, SystemZ::VESRLVG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4346 { 10406 /* vesrlvh */, SystemZ::VESRLVH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4347 { 10414 /* vfa */, SystemZ::VFA, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4348 { 10418 /* vfadb */, SystemZ::VFADB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4349 { 10424 /* vfae */, SystemZ::VFAE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4350 { 10424 /* vfae */, SystemZ::VFAE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4351 { 10429 /* vfaeb */, SystemZ::VFAEB, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4352 { 10429 /* vfaeb */, SystemZ::VFAEB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4353 { 10435 /* vfaebs */, SystemZ::VFAEBS, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4354 { 10435 /* vfaebs */, SystemZ::VFAEBS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4355 { 10442 /* vfaef */, SystemZ::VFAEF, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4356 { 10442 /* vfaef */, SystemZ::VFAEF, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4357 { 10448 /* vfaefs */, SystemZ::VFAEFS, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4358 { 10448 /* vfaefs */, SystemZ::VFAEFS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4359 { 10455 /* vfaeh */, SystemZ::VFAEH, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4360 { 10455 /* vfaeh */, SystemZ::VFAEH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4361 { 10461 /* vfaehs */, SystemZ::VFAEHS, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4362 { 10461 /* vfaehs */, SystemZ::VFAEHS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4363 { 10468 /* vfaezb */, SystemZ::VFAEZB, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4364 { 10468 /* vfaezb */, SystemZ::VFAEZB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4365 { 10475 /* vfaezbs */, SystemZ::VFAEZBS, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4366 { 10475 /* vfaezbs */, SystemZ::VFAEZBS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4367 { 10483 /* vfaezf */, SystemZ::VFAEZF, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4368 { 10483 /* vfaezf */, SystemZ::VFAEZF, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4369 { 10490 /* vfaezfs */, SystemZ::VFAEZFS, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4370 { 10490 /* vfaezfs */, SystemZ::VFAEZFS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4371 { 10498 /* vfaezh */, SystemZ::VFAEZH, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4372 { 10498 /* vfaezh */, SystemZ::VFAEZH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4373 { 10505 /* vfaezhs */, SystemZ::VFAEZHS, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4374 { 10505 /* vfaezhs */, SystemZ::VFAEZHS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4375 { 10513 /* vfasb */, SystemZ::VFASB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4376 { 10519 /* vfce */, SystemZ::VFCE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4377 { 10524 /* vfcedb */, SystemZ::VFCEDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4378 { 10531 /* vfcedbs */, SystemZ::VFCEDBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4379 { 10539 /* vfcesb */, SystemZ::VFCESB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4380 { 10546 /* vfcesbs */, SystemZ::VFCESBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4381 { 10554 /* vfch */, SystemZ::VFCH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4382 { 10559 /* vfchdb */, SystemZ::VFCHDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4383 { 10566 /* vfchdbs */, SystemZ::VFCHDBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4384 { 10574 /* vfche */, SystemZ::VFCHE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4385 { 10580 /* vfchedb */, SystemZ::VFCHEDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4386 { 10588 /* vfchedbs */, SystemZ::VFCHEDBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4387 { 10597 /* vfchesb */, SystemZ::VFCHESB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4388 { 10605 /* vfchesbs */, SystemZ::VFCHESBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4389 { 10614 /* vfchsb */, SystemZ::VFCHSB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4390 { 10621 /* vfchsbs */, SystemZ::VFCHSBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4391 { 10629 /* vfd */, SystemZ::VFD, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4392 { 10633 /* vfddb */, SystemZ::VFDDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4393 { 10639 /* vfdsb */, SystemZ::VFDSB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4394 { 10645 /* vfee */, SystemZ::VFEE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4395 { 10645 /* vfee */, SystemZ::VFEE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4396 { 10650 /* vfeeb */, SystemZ::VFEEB, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4397 { 10650 /* vfeeb */, SystemZ::VFEEB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4398 { 10656 /* vfeebs */, SystemZ::VFEEBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4399 { 10663 /* vfeef */, SystemZ::VFEEF, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4400 { 10663 /* vfeef */, SystemZ::VFEEF, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4401 { 10669 /* vfeefs */, SystemZ::VFEEFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4402 { 10676 /* vfeeh */, SystemZ::VFEEH, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4403 { 10676 /* vfeeh */, SystemZ::VFEEH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4404 { 10682 /* vfeehs */, SystemZ::VFEEHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4405 { 10689 /* vfeezb */, SystemZ::VFEEZB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4406 { 10696 /* vfeezbs */, SystemZ::VFEEZBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4407 { 10704 /* vfeezf */, SystemZ::VFEEZF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4408 { 10711 /* vfeezfs */, SystemZ::VFEEZFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4409 { 10719 /* vfeezh */, SystemZ::VFEEZH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4410 { 10726 /* vfeezhs */, SystemZ::VFEEZHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4411 { 10734 /* vfene */, SystemZ::VFENE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4412 { 10734 /* vfene */, SystemZ::VFENE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4413 { 10740 /* vfeneb */, SystemZ::VFENEB, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4414 { 10740 /* vfeneb */, SystemZ::VFENEB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4415 { 10747 /* vfenebs */, SystemZ::VFENEBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4416 { 10755 /* vfenef */, SystemZ::VFENEF, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4417 { 10755 /* vfenef */, SystemZ::VFENEF, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4418 { 10762 /* vfenefs */, SystemZ::VFENEFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4419 { 10770 /* vfeneh */, SystemZ::VFENEH, Convert__VR1281_0__VR1281_1__VR1281_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4420 { 10770 /* vfeneh */, SystemZ::VFENEH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4421 { 10777 /* vfenehs */, SystemZ::VFENEHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4422 { 10785 /* vfenezb */, SystemZ::VFENEZB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4423 { 10793 /* vfenezbs */, SystemZ::VFENEZBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4424 { 10802 /* vfenezf */, SystemZ::VFENEZF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4425 { 10810 /* vfenezfs */, SystemZ::VFENEZFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4426 { 10819 /* vfenezh */, SystemZ::VFENEZH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4427 { 10827 /* vfenezhs */, SystemZ::VFENEZHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4428 { 10836 /* vfi */, SystemZ::VFI, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4429 { 10840 /* vfidb */, SystemZ::VFIDB, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4430 { 10846 /* vfisb */, SystemZ::VFISB, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4431 { 10852 /* vfkedb */, SystemZ::VFKEDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4432 { 10859 /* vfkedbs */, SystemZ::VFKEDBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4433 { 10867 /* vfkesb */, SystemZ::VFKESB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4434 { 10874 /* vfkesbs */, SystemZ::VFKESBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4435 { 10882 /* vfkhdb */, SystemZ::VFKHDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4436 { 10889 /* vfkhdbs */, SystemZ::VFKHDBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4437 { 10897 /* vfkhedb */, SystemZ::VFKHEDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4438 { 10905 /* vfkhedbs */, SystemZ::VFKHEDBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4439 { 10914 /* vfkhesb */, SystemZ::VFKHESB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4440 { 10922 /* vfkhesbs */, SystemZ::VFKHESBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4441 { 10931 /* vfkhsb */, SystemZ::VFKHSB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4442 { 10938 /* vfkhsbs */, SystemZ::VFKHSBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4443 { 10946 /* vflcdb */, SystemZ::VFLCDB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4444 { 10953 /* vflcsb */, SystemZ::VFLCSB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4445 { 10960 /* vfll */, SystemZ::VFLL, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4446 { 10965 /* vflls */, SystemZ::VFLLS, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4447 { 10971 /* vflndb */, SystemZ::VFLNDB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4448 { 10978 /* vflnsb */, SystemZ::VFLNSB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4449 { 10985 /* vflpdb */, SystemZ::VFLPDB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4450 { 10992 /* vflpsb */, SystemZ::VFLPSB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4451 { 10999 /* vflr */, SystemZ::VFLR, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4452 { 11004 /* vflrd */, SystemZ::VFLRD, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4453 { 11010 /* vfm */, SystemZ::VFM, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4454 { 11014 /* vfma */, SystemZ::VFMA, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4455 { 11019 /* vfmadb */, SystemZ::VFMADB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4456 { 11026 /* vfmasb */, SystemZ::VFMASB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4457 { 11033 /* vfmax */, SystemZ::VFMAX, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4458 { 11039 /* vfmaxdb */, SystemZ::VFMAXDB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4459 { 11047 /* vfmaxsb */, SystemZ::VFMAXSB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4460 { 11055 /* vfmdb */, SystemZ::VFMDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4461 { 11061 /* vfmin */, SystemZ::VFMIN, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4462 { 11067 /* vfmindb */, SystemZ::VFMINDB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4463 { 11075 /* vfminsb */, SystemZ::VFMINSB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4464 { 11083 /* vfms */, SystemZ::VFMS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4465 { 11088 /* vfmsb */, SystemZ::VFMSB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4466 { 11094 /* vfmsdb */, SystemZ::VFMSDB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4467 { 11101 /* vfmssb */, SystemZ::VFMSSB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4468 { 11108 /* vfnma */, SystemZ::VFNMA, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4469 { 11114 /* vfnmadb */, SystemZ::VFNMADB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4470 { 11122 /* vfnmasb */, SystemZ::VFNMASB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4471 { 11130 /* vfnms */, SystemZ::VFNMS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4472 { 11136 /* vfnmsdb */, SystemZ::VFNMSDB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4473 { 11144 /* vfnmssb */, SystemZ::VFNMSSB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4474 { 11152 /* vfpso */, SystemZ::VFPSO, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4475 { 11158 /* vfpsodb */, SystemZ::VFPSODB, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4476 { 11166 /* vfpsosb */, SystemZ::VFPSOSB, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4477 { 11174 /* vfs */, SystemZ::VFS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4478 { 11178 /* vfsdb */, SystemZ::VFSDB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4479 { 11184 /* vfsq */, SystemZ::VFSQ, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4480 { 11189 /* vfsqdb */, SystemZ::VFSQDB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4481 { 11196 /* vfsqsb */, SystemZ::VFSQSB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4482 { 11203 /* vfssb */, SystemZ::VFSSB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4483 { 11209 /* vftci */, SystemZ::VFTCI, Convert__VR1281_0__VR1281_1__U12Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U12Imm, MCK_U4Imm, MCK_U4Imm }, },
4484 { 11215 /* vftcidb */, SystemZ::VFTCIDB, Convert__VR1281_0__VR1281_1__U12Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U12Imm }, },
4485 { 11223 /* vftcisb */, SystemZ::VFTCISB, Convert__VR1281_0__VR1281_1__U12Imm1_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U12Imm }, },
4486 { 11231 /* vgbm */, SystemZ::VGBM, Convert__VR1281_0__U16Imm1_1, Feature_FeatureVector, { MCK_VR128, MCK_U16Imm }, },
4487 { 11236 /* vgef */, SystemZ::VGEF, Convert__VR1281_0__Tie0_1_1__BDVAddr64Disp123_1__U2Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDVAddr64Disp12, MCK_U2Imm }, },
4488 { 11241 /* vgeg */, SystemZ::VGEG, Convert__VR1281_0__Tie0_1_1__BDVAddr64Disp123_1__U1Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDVAddr64Disp12, MCK_U1Imm }, },
4489 { 11246 /* vgfm */, SystemZ::VGFM, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4490 { 11251 /* vgfma */, SystemZ::VGFMA, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4491 { 11257 /* vgfmab */, SystemZ::VGFMAB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4492 { 11264 /* vgfmaf */, SystemZ::VGFMAF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4493 { 11271 /* vgfmag */, SystemZ::VGFMAG, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4494 { 11278 /* vgfmah */, SystemZ::VGFMAH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4495 { 11285 /* vgfmb */, SystemZ::VGFMB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4496 { 11291 /* vgfmf */, SystemZ::VGFMF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4497 { 11297 /* vgfmg */, SystemZ::VGFMG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4498 { 11303 /* vgfmh */, SystemZ::VGFMH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4499 { 11309 /* vgm */, SystemZ::VGM, Convert__VR1281_0__U8Imm1_1__U8Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_U8Imm, MCK_U8Imm, MCK_U4Imm }, },
4500 { 11313 /* vgmb */, SystemZ::VGMB, Convert__VR1281_0__U8Imm1_1__U8Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_U8Imm, MCK_U8Imm }, },
4501 { 11318 /* vgmf */, SystemZ::VGMF, Convert__VR1281_0__U8Imm1_1__U8Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_U8Imm, MCK_U8Imm }, },
4502 { 11323 /* vgmg */, SystemZ::VGMG, Convert__VR1281_0__U8Imm1_1__U8Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_U8Imm, MCK_U8Imm }, },
4503 { 11328 /* vgmh */, SystemZ::VGMH, Convert__VR1281_0__U8Imm1_1__U8Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_U8Imm, MCK_U8Imm }, },
4504 { 11333 /* vistr */, SystemZ::VISTR, Convert__VR1281_0__VR1281_1__U4Imm1_2__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4505 { 11333 /* vistr */, SystemZ::VISTR, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4506 { 11339 /* vistrb */, SystemZ::VISTRB, Convert__VR1281_0__VR1281_1__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4507 { 11339 /* vistrb */, SystemZ::VISTRB, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4508 { 11346 /* vistrbs */, SystemZ::VISTRBS, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4509 { 11354 /* vistrf */, SystemZ::VISTRF, Convert__VR1281_0__VR1281_1__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4510 { 11354 /* vistrf */, SystemZ::VISTRF, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4511 { 11361 /* vistrfs */, SystemZ::VISTRFS, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4512 { 11369 /* vistrh */, SystemZ::VISTRH, Convert__VR1281_0__VR1281_1__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4513 { 11369 /* vistrh */, SystemZ::VISTRH, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4514 { 11376 /* vistrhs */, SystemZ::VISTRHS, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4515 { 11384 /* vl */, SystemZ::VL, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4516 { 11387 /* vlbb */, SystemZ::VLBB, Convert__VR1281_0__BDXAddr64Disp123_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U4Imm }, },
4517 { 11392 /* vlc */, SystemZ::VLC, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4518 { 11396 /* vlcb */, SystemZ::VLCB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4519 { 11401 /* vlcf */, SystemZ::VLCF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4520 { 11406 /* vlcg */, SystemZ::VLCG, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4521 { 11411 /* vlch */, SystemZ::VLCH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4522 { 11416 /* vlde */, SystemZ::VLDE, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4523 { 11421 /* vldeb */, SystemZ::VLDEB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4524 { 11427 /* vleb */, SystemZ::VLEB, Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U4Imm }, },
4525 { 11432 /* vled */, SystemZ::VLED, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm, MCK_U4Imm }, },
4526 { 11437 /* vledb */, SystemZ::VLEDB, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4527 { 11443 /* vlef */, SystemZ::VLEF, Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U2Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U2Imm }, },
4528 { 11448 /* vleg */, SystemZ::VLEG, Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U1Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U1Imm }, },
4529 { 11453 /* vleh */, SystemZ::VLEH, Convert__VR1281_0__Tie0_1_1__BDXAddr64Disp123_1__U3Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U3Imm }, },
4530 { 11458 /* vleib */, SystemZ::VLEIB, Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm, MCK_U4Imm }, },
4531 { 11464 /* vleif */, SystemZ::VLEIF, Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U2Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm, MCK_U2Imm }, },
4532 { 11470 /* vleig */, SystemZ::VLEIG, Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U1Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm, MCK_U1Imm }, },
4533 { 11476 /* vleih */, SystemZ::VLEIH, Convert__VR1281_0__Tie0_1_1__S16Imm1_1__U3Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm, MCK_U3Imm }, },
4534 { 11482 /* vlgv */, SystemZ::VLGV, Convert__GR641_0__VR1281_1__BDAddr32Disp122_2__U4Imm1_3, Feature_FeatureVector, { MCK_GR64, MCK_VR128, MCK_BDAddr32Disp12, MCK_U4Imm }, },
4535 { 11487 /* vlgvb */, SystemZ::VLGVB, Convert__GR641_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_GR64, MCK_VR128, MCK_BDAddr32Disp12 }, },
4536 { 11493 /* vlgvf */, SystemZ::VLGVF, Convert__GR641_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_GR64, MCK_VR128, MCK_BDAddr32Disp12 }, },
4537 { 11499 /* vlgvg */, SystemZ::VLGVG, Convert__GR641_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_GR64, MCK_VR128, MCK_BDAddr32Disp12 }, },
4538 { 11505 /* vlgvh */, SystemZ::VLGVH, Convert__GR641_0__VR1281_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_GR64, MCK_VR128, MCK_BDAddr32Disp12 }, },
4539 { 11511 /* vlip */, SystemZ::VLIP, Convert__VR1281_0__U16Imm1_1__U4Imm1_2, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_U16Imm, MCK_U4Imm }, },
4540 { 11516 /* vll */, SystemZ::VLL, Convert__VR1281_0__GR321_1__BDAddr64Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_GR32, MCK_BDAddr64Disp12 }, },
4541 { 11520 /* vllez */, SystemZ::VLLEZ, Convert__VR1281_0__BDXAddr64Disp123_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U4Imm }, },
4542 { 11526 /* vllezb */, SystemZ::VLLEZB, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4543 { 11533 /* vllezf */, SystemZ::VLLEZF, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4544 { 11540 /* vllezg */, SystemZ::VLLEZG, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4545 { 11547 /* vllezh */, SystemZ::VLLEZH, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4546 { 11554 /* vllezlf */, SystemZ::VLLEZLF, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4547 { 11562 /* vlm */, SystemZ::VLM, Convert__VR1281_0__VR1281_1__BDAddr64Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr64Disp12 }, },
4548 { 11566 /* vlp */, SystemZ::VLP, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4549 { 11570 /* vlpb */, SystemZ::VLPB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4550 { 11575 /* vlpf */, SystemZ::VLPF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4551 { 11580 /* vlpg */, SystemZ::VLPG, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4552 { 11585 /* vlph */, SystemZ::VLPH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4553 { 11590 /* vlr */, SystemZ::VLR, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4554 { 11594 /* vlrep */, SystemZ::VLREP, Convert__VR1281_0__BDXAddr64Disp123_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U4Imm }, },
4555 { 11600 /* vlrepb */, SystemZ::VLREPB, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4556 { 11607 /* vlrepf */, SystemZ::VLREPF, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4557 { 11614 /* vlrepg */, SystemZ::VLREPG, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4558 { 11621 /* vlreph */, SystemZ::VLREPH, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4559 { 11628 /* vlrl */, SystemZ::VLRL, Convert__VR1281_0__BDAddr64Disp122_1__U8Imm1_2, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_BDAddr64Disp12, MCK_U8Imm }, },
4560 { 11633 /* vlrlr */, SystemZ::VLRLR, Convert__VR1281_0__GR321_1__BDAddr64Disp122_2, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_GR32, MCK_BDAddr64Disp12 }, },
4561 { 11639 /* vlvg */, SystemZ::VLVG, Convert__VR1281_0__Tie0_1_1__GR641_1__BDAddr32Disp122_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_GR64, MCK_BDAddr32Disp12, MCK_U4Imm }, },
4562 { 11644 /* vlvgb */, SystemZ::VLVGB, Convert__VR1281_0__Tie0_1_1__GR321_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_GR32, MCK_BDAddr32Disp12 }, },
4563 { 11650 /* vlvgf */, SystemZ::VLVGF, Convert__VR1281_0__Tie0_1_1__GR321_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_GR32, MCK_BDAddr32Disp12 }, },
4564 { 11656 /* vlvgg */, SystemZ::VLVGG, Convert__VR1281_0__Tie0_1_1__GR641_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_GR64, MCK_BDAddr32Disp12 }, },
4565 { 11662 /* vlvgh */, SystemZ::VLVGH, Convert__VR1281_0__Tie0_1_1__GR321_1__BDAddr32Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_GR32, MCK_BDAddr32Disp12 }, },
4566 { 11668 /* vlvgp */, SystemZ::VLVGP, Convert__VR1281_0__GR641_1__GR641_2, Feature_FeatureVector, { MCK_VR128, MCK_GR64, MCK_GR64 }, },
4567 { 11674 /* vmae */, SystemZ::VMAE, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4568 { 11679 /* vmaeb */, SystemZ::VMAEB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4569 { 11685 /* vmaef */, SystemZ::VMAEF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4570 { 11691 /* vmaeh */, SystemZ::VMAEH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4571 { 11697 /* vmah */, SystemZ::VMAH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4572 { 11702 /* vmahb */, SystemZ::VMAHB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4573 { 11708 /* vmahf */, SystemZ::VMAHF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4574 { 11714 /* vmahh */, SystemZ::VMAHH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4575 { 11720 /* vmal */, SystemZ::VMAL, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4576 { 11725 /* vmalb */, SystemZ::VMALB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4577 { 11731 /* vmale */, SystemZ::VMALE, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4578 { 11737 /* vmaleb */, SystemZ::VMALEB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4579 { 11744 /* vmalef */, SystemZ::VMALEF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4580 { 11751 /* vmaleh */, SystemZ::VMALEH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4581 { 11758 /* vmalf */, SystemZ::VMALF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4582 { 11764 /* vmalh */, SystemZ::VMALH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4583 { 11770 /* vmalhb */, SystemZ::VMALHB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4584 { 11777 /* vmalhf */, SystemZ::VMALHF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4585 { 11784 /* vmalhh */, SystemZ::VMALHH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4586 { 11791 /* vmalhw */, SystemZ::VMALHW, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4587 { 11798 /* vmalo */, SystemZ::VMALO, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4588 { 11804 /* vmalob */, SystemZ::VMALOB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4589 { 11811 /* vmalof */, SystemZ::VMALOF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4590 { 11818 /* vmaloh */, SystemZ::VMALOH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4591 { 11825 /* vmao */, SystemZ::VMAO, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4592 { 11830 /* vmaob */, SystemZ::VMAOB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4593 { 11836 /* vmaof */, SystemZ::VMAOF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4594 { 11842 /* vmaoh */, SystemZ::VMAOH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4595 { 11848 /* vme */, SystemZ::VME, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4596 { 11852 /* vmeb */, SystemZ::VMEB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4597 { 11857 /* vmef */, SystemZ::VMEF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4598 { 11862 /* vmeh */, SystemZ::VMEH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4599 { 11867 /* vmh */, SystemZ::VMH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4600 { 11871 /* vmhb */, SystemZ::VMHB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4601 { 11876 /* vmhf */, SystemZ::VMHF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4602 { 11881 /* vmhh */, SystemZ::VMHH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4603 { 11886 /* vml */, SystemZ::VML, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4604 { 11890 /* vmlb */, SystemZ::VMLB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4605 { 11895 /* vmle */, SystemZ::VMLE, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4606 { 11900 /* vmleb */, SystemZ::VMLEB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4607 { 11906 /* vmlef */, SystemZ::VMLEF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4608 { 11912 /* vmleh */, SystemZ::VMLEH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4609 { 11918 /* vmlf */, SystemZ::VMLF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4610 { 11923 /* vmlh */, SystemZ::VMLH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4611 { 11928 /* vmlhb */, SystemZ::VMLHB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4612 { 11934 /* vmlhf */, SystemZ::VMLHF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4613 { 11940 /* vmlhh */, SystemZ::VMLHH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4614 { 11946 /* vmlhw */, SystemZ::VMLHW, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4615 { 11952 /* vmlo */, SystemZ::VMLO, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4616 { 11957 /* vmlob */, SystemZ::VMLOB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4617 { 11963 /* vmlof */, SystemZ::VMLOF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4618 { 11969 /* vmloh */, SystemZ::VMLOH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4619 { 11975 /* vmn */, SystemZ::VMN, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4620 { 11979 /* vmnb */, SystemZ::VMNB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4621 { 11984 /* vmnf */, SystemZ::VMNF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4622 { 11989 /* vmng */, SystemZ::VMNG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4623 { 11994 /* vmnh */, SystemZ::VMNH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4624 { 11999 /* vmnl */, SystemZ::VMNL, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4625 { 12004 /* vmnlb */, SystemZ::VMNLB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4626 { 12010 /* vmnlf */, SystemZ::VMNLF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4627 { 12016 /* vmnlg */, SystemZ::VMNLG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4628 { 12022 /* vmnlh */, SystemZ::VMNLH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4629 { 12028 /* vmo */, SystemZ::VMO, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4630 { 12032 /* vmob */, SystemZ::VMOB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4631 { 12037 /* vmof */, SystemZ::VMOF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4632 { 12042 /* vmoh */, SystemZ::VMOH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4633 { 12047 /* vmp */, SystemZ::VMP, Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U4Imm }, },
4634 { 12051 /* vmrh */, SystemZ::VMRH, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4635 { 12056 /* vmrhb */, SystemZ::VMRHB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4636 { 12062 /* vmrhf */, SystemZ::VMRHF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4637 { 12068 /* vmrhg */, SystemZ::VMRHG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4638 { 12074 /* vmrhh */, SystemZ::VMRHH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4639 { 12080 /* vmrl */, SystemZ::VMRL, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4640 { 12085 /* vmrlb */, SystemZ::VMRLB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4641 { 12091 /* vmrlf */, SystemZ::VMRLF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4642 { 12097 /* vmrlg */, SystemZ::VMRLG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4643 { 12103 /* vmrlh */, SystemZ::VMRLH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4644 { 12109 /* vmsl */, SystemZ::VMSL, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4645 { 12114 /* vmslg */, SystemZ::VMSLG, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4646 { 12120 /* vmsp */, SystemZ::VMSP, Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U4Imm }, },
4647 { 12125 /* vmx */, SystemZ::VMX, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4648 { 12129 /* vmxb */, SystemZ::VMXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4649 { 12134 /* vmxf */, SystemZ::VMXF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4650 { 12139 /* vmxg */, SystemZ::VMXG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4651 { 12144 /* vmxh */, SystemZ::VMXH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4652 { 12149 /* vmxl */, SystemZ::VMXL, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4653 { 12154 /* vmxlb */, SystemZ::VMXLB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4654 { 12160 /* vmxlf */, SystemZ::VMXLF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4655 { 12166 /* vmxlg */, SystemZ::VMXLG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4656 { 12172 /* vmxlh */, SystemZ::VMXLH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4657 { 12178 /* vn */, SystemZ::VN, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4658 { 12181 /* vnc */, SystemZ::VNC, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4659 { 12185 /* vnn */, SystemZ::VNN, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4660 { 12189 /* vno */, SystemZ::VNO, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4661 { 12193 /* vnot */, SystemZ::VNO, Convert__VR1281_0__VR1281_1__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4662 { 12198 /* vnx */, SystemZ::VNX, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4663 { 12202 /* vo */, SystemZ::VO, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4664 { 12205 /* voc */, SystemZ::VOC, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4665 { 12209 /* vone */, SystemZ::VONE, Convert__VR1281_0, Feature_FeatureVector, { MCK_VR128 }, },
4666 { 12214 /* vpdi */, SystemZ::VPDI, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4667 { 12219 /* vperm */, SystemZ::VPERM, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4668 { 12225 /* vpk */, SystemZ::VPK, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4669 { 12229 /* vpkf */, SystemZ::VPKF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4670 { 12234 /* vpkg */, SystemZ::VPKG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4671 { 12239 /* vpkh */, SystemZ::VPKH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4672 { 12244 /* vpkls */, SystemZ::VPKLS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4673 { 12250 /* vpklsf */, SystemZ::VPKLSF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4674 { 12257 /* vpklsfs */, SystemZ::VPKLSFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4675 { 12265 /* vpklsg */, SystemZ::VPKLSG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4676 { 12272 /* vpklsgs */, SystemZ::VPKLSGS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4677 { 12280 /* vpklsh */, SystemZ::VPKLSH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4678 { 12287 /* vpklshs */, SystemZ::VPKLSHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4679 { 12295 /* vpks */, SystemZ::VPKS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4680 { 12300 /* vpksf */, SystemZ::VPKSF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4681 { 12306 /* vpksfs */, SystemZ::VPKSFS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4682 { 12313 /* vpksg */, SystemZ::VPKSG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4683 { 12319 /* vpksgs */, SystemZ::VPKSGS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4684 { 12326 /* vpksh */, SystemZ::VPKSH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4685 { 12332 /* vpkshs */, SystemZ::VPKSHS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4686 { 12339 /* vpkz */, SystemZ::VPKZ, Convert__VR1281_0__BDAddr64Disp122_1__U8Imm1_2, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_BDAddr64Disp12, MCK_U8Imm }, },
4687 { 12344 /* vpopct */, SystemZ::VPOPCT, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4688 { 12351 /* vpopctb */, SystemZ::VPOPCTB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4689 { 12359 /* vpopctf */, SystemZ::VPOPCTF, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4690 { 12367 /* vpopctg */, SystemZ::VPOPCTG, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4691 { 12375 /* vpopcth */, SystemZ::VPOPCTH, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4692 { 12383 /* vpsop */, SystemZ::VPSOP, Convert__VR1281_0__VR1281_1__U8Imm1_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U8Imm, MCK_U4Imm }, },
4693 { 12389 /* vrep */, SystemZ::VREP, Convert__VR1281_0__VR1281_1__U16Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U16Imm, MCK_U4Imm }, },
4694 { 12394 /* vrepb */, SystemZ::VREPB, Convert__VR1281_0__VR1281_1__U16Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U16Imm }, },
4695 { 12400 /* vrepf */, SystemZ::VREPF, Convert__VR1281_0__VR1281_1__U16Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U16Imm }, },
4696 { 12406 /* vrepg */, SystemZ::VREPG, Convert__VR1281_0__VR1281_1__U16Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U16Imm }, },
4697 { 12412 /* vreph */, SystemZ::VREPH, Convert__VR1281_0__VR1281_1__U16Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U16Imm }, },
4698 { 12418 /* vrepi */, SystemZ::VREPI, Convert__VR1281_0__S16Imm1_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm, MCK_U4Imm }, },
4699 { 12424 /* vrepib */, SystemZ::VREPIB, Convert__VR1281_0__S16Imm1_1, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm }, },
4700 { 12431 /* vrepif */, SystemZ::VREPIF, Convert__VR1281_0__S16Imm1_1, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm }, },
4701 { 12438 /* vrepig */, SystemZ::VREPIG, Convert__VR1281_0__S16Imm1_1, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm }, },
4702 { 12445 /* vrepih */, SystemZ::VREPIH, Convert__VR1281_0__S16Imm1_1, Feature_FeatureVector, { MCK_VR128, MCK_S16Imm }, },
4703 { 12452 /* vrp */, SystemZ::VRP, Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U4Imm }, },
4704 { 12456 /* vs */, SystemZ::VS, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4705 { 12459 /* vsb */, SystemZ::VSB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4706 { 12463 /* vsbcbi */, SystemZ::VSBCBI, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4707 { 12470 /* vsbcbiq */, SystemZ::VSBCBIQ, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4708 { 12478 /* vsbi */, SystemZ::VSBI, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4709 { 12483 /* vsbiq */, SystemZ::VSBIQ, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4710 { 12489 /* vscbi */, SystemZ::VSCBI, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4711 { 12495 /* vscbib */, SystemZ::VSCBIB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4712 { 12502 /* vscbif */, SystemZ::VSCBIF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4713 { 12509 /* vscbig */, SystemZ::VSCBIG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4714 { 12516 /* vscbih */, SystemZ::VSCBIH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4715 { 12523 /* vscbiq */, SystemZ::VSCBIQ, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4716 { 12530 /* vscef */, SystemZ::VSCEF, Convert__VR1281_0__BDVAddr64Disp123_1__U2Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDVAddr64Disp12, MCK_U2Imm }, },
4717 { 12536 /* vsceg */, SystemZ::VSCEG, Convert__VR1281_0__BDVAddr64Disp123_1__U1Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDVAddr64Disp12, MCK_U1Imm }, },
4718 { 12542 /* vsdp */, SystemZ::VSDP, Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U4Imm }, },
4719 { 12547 /* vseg */, SystemZ::VSEG, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4720 { 12552 /* vsegb */, SystemZ::VSEGB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4721 { 12558 /* vsegf */, SystemZ::VSEGF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4722 { 12564 /* vsegh */, SystemZ::VSEGH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4723 { 12570 /* vsel */, SystemZ::VSEL, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4724 { 12575 /* vsf */, SystemZ::VSF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4725 { 12579 /* vsg */, SystemZ::VSG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4726 { 12583 /* vsh */, SystemZ::VSH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4727 { 12587 /* vsl */, SystemZ::VSL, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4728 { 12591 /* vslb */, SystemZ::VSLB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4729 { 12596 /* vsldb */, SystemZ::VSLDB, Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm }, },
4730 { 12602 /* vsp */, SystemZ::VSP, Convert__VR1281_0__VR1281_1__VR1281_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U4Imm }, },
4731 { 12606 /* vsq */, SystemZ::VSQ, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4732 { 12610 /* vsra */, SystemZ::VSRA, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4733 { 12615 /* vsrab */, SystemZ::VSRAB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4734 { 12621 /* vsrl */, SystemZ::VSRL, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4735 { 12626 /* vsrlb */, SystemZ::VSRLB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4736 { 12632 /* vsrp */, SystemZ::VSRP, Convert__VR1281_0__VR1281_1__U8Imm1_2__U8Imm1_3__U4Imm1_4, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_VR128, MCK_U8Imm, MCK_U8Imm, MCK_U4Imm }, },
4737 { 12637 /* vst */, SystemZ::VST, Convert__VR1281_0__BDXAddr64Disp123_1, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12 }, },
4738 { 12641 /* vsteb */, SystemZ::VSTEB, Convert__VR1281_0__BDXAddr64Disp123_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U4Imm }, },
4739 { 12647 /* vstef */, SystemZ::VSTEF, Convert__VR1281_0__BDXAddr64Disp123_1__U2Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U2Imm }, },
4740 { 12653 /* vsteg */, SystemZ::VSTEG, Convert__VR1281_0__BDXAddr64Disp123_1__U1Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U1Imm }, },
4741 { 12659 /* vsteh */, SystemZ::VSTEH, Convert__VR1281_0__BDXAddr64Disp123_1__U3Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_BDXAddr64Disp12, MCK_U3Imm }, },
4742 { 12665 /* vstl */, SystemZ::VSTL, Convert__VR1281_0__GR321_1__BDAddr64Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_GR32, MCK_BDAddr64Disp12 }, },
4743 { 12670 /* vstm */, SystemZ::VSTM, Convert__VR1281_0__VR1281_1__BDAddr64Disp122_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_BDAddr64Disp12 }, },
4744 { 12675 /* vstrc */, SystemZ::VSTRC, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4745 { 12675 /* vstrc */, SystemZ::VSTRC, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4__U4Imm1_5, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4746 { 12681 /* vstrcb */, SystemZ::VSTRCB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4747 { 12681 /* vstrcb */, SystemZ::VSTRCB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4748 { 12688 /* vstrcbs */, SystemZ::VSTRCBS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4749 { 12688 /* vstrcbs */, SystemZ::VSTRCBS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4750 { 12696 /* vstrcf */, SystemZ::VSTRCF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4751 { 12696 /* vstrcf */, SystemZ::VSTRCF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4752 { 12703 /* vstrcfs */, SystemZ::VSTRCFS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4753 { 12703 /* vstrcfs */, SystemZ::VSTRCFS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4754 { 12711 /* vstrch */, SystemZ::VSTRCH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4755 { 12711 /* vstrch */, SystemZ::VSTRCH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4756 { 12718 /* vstrchs */, SystemZ::VSTRCHS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4757 { 12718 /* vstrchs */, SystemZ::VSTRCHS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4758 { 12726 /* vstrczb */, SystemZ::VSTRCZB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4759 { 12726 /* vstrczb */, SystemZ::VSTRCZB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4760 { 12734 /* vstrczbs */, SystemZ::VSTRCZBS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4761 { 12734 /* vstrczbs */, SystemZ::VSTRCZBS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4762 { 12743 /* vstrczf */, SystemZ::VSTRCZF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4763 { 12743 /* vstrczf */, SystemZ::VSTRCZF, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4764 { 12751 /* vstrczfs */, SystemZ::VSTRCZFS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4765 { 12751 /* vstrczfs */, SystemZ::VSTRCZFS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4766 { 12760 /* vstrczh */, SystemZ::VSTRCZH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4767 { 12760 /* vstrczh */, SystemZ::VSTRCZH, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4768 { 12768 /* vstrczhs */, SystemZ::VSTRCZHS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__imm_95_0, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4769 { 12768 /* vstrczhs */, SystemZ::VSTRCZHS, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3__U4Imm1_4, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4770 { 12777 /* vstrl */, SystemZ::VSTRL, Convert__VR1281_0__BDAddr64Disp122_1__U8Imm1_2, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_BDAddr64Disp12, MCK_U8Imm }, },
4771 { 12783 /* vstrlr */, SystemZ::VSTRLR, Convert__VR1281_0__GR321_1__BDAddr64Disp122_2, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_GR32, MCK_BDAddr64Disp12 }, },
4772 { 12790 /* vsum */, SystemZ::VSUM, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4773 { 12795 /* vsumb */, SystemZ::VSUMB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4774 { 12801 /* vsumg */, SystemZ::VSUMG, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4775 { 12807 /* vsumgf */, SystemZ::VSUMGF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4776 { 12814 /* vsumgh */, SystemZ::VSUMGH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4777 { 12821 /* vsumh */, SystemZ::VSUMH, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4778 { 12827 /* vsumq */, SystemZ::VSUMQ, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4779 { 12833 /* vsumqf */, SystemZ::VSUMQF, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4780 { 12840 /* vsumqg */, SystemZ::VSUMQG, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4781 { 12847 /* vtm */, SystemZ::VTM, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4782 { 12851 /* vtp */, SystemZ::VTP, Convert__VR1281_0, Feature_FeatureVectorPackedDecimal, { MCK_VR128 }, },
4783 { 12855 /* vuph */, SystemZ::VUPH, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4784 { 12860 /* vuphb */, SystemZ::VUPHB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4785 { 12866 /* vuphf */, SystemZ::VUPHF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4786 { 12872 /* vuphh */, SystemZ::VUPHH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4787 { 12878 /* vupkz */, SystemZ::VUPKZ, Convert__VR1281_0__BDAddr64Disp122_1__U8Imm1_2, Feature_FeatureVectorPackedDecimal, { MCK_VR128, MCK_BDAddr64Disp12, MCK_U8Imm }, },
4788 { 12884 /* vupl */, SystemZ::VUPL, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4789 { 12889 /* vuplb */, SystemZ::VUPLB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4790 { 12895 /* vuplf */, SystemZ::VUPLF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4791 { 12901 /* vuplh */, SystemZ::VUPLH, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4792 { 12907 /* vuplhb */, SystemZ::VUPLHB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4793 { 12914 /* vuplhf */, SystemZ::VUPLHF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4794 { 12921 /* vuplhh */, SystemZ::VUPLHH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4795 { 12928 /* vuplhw */, SystemZ::VUPLHW, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4796 { 12935 /* vupll */, SystemZ::VUPLL, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4797 { 12941 /* vupllb */, SystemZ::VUPLLB, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4798 { 12948 /* vupllf */, SystemZ::VUPLLF, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4799 { 12955 /* vupllh */, SystemZ::VUPLLH, Convert__VR1281_0__VR1281_1, Feature_FeatureVector, { MCK_VR128, MCK_VR128 }, },
4800 { 12962 /* vx */, SystemZ::VX, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVector, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4801 { 12965 /* vzero */, SystemZ::VZERO, Convert__VR1281_0, Feature_FeatureVector, { MCK_VR128 }, },
4802 { 12971 /* wcdgb */, SystemZ::WCDGB, Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4803 { 12977 /* wcdlgb */, SystemZ::WCDLGB, Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4804 { 12984 /* wcgdb */, SystemZ::WCGDB, Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4805 { 12990 /* wclgdb */, SystemZ::WCLGDB, Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4806 { 12997 /* wfadb */, SystemZ::WFADB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4807 { 13003 /* wfasb */, SystemZ::WFASB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4808 { 13009 /* wfaxb */, SystemZ::WFAXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4809 { 13015 /* wfc */, SystemZ::WFC, Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4810 { 13019 /* wfcdb */, SystemZ::WFCDB, Convert__VR641_0__VR641_1, Feature_FeatureVector, { MCK_VR64, MCK_VR64 }, },
4811 { 13025 /* wfcedb */, SystemZ::WFCEDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4812 { 13032 /* wfcedbs */, SystemZ::WFCEDBS, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4813 { 13040 /* wfcesb */, SystemZ::WFCESB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4814 { 13047 /* wfcesbs */, SystemZ::WFCESBS, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4815 { 13055 /* wfcexb */, SystemZ::WFCEXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4816 { 13062 /* wfcexbs */, SystemZ::WFCEXBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4817 { 13070 /* wfchdb */, SystemZ::WFCHDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4818 { 13077 /* wfchdbs */, SystemZ::WFCHDBS, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4819 { 13085 /* wfchedb */, SystemZ::WFCHEDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4820 { 13093 /* wfchedbs */, SystemZ::WFCHEDBS, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4821 { 13102 /* wfchesb */, SystemZ::WFCHESB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4822 { 13110 /* wfchesbs */, SystemZ::WFCHESBS, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4823 { 13119 /* wfchexb */, SystemZ::WFCHEXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4824 { 13127 /* wfchexbs */, SystemZ::WFCHEXBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4825 { 13136 /* wfchsb */, SystemZ::WFCHSB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4826 { 13143 /* wfchsbs */, SystemZ::WFCHSBS, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4827 { 13151 /* wfchxb */, SystemZ::WFCHXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4828 { 13158 /* wfchxbs */, SystemZ::WFCHXBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4829 { 13166 /* wfcsb */, SystemZ::WFCSB, Convert__VR321_0__VR321_1, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32 }, },
4830 { 13172 /* wfcxb */, SystemZ::WFCXB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4831 { 13178 /* wfddb */, SystemZ::WFDDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4832 { 13184 /* wfdsb */, SystemZ::WFDSB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4833 { 13190 /* wfdxb */, SystemZ::WFDXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4834 { 13196 /* wfidb */, SystemZ::WFIDB, Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4835 { 13202 /* wfisb */, SystemZ::WFISB, Convert__VR321_0__VR321_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_U4Imm, MCK_U4Imm }, },
4836 { 13208 /* wfixb */, SystemZ::WFIXB, Convert__VR1281_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4837 { 13214 /* wfk */, SystemZ::WFK, Convert__VR641_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4838 { 13218 /* wfkdb */, SystemZ::WFKDB, Convert__VR641_0__VR641_1, Feature_FeatureVector, { MCK_VR64, MCK_VR64 }, },
4839 { 13224 /* wfkedb */, SystemZ::WFKEDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4840 { 13231 /* wfkedbs */, SystemZ::WFKEDBS, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4841 { 13239 /* wfkesb */, SystemZ::WFKESB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4842 { 13246 /* wfkesbs */, SystemZ::WFKESBS, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4843 { 13254 /* wfkexb */, SystemZ::WFKEXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4844 { 13261 /* wfkexbs */, SystemZ::WFKEXBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4845 { 13269 /* wfkhdb */, SystemZ::WFKHDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4846 { 13276 /* wfkhdbs */, SystemZ::WFKHDBS, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4847 { 13284 /* wfkhedb */, SystemZ::WFKHEDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4848 { 13292 /* wfkhedbs */, SystemZ::WFKHEDBS, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4849 { 13301 /* wfkhesb */, SystemZ::WFKHESB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4850 { 13309 /* wfkhesbs */, SystemZ::WFKHESBS, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4851 { 13318 /* wfkhexb */, SystemZ::WFKHEXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4852 { 13326 /* wfkhexbs */, SystemZ::WFKHEXBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4853 { 13335 /* wfkhsb */, SystemZ::WFKHSB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4854 { 13342 /* wfkhsbs */, SystemZ::WFKHSBS, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4855 { 13350 /* wfkhxb */, SystemZ::WFKHXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4856 { 13357 /* wfkhxbs */, SystemZ::WFKHXBS, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4857 { 13365 /* wfksb */, SystemZ::WFKSB, Convert__VR321_0__VR321_1, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32 }, },
4858 { 13371 /* wfkxb */, SystemZ::WFKXB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4859 { 13377 /* wflcdb */, SystemZ::WFLCDB, Convert__VR641_0__VR641_1, Feature_FeatureVector, { MCK_VR64, MCK_VR64 }, },
4860 { 13384 /* wflcsb */, SystemZ::WFLCSB, Convert__VR321_0__VR321_1, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32 }, },
4861 { 13391 /* wflcxb */, SystemZ::WFLCXB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4862 { 13398 /* wflld */, SystemZ::WFLLD, Convert__VR1281_0__VR641_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR64 }, },
4863 { 13404 /* wflls */, SystemZ::WFLLS, Convert__VR641_0__VR321_1, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR32 }, },
4864 { 13410 /* wflndb */, SystemZ::WFLNDB, Convert__VR641_0__VR641_1, Feature_FeatureVector, { MCK_VR64, MCK_VR64 }, },
4865 { 13417 /* wflnsb */, SystemZ::WFLNSB, Convert__VR321_0__VR321_1, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32 }, },
4866 { 13424 /* wflnxb */, SystemZ::WFLNXB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4867 { 13431 /* wflpdb */, SystemZ::WFLPDB, Convert__VR641_0__VR641_1, Feature_FeatureVector, { MCK_VR64, MCK_VR64 }, },
4868 { 13438 /* wflpsb */, SystemZ::WFLPSB, Convert__VR321_0__VR321_1, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32 }, },
4869 { 13445 /* wflpxb */, SystemZ::WFLPXB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4870 { 13452 /* wflrd */, SystemZ::WFLRD, Convert__VR321_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4871 { 13458 /* wflrx */, SystemZ::WFLRX, Convert__VR641_0__VR1281_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR128, MCK_U4Imm, MCK_U4Imm }, },
4872 { 13464 /* wfmadb */, SystemZ::WFMADB, Convert__VR641_0__VR641_1__VR641_2__VR641_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64, MCK_VR64 }, },
4873 { 13471 /* wfmasb */, SystemZ::WFMASB, Convert__VR321_0__VR321_1__VR321_2__VR321_3, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32, MCK_VR32 }, },
4874 { 13478 /* wfmaxb */, SystemZ::WFMAXB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4875 { 13485 /* wfmaxdb */, SystemZ::WFMAXDB, Convert__VR641_0__VR641_1__VR641_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64, MCK_U4Imm }, },
4876 { 13493 /* wfmaxsb */, SystemZ::WFMAXSB, Convert__VR321_0__VR321_1__VR321_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32, MCK_U4Imm }, },
4877 { 13501 /* wfmaxxb */, SystemZ::WFMAXXB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4878 { 13509 /* wfmdb */, SystemZ::WFMDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4879 { 13515 /* wfmindb */, SystemZ::WFMINDB, Convert__VR641_0__VR641_1__VR641_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64, MCK_U4Imm }, },
4880 { 13523 /* wfminsb */, SystemZ::WFMINSB, Convert__VR321_0__VR321_1__VR321_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32, MCK_U4Imm }, },
4881 { 13531 /* wfminxb */, SystemZ::WFMINXB, Convert__VR1281_0__VR1281_1__VR1281_2__U4Imm1_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4882 { 13539 /* wfmsb */, SystemZ::WFMSB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4883 { 13545 /* wfmsdb */, SystemZ::WFMSDB, Convert__VR641_0__VR641_1__VR641_2__VR641_3, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64, MCK_VR64 }, },
4884 { 13552 /* wfmssb */, SystemZ::WFMSSB, Convert__VR321_0__VR321_1__VR321_2__VR321_3, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32, MCK_VR32 }, },
4885 { 13559 /* wfmsxb */, SystemZ::WFMSXB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4886 { 13566 /* wfmxb */, SystemZ::WFMXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4887 { 13572 /* wfnmadb */, SystemZ::WFNMADB, Convert__VR641_0__VR641_1__VR641_2__VR641_3, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64, MCK_VR64 }, },
4888 { 13580 /* wfnmasb */, SystemZ::WFNMASB, Convert__VR321_0__VR321_1__VR321_2__VR321_3, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32, MCK_VR32 }, },
4889 { 13588 /* wfnmaxb */, SystemZ::WFNMAXB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4890 { 13596 /* wfnmsdb */, SystemZ::WFNMSDB, Convert__VR641_0__VR641_1__VR641_2__VR641_3, Feature_FeatureVectorEnhancements1, { MCK_VR64, MCK_VR64, MCK_VR64, MCK_VR64 }, },
4891 { 13604 /* wfnmssb */, SystemZ::WFNMSSB, Convert__VR321_0__VR321_1__VR321_2__VR321_3, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32, MCK_VR32 }, },
4892 { 13612 /* wfnmsxb */, SystemZ::WFNMSXB, Convert__VR1281_0__VR1281_1__VR1281_2__VR1281_3, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128, MCK_VR128 }, },
4893 { 13620 /* wfpsodb */, SystemZ::WFPSODB, Convert__VR641_0__VR641_1__U4Imm1_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U4Imm }, },
4894 { 13628 /* wfpsosb */, SystemZ::WFPSOSB, Convert__VR321_0__VR321_1__U4Imm1_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_U4Imm }, },
4895 { 13636 /* wfpsoxb */, SystemZ::WFPSOXB, Convert__VR1281_0__VR1281_1__U4Imm1_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U4Imm }, },
4896 { 13644 /* wfsdb */, SystemZ::WFSDB, Convert__VR641_0__VR641_1__VR641_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_VR64 }, },
4897 { 13650 /* wfsqdb */, SystemZ::WFSQDB, Convert__VR641_0__VR641_1, Feature_FeatureVector, { MCK_VR64, MCK_VR64 }, },
4898 { 13657 /* wfsqsb */, SystemZ::WFSQSB, Convert__VR321_0__VR321_1, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32 }, },
4899 { 13664 /* wfsqxb */, SystemZ::WFSQXB, Convert__VR1281_0__VR1281_1, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128 }, },
4900 { 13671 /* wfssb */, SystemZ::WFSSB, Convert__VR321_0__VR321_1__VR321_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_VR32 }, },
4901 { 13677 /* wfsxb */, SystemZ::WFSXB, Convert__VR1281_0__VR1281_1__VR1281_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_VR128 }, },
4902 { 13683 /* wftcidb */, SystemZ::WFTCIDB, Convert__VR641_0__VR641_1__U12Imm1_2, Feature_FeatureVector, { MCK_VR64, MCK_VR64, MCK_U12Imm }, },
4903 { 13691 /* wftcisb */, SystemZ::WFTCISB, Convert__VR321_0__VR321_1__U12Imm1_2, Feature_FeatureVectorEnhancements1, { MCK_VR32, MCK_VR32, MCK_U12Imm }, },
4904 { 13699 /* wftcixb */, SystemZ::WFTCIXB, Convert__VR1281_0__VR1281_1__U12Imm1_2, Feature_FeatureVectorEnhancements1, { MCK_VR128, MCK_VR128, MCK_U12Imm }, },
4905 { 13707 /* wldeb */, SystemZ::WLDEB, Convert__VR641_0__VR321_1, Feature_FeatureVector, { MCK_VR64, MCK_VR32 }, },
4906 { 13713 /* wledb */, SystemZ::WLEDB, Convert__VR321_0__VR641_1__U4Imm1_2__U4Imm1_3, Feature_FeatureVector, { MCK_VR32, MCK_VR64, MCK_U4Imm, MCK_U4Imm }, },
4907 { 13719 /* x */, SystemZ::X, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp123_1, 0, { MCK_GR32, MCK_BDXAddr64Disp12 }, },
4908 { 13721 /* xc */, SystemZ::XC, Convert__BDLAddr64Disp12Len83_0__BDAddr64Disp122_1, 0, { MCK_BDLAddr64Disp12Len8, MCK_BDAddr64Disp12 }, },
4909 { 13724 /* xg */, SystemZ::XG, Convert__GR641_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR64, MCK_BDXAddr64Disp20 }, },
4910 { 13727 /* xgr */, SystemZ::XGR, Convert__GR641_0__Tie0_1_1__GR641_1, 0, { MCK_GR64, MCK_GR64 }, },
4911 { 13731 /* xgrk */, SystemZ::XGRK, Convert__GR641_0__GR641_1__GR641_2, Feature_FeatureDistinctOps, { MCK_GR64, MCK_GR64, MCK_GR64 }, },
4912 { 13736 /* xi */, SystemZ::XI, Convert__BDAddr64Disp122_0__U8Imm1_1, 0, { MCK_BDAddr64Disp12, MCK_U8Imm }, },
4913 { 13739 /* xihf */, SystemZ::XIHF, Convert__GRH321_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GRH32, MCK_U32Imm }, },
4914 { 13744 /* xilf */, SystemZ::XILF, Convert__GR321_0__Tie0_1_1__U32Imm1_1, 0, { MCK_GR32, MCK_U32Imm }, },
4915 { 13749 /* xiy */, SystemZ::XIY, Convert__BDAddr64Disp202_0__U8Imm1_1, 0, { MCK_BDAddr64Disp20, MCK_U8Imm }, },
4916 { 13753 /* xr */, SystemZ::XR, Convert__GR321_0__Tie0_1_1__GR321_1, 0, { MCK_GR32, MCK_GR32 }, },
4917 { 13756 /* xrk */, SystemZ::XRK, Convert__GR321_0__GR321_1__GR321_2, Feature_FeatureDistinctOps, { MCK_GR32, MCK_GR32, MCK_GR32 }, },
4918 { 13760 /* xsch */, SystemZ::XSCH, Convert_NoOperands, 0, { }, },
4919 { 13765 /* xy */, SystemZ::XY, Convert__GR321_0__Tie0_1_1__BDXAddr64Disp203_1, 0, { MCK_GR32, MCK_BDXAddr64Disp20 }, },
4920 { 13768 /* zap */, SystemZ::ZAP, Convert__BDLAddr64Disp12Len43_0__BDLAddr64Disp12Len43_1, 0, { MCK_BDLAddr64Disp12Len4, MCK_BDLAddr64Disp12Len4 }, },
4921};
4922
4923#include "llvm/Support/Debug.h"
4924#include "llvm/Support/Format.h"
4925
4926unsigned SystemZAsmParser::
4927MatchInstructionImpl(const OperandVector &Operands,
4928 MCInst &Inst,
4929 uint64_t &ErrorInfo,
4930 bool matchingInlineAsm, unsigned VariantID) {
4931 // Eliminate obvious mismatches.
4932 if (Operands.size() > 7) {
4933 ErrorInfo = 7;
4934 return Match_InvalidOperand;
4935 }
4936
4937 // Get the current feature set.
4938 uint64_t AvailableFeatures = getAvailableFeatures();
4939
4940 // Get the instruction mnemonic, which is the first token.
4941 StringRef Mnemonic = ((SystemZOperand&)*Operands[0]).getToken();
4942
4943 // Some state to try to produce better error messages.
4944 bool HadMatchOtherThanFeatures = false;
4945 bool HadMatchOtherThanPredicate = false;
4946 unsigned RetCode = Match_InvalidOperand;
4947 uint64_t MissingFeatures = ~0ULL;
4948 // Set ErrorInfo to the operand that mismatches if it is
4949 // wrong for all instances of the instruction.
4950 ErrorInfo = ~0ULL;
4951 // Find the appropriate table for this asm variant.
4952 const MatchEntry *Start, *End;
4953 switch (VariantID) {
4954 default: llvm_unreachable("invalid variant!")::llvm::llvm_unreachable_internal("invalid variant!", "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 4954)
;
4955 case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
4956 }
4957 // Search the table.
4958 auto MnemonicRange = std::equal_range(Start, End, Mnemonic, LessOpcode());
4959
4960 DEBUG_WITH_TYPE("asm-matcher", dbgs() << "AsmMatcher: found " <<do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "AsmMatcher: found " <<
std::distance(MnemonicRange.first, MnemonicRange.second) <<
" encodings with mnemonic '" << Mnemonic << "'\n"
; } } while (false)
4961 std::distance(MnemonicRange.first, MnemonicRange.second) <<do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "AsmMatcher: found " <<
std::distance(MnemonicRange.first, MnemonicRange.second) <<
" encodings with mnemonic '" << Mnemonic << "'\n"
; } } while (false)
4962 " encodings with mnemonic '" << Mnemonic << "'\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "AsmMatcher: found " <<
std::distance(MnemonicRange.first, MnemonicRange.second) <<
" encodings with mnemonic '" << Mnemonic << "'\n"
; } } while (false)
;
4963
4964 // Return a more specific error code if no mnemonics match.
4965 if (MnemonicRange.first == MnemonicRange.second)
4966 return Match_MnemonicFail;
4967
4968 for (const MatchEntry *it = MnemonicRange.first, *ie = MnemonicRange.second;
4969 it != ie; ++it) {
4970 bool HasRequiredFeatures =
4971 (AvailableFeatures & it->RequiredFeatures) == it->RequiredFeatures;
4972 DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Trying to match opcode "do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Trying to match opcode " <<
MII.getName(it->Opcode) << "\n"; } } while (false)
4973 << MII.getName(it->Opcode) << "\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Trying to match opcode " <<
MII.getName(it->Opcode) << "\n"; } } while (false)
;
4974 // equal_range guarantees that instruction mnemonic matches.
4975 assert(Mnemonic == it->getMnemonic())(static_cast <bool> (Mnemonic == it->getMnemonic()) ?
void (0) : __assert_fail ("Mnemonic == it->getMnemonic()"
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 4975, __extension__ __PRETTY_FUNCTION__))
;
4976 bool OperandsValid = true;
4977 for (unsigned FormalIdx = 0, ActualIdx = 1; FormalIdx != 6; ++FormalIdx) {
4978 auto Formal = static_cast<MatchClassKind>(it->Classes[FormalIdx]);
4979 DEBUG_WITH_TYPE("asm-matcher",do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << " Matching formal operand class "
<< getMatchClassName(Formal) << " against actual operand at index "
<< ActualIdx; } } while (false)
4980 dbgs() << " Matching formal operand class " << getMatchClassName(Formal)do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << " Matching formal operand class "
<< getMatchClassName(Formal) << " against actual operand at index "
<< ActualIdx; } } while (false)
4981 << " against actual operand at index " << ActualIdx)do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << " Matching formal operand class "
<< getMatchClassName(Formal) << " against actual operand at index "
<< ActualIdx; } } while (false)
;
4982 if (ActualIdx < Operands.size())
4983 DEBUG_WITH_TYPE("asm-matcher", dbgs() << " (";do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << " ("; Operands[ActualIdx]->
print(dbgs()); dbgs() << "): "; } } while (false)
4984 Operands[ActualIdx]->print(dbgs()); dbgs() << "): ")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << " ("; Operands[ActualIdx]->
print(dbgs()); dbgs() << "): "; } } while (false)
;
4985 else
4986 DEBUG_WITH_TYPE("asm-matcher", dbgs() << ": ")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << ": "; } } while (false)
;
4987 if (ActualIdx >= Operands.size()) {
4988 DEBUG_WITH_TYPE("asm-matcher", dbgs() << "actual operand index out of range ")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "actual operand index out of range "
; } } while (false)
;
4989 OperandsValid = (Formal == InvalidMatchClass) || isSubclass(Formal, OptionalMatchClass);
4990 if (!OperandsValid) ErrorInfo = ActualIdx;
4991 break;
4992 }
4993 MCParsedAsmOperand &Actual = *Operands[ActualIdx];
4994 unsigned Diag = validateOperandClass(Actual, Formal);
4995 if (Diag == Match_Success) {
4996 DEBUG_WITH_TYPE("asm-matcher",do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "match success using generic matcher\n"
; } } while (false)
4997 dbgs() << "match success using generic matcher\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "match success using generic matcher\n"
; } } while (false)
;
4998 ++ActualIdx;
4999 continue;
5000 }
5001 // If the generic handler indicates an invalid operand
5002 // failure, check for a special case.
5003 if (Diag != Match_Success) {
5004 unsigned TargetDiag = validateTargetOperandClass(Actual, Formal);
5005 if (TargetDiag == Match_Success) {
5006 DEBUG_WITH_TYPE("asm-matcher",do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "match success using target matcher\n"
; } } while (false)
5007 dbgs() << "match success using target matcher\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "match success using target matcher\n"
; } } while (false)
;
5008 ++ActualIdx;
5009 continue;
5010 }
5011 // If the target matcher returned a specific error code use
5012 // that, else use the one from the generic matcher.
5013 if (TargetDiag != Match_InvalidOperand && HasRequiredFeatures)
5014 Diag = TargetDiag;
5015 }
5016 // If current formal operand wasn't matched and it is optional
5017 // then try to match next formal operand
5018 if (Diag == Match_InvalidOperand && isSubclass(Formal, OptionalMatchClass)) {
5019 DEBUG_WITH_TYPE("asm-matcher", dbgs() << "ignoring optional operand\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "ignoring optional operand\n"
; } } while (false)
;
5020 continue;
5021 }
5022 // If this operand is broken for all of the instances of this
5023 // mnemonic, keep track of it so we can report loc info.
5024 // If we already had a match that only failed due to a
5025 // target predicate, that diagnostic is preferred.
5026 if (!HadMatchOtherThanPredicate &&
5027 (it == MnemonicRange.first || ErrorInfo <= ActualIdx)) {
5028 if (HasRequiredFeatures && (ErrorInfo != ActualIdx || Diag != Match_InvalidOperand))
5029 RetCode = Diag;
5030 ErrorInfo = ActualIdx;
5031 }
5032 // Otherwise, just reject this instance of the mnemonic.
5033 OperandsValid = false;
5034 break;
5035 }
5036
5037 if (!OperandsValid) {
5038 DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Opcode result: multiple "do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Opcode result: multiple "
"operand mismatches, ignoring " "this opcode\n"; } } while (
false)
5039 "operand mismatches, ignoring "do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Opcode result: multiple "
"operand mismatches, ignoring " "this opcode\n"; } } while (
false)
5040 "this opcode\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Opcode result: multiple "
"operand mismatches, ignoring " "this opcode\n"; } } while (
false)
;
5041 continue;
5042 }
5043 if (!HasRequiredFeatures) {
5044 HadMatchOtherThanFeatures = true;
5045 uint64_t NewMissingFeatures = it->RequiredFeatures & ~AvailableFeatures;
5046 DEBUG_WITH_TYPE("asm-matcher", dbgs() << "Missing target features: "do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Missing target features: "
<< format_hex(NewMissingFeatures, 18) << "\n"; }
} while (false)
5047 << format_hex(NewMissingFeatures, 18)do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Missing target features: "
<< format_hex(NewMissingFeatures, 18) << "\n"; }
} while (false)
5048 << "\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Missing target features: "
<< format_hex(NewMissingFeatures, 18) << "\n"; }
} while (false)
;
5049 if (countPopulation(NewMissingFeatures) <=
5050 countPopulation(MissingFeatures))
5051 MissingFeatures = NewMissingFeatures;
5052 continue;
5053 }
5054
5055 Inst.clear();
5056
5057 Inst.setOpcode(it->Opcode);
5058 // We have a potential match but have not rendered the operands.
5059 // Check the target predicate to handle any context sensitive
5060 // constraints.
5061 // For example, Ties that are referenced multiple times must be
5062 // checked here to ensure the input is the same for each match
5063 // constraints. If we leave it any later the ties will have been
5064 // canonicalized
5065 unsigned MatchResult;
5066 if ((MatchResult = checkEarlyTargetMatchPredicate(Inst, Operands)) != Match_Success) {
5067 Inst.clear();
5068 DEBUG_WITH_TYPE(do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Early target match predicate failed with diag code "
<< MatchResult << "\n"; } } while (false)
5069 "asm-matcher",do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Early target match predicate failed with diag code "
<< MatchResult << "\n"; } } while (false)
5070 dbgs() << "Early target match predicate failed with diag code "do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Early target match predicate failed with diag code "
<< MatchResult << "\n"; } } while (false)
5071 << MatchResult << "\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Early target match predicate failed with diag code "
<< MatchResult << "\n"; } } while (false)
;
5072 RetCode = MatchResult;
5073 HadMatchOtherThanPredicate = true;
5074 continue;
5075 }
5076
5077 if (matchingInlineAsm) {
5078 convertToMapAndConstraints(it->ConvertFn, Operands);
5079 if (!checkAsmTiedOperandConstraints(it->ConvertFn, Operands, ErrorInfo))
5080 return Match_InvalidTiedOperand;
5081
5082 return Match_Success;
5083 }
5084
5085 // We have selected a definite instruction, convert the parsed
5086 // operands into the appropriate MCInst.
5087 convertToMCInst(it->ConvertFn, Inst, it->Opcode, Operands);
5088
5089 // We have a potential match. Check the target predicate to
5090 // handle any context sensitive constraints.
5091 if ((MatchResult = checkTargetMatchPredicate(Inst)) != Match_Success) {
5092 DEBUG_WITH_TYPE("asm-matcher",do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Target match predicate failed with diag code "
<< MatchResult << "\n"; } } while (false)
5093 dbgs() << "Target match predicate failed with diag code "do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Target match predicate failed with diag code "
<< MatchResult << "\n"; } } while (false)
5094 << MatchResult << "\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Target match predicate failed with diag code "
<< MatchResult << "\n"; } } while (false)
;
5095 Inst.clear();
5096 RetCode = MatchResult;
5097 HadMatchOtherThanPredicate = true;
5098 continue;
5099 }
5100
5101 if (!checkAsmTiedOperandConstraints(it->ConvertFn, Operands, ErrorInfo))
5102 return Match_InvalidTiedOperand;
5103
5104 DEBUG_WITH_TYPE(do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Opcode result: complete match, selecting this opcode\n"
; } } while (false)
5105 "asm-matcher",do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Opcode result: complete match, selecting this opcode\n"
; } } while (false)
5106 dbgs() << "Opcode result: complete match, selecting this opcode\n")do { if (::llvm::DebugFlag && ::llvm::isCurrentDebugType
("asm-matcher")) { dbgs() << "Opcode result: complete match, selecting this opcode\n"
; } } while (false)
;
5107 return Match_Success;
5108 }
5109
5110 // Okay, we had no match. Try to return a useful error code.
5111 if (HadMatchOtherThanPredicate || !HadMatchOtherThanFeatures)
5112 return RetCode;
5113
5114 // Missing feature matches return which features were missing
5115 ErrorInfo = MissingFeatures;
5116 return Match_MissingFeature;
5117}
5118
5119namespace {
5120 struct OperandMatchEntry {
5121 uint32_t RequiredFeatures;
5122 uint16_t Mnemonic;
5123 uint8_t Class;
5124 uint8_t OperandMask;
5125
5126 StringRef getMnemonic() const {
5127 return StringRef(MnemonicTable + Mnemonic + 1,
5128 MnemonicTable[Mnemonic]);
5129 }
5130 };
5131
5132 // Predicate for searching for an opcode.
5133 struct LessOpcodeOperand {
5134 bool operator()(const OperandMatchEntry &LHS, StringRef RHS) {
5135 return LHS.getMnemonic() < RHS;
5136 }
5137 bool operator()(StringRef LHS, const OperandMatchEntry &RHS) {
5138 return LHS < RHS.getMnemonic();
5139 }
5140 bool operator()(const OperandMatchEntry &LHS, const OperandMatchEntry &RHS) {
5141 return LHS.getMnemonic() < RHS.getMnemonic();
5142 }
5143 };
5144} // end anonymous namespace.
5145
5146static const OperandMatchEntry OperandMatchTable[3373] = {
5147 /* Operand List Mask, Mnemonic, Operand Class, Features */
5148 { 0, 0 /* a */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5149 { 0, 0 /* a */, MCK_GR32, 1 /* 0 */ },
5150 { 0, 2 /* ad */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5151 { 0, 2 /* ad */, MCK_FP64, 1 /* 0 */ },
5152 { 0, 5 /* adb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5153 { 0, 5 /* adb */, MCK_FP64, 1 /* 0 */ },
5154 { 0, 9 /* adbr */, MCK_FP64, 3 /* 0, 1 */ },
5155 { 0, 14 /* adr */, MCK_FP64, 3 /* 0, 1 */ },
5156 { 0, 18 /* adtr */, MCK_FP64, 7 /* 0, 1, 2 */ },
5157 { Feature_FeatureFPExtension, 23 /* adtra */, MCK_FP64, 7 /* 0, 1, 2 */ },
5158 { 0, 29 /* ae */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5159 { 0, 29 /* ae */, MCK_FP32, 1 /* 0 */ },
5160 { 0, 32 /* aeb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5161 { 0, 32 /* aeb */, MCK_FP32, 1 /* 0 */ },
5162 { 0, 36 /* aebr */, MCK_FP32, 3 /* 0, 1 */ },
5163 { 0, 41 /* aer */, MCK_FP32, 3 /* 0, 1 */ },
5164 { 0, 45 /* afi */, MCK_GR32, 1 /* 0 */ },
5165 { 0, 49 /* ag */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5166 { 0, 49 /* ag */, MCK_GR64, 1 /* 0 */ },
5167 { 0, 52 /* agf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5168 { 0, 52 /* agf */, MCK_GR64, 1 /* 0 */ },
5169 { 0, 56 /* agfi */, MCK_GR64, 1 /* 0 */ },
5170 { 0, 61 /* agfr */, MCK_GR32, 2 /* 1 */ },
5171 { 0, 61 /* agfr */, MCK_GR64, 1 /* 0 */ },
5172 { Feature_FeatureMiscellaneousExtensions2, 66 /* agh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5173 { Feature_FeatureMiscellaneousExtensions2, 66 /* agh */, MCK_GR64, 1 /* 0 */ },
5174 { 0, 70 /* aghi */, MCK_GR64, 1 /* 0 */ },
5175 { Feature_FeatureDistinctOps, 75 /* aghik */, MCK_GR64, 3 /* 0, 1 */ },
5176 { 0, 81 /* agr */, MCK_GR64, 3 /* 0, 1 */ },
5177 { Feature_FeatureDistinctOps, 85 /* agrk */, MCK_GR64, 7 /* 0, 1, 2 */ },
5178 { 0, 90 /* agsi */, MCK_BDAddr64Disp20, 1 /* 0 */ },
5179 { 0, 95 /* ah */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5180 { 0, 95 /* ah */, MCK_GR32, 1 /* 0 */ },
5181 { Feature_FeatureHighWord, 98 /* ahhhr */, MCK_GRH32, 7 /* 0, 1, 2 */ },
5182 { Feature_FeatureHighWord, 104 /* ahhlr */, MCK_GR32, 4 /* 2 */ },
5183 { Feature_FeatureHighWord, 104 /* ahhlr */, MCK_GRH32, 3 /* 0, 1 */ },
5184 { 0, 110 /* ahi */, MCK_GR32, 1 /* 0 */ },
5185 { Feature_FeatureDistinctOps, 114 /* ahik */, MCK_GR32, 3 /* 0, 1 */ },
5186 { 0, 119 /* ahy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5187 { 0, 119 /* ahy */, MCK_GR32, 1 /* 0 */ },
5188 { Feature_FeatureHighWord, 123 /* aih */, MCK_GRH32, 1 /* 0 */ },
5189 { 0, 127 /* al */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5190 { 0, 127 /* al */, MCK_GR32, 1 /* 0 */ },
5191 { 0, 130 /* alc */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5192 { 0, 130 /* alc */, MCK_GR32, 1 /* 0 */ },
5193 { 0, 134 /* alcg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5194 { 0, 134 /* alcg */, MCK_GR64, 1 /* 0 */ },
5195 { 0, 139 /* alcgr */, MCK_GR64, 3 /* 0, 1 */ },
5196 { 0, 145 /* alcr */, MCK_GR32, 3 /* 0, 1 */ },
5197 { 0, 150 /* alfi */, MCK_GR32, 1 /* 0 */ },
5198 { 0, 155 /* alg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5199 { 0, 155 /* alg */, MCK_GR64, 1 /* 0 */ },
5200 { 0, 159 /* algf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5201 { 0, 159 /* algf */, MCK_GR64, 1 /* 0 */ },
5202 { 0, 164 /* algfi */, MCK_GR64, 1 /* 0 */ },
5203 { 0, 170 /* algfr */, MCK_GR32, 2 /* 1 */ },
5204 { 0, 170 /* algfr */, MCK_GR64, 1 /* 0 */ },
5205 { Feature_FeatureDistinctOps, 176 /* alghsik */, MCK_GR64, 3 /* 0, 1 */ },
5206 { 0, 184 /* algr */, MCK_GR64, 3 /* 0, 1 */ },
5207 { Feature_FeatureDistinctOps, 189 /* algrk */, MCK_GR64, 7 /* 0, 1, 2 */ },
5208 { 0, 195 /* algsi */, MCK_BDAddr64Disp20, 1 /* 0 */ },
5209 { Feature_FeatureHighWord, 201 /* alhhhr */, MCK_GRH32, 7 /* 0, 1, 2 */ },
5210 { Feature_FeatureHighWord, 208 /* alhhlr */, MCK_GR32, 4 /* 2 */ },
5211 { Feature_FeatureHighWord, 208 /* alhhlr */, MCK_GRH32, 3 /* 0, 1 */ },
5212 { Feature_FeatureDistinctOps, 215 /* alhsik */, MCK_GR32, 3 /* 0, 1 */ },
5213 { 0, 222 /* alr */, MCK_GR32, 3 /* 0, 1 */ },
5214 { Feature_FeatureDistinctOps, 226 /* alrk */, MCK_GR32, 7 /* 0, 1, 2 */ },
5215 { 0, 231 /* alsi */, MCK_BDAddr64Disp20, 1 /* 0 */ },
5216 { Feature_FeatureHighWord, 236 /* alsih */, MCK_GRH32, 1 /* 0 */ },
5217 { Feature_FeatureHighWord, 242 /* alsihn */, MCK_GRH32, 1 /* 0 */ },
5218 { 0, 249 /* aly */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5219 { 0, 249 /* aly */, MCK_GR32, 1 /* 0 */ },
5220 { 0, 253 /* ap */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
5221 { 0, 256 /* ar */, MCK_GR32, 3 /* 0, 1 */ },
5222 { Feature_FeatureDistinctOps, 259 /* ark */, MCK_GR32, 7 /* 0, 1, 2 */ },
5223 { 0, 263 /* asi */, MCK_BDAddr64Disp20, 1 /* 0 */ },
5224 { 0, 267 /* au */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5225 { 0, 267 /* au */, MCK_FP32, 1 /* 0 */ },
5226 { 0, 270 /* aur */, MCK_FP32, 3 /* 0, 1 */ },
5227 { 0, 274 /* aw */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5228 { 0, 274 /* aw */, MCK_FP64, 1 /* 0 */ },
5229 { 0, 277 /* awr */, MCK_FP64, 3 /* 0, 1 */ },
5230 { 0, 281 /* axbr */, MCK_FP128, 3 /* 0, 1 */ },
5231 { 0, 286 /* axr */, MCK_FP128, 3 /* 0, 1 */ },
5232 { 0, 290 /* axtr */, MCK_FP128, 7 /* 0, 1, 2 */ },
5233 { Feature_FeatureFPExtension, 295 /* axtra */, MCK_FP128, 7 /* 0, 1, 2 */ },
5234 { 0, 301 /* ay */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5235 { 0, 301 /* ay */, MCK_GR32, 1 /* 0 */ },
5236 { 0, 304 /* b */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5237 { 0, 306 /* bakr */, MCK_GR64, 3 /* 0, 1 */ },
5238 { 0, 311 /* bal */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5239 { 0, 311 /* bal */, MCK_GR64, 1 /* 0 */ },
5240 { 0, 315 /* balr */, MCK_ADDR64, 2 /* 1 */ },
5241 { 0, 315 /* balr */, MCK_GR64, 1 /* 0 */ },
5242 { 0, 320 /* bas */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5243 { 0, 320 /* bas */, MCK_GR64, 1 /* 0 */ },
5244 { 0, 324 /* basr */, MCK_ADDR64, 2 /* 1 */ },
5245 { 0, 324 /* basr */, MCK_GR64, 1 /* 0 */ },
5246 { 0, 329 /* bassm */, MCK_ADDR64, 2 /* 1 */ },
5247 { 0, 329 /* bassm */, MCK_GR64, 1 /* 0 */ },
5248 { 0, 335 /* bc */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5249 { 0, 338 /* bcr */, MCK_GR64, 2 /* 1 */ },
5250 { 0, 342 /* bct */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5251 { 0, 342 /* bct */, MCK_GR32, 1 /* 0 */ },
5252 { 0, 346 /* bctg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5253 { 0, 346 /* bctg */, MCK_GR64, 1 /* 0 */ },
5254 { 0, 351 /* bctgr */, MCK_GR64, 3 /* 0, 1 */ },
5255 { 0, 357 /* bctr */, MCK_GR32, 1 /* 0 */ },
5256 { 0, 357 /* bctr */, MCK_GR64, 2 /* 1 */ },
5257 { 0, 362 /* be */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5258 { 0, 365 /* ber */, MCK_ADDR64, 1 /* 0 */ },
5259 { 0, 369 /* bh */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5260 { 0, 372 /* bhe */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5261 { 0, 376 /* bher */, MCK_ADDR64, 1 /* 0 */ },
5262 { 0, 381 /* bhr */, MCK_ADDR64, 1 /* 0 */ },
5263 { Feature_FeatureMiscellaneousExtensions2, 385 /* bi */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5264 { Feature_FeatureMiscellaneousExtensions2, 388 /* bic */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5265 { Feature_FeatureMiscellaneousExtensions2, 392 /* bie */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5266 { Feature_FeatureMiscellaneousExtensions2, 396 /* bih */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5267 { Feature_FeatureMiscellaneousExtensions2, 400 /* bihe */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5268 { Feature_FeatureMiscellaneousExtensions2, 405 /* bil */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5269 { Feature_FeatureMiscellaneousExtensions2, 409 /* bile */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5270 { Feature_FeatureMiscellaneousExtensions2, 414 /* bilh */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5271 { Feature_FeatureMiscellaneousExtensions2, 419 /* bim */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5272 { Feature_FeatureMiscellaneousExtensions2, 423 /* bine */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5273 { Feature_FeatureMiscellaneousExtensions2, 428 /* binh */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5274 { Feature_FeatureMiscellaneousExtensions2, 433 /* binhe */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5275 { Feature_FeatureMiscellaneousExtensions2, 439 /* binl */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5276 { Feature_FeatureMiscellaneousExtensions2, 444 /* binle */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5277 { Feature_FeatureMiscellaneousExtensions2, 450 /* binlh */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5278 { Feature_FeatureMiscellaneousExtensions2, 456 /* binm */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5279 { Feature_FeatureMiscellaneousExtensions2, 461 /* bino */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5280 { Feature_FeatureMiscellaneousExtensions2, 466 /* binp */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5281 { Feature_FeatureMiscellaneousExtensions2, 471 /* binz */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5282 { Feature_FeatureMiscellaneousExtensions2, 476 /* bio */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5283 { Feature_FeatureMiscellaneousExtensions2, 480 /* bip */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5284 { Feature_FeatureMiscellaneousExtensions2, 484 /* biz */, MCK_BDXAddr64Disp20, 1 /* 0 */ },
5285 { 0, 488 /* bl */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5286 { 0, 491 /* ble */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5287 { 0, 495 /* bler */, MCK_ADDR64, 1 /* 0 */ },
5288 { 0, 500 /* blh */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5289 { 0, 504 /* blhr */, MCK_ADDR64, 1 /* 0 */ },
5290 { 0, 509 /* blr */, MCK_ADDR64, 1 /* 0 */ },
5291 { 0, 513 /* bm */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5292 { 0, 516 /* bmr */, MCK_ADDR64, 1 /* 0 */ },
5293 { 0, 520 /* bne */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5294 { 0, 524 /* bner */, MCK_ADDR64, 1 /* 0 */ },
5295 { 0, 529 /* bnh */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5296 { 0, 533 /* bnhe */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5297 { 0, 538 /* bnher */, MCK_ADDR64, 1 /* 0 */ },
5298 { 0, 544 /* bnhr */, MCK_ADDR64, 1 /* 0 */ },
5299 { 0, 549 /* bnl */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5300 { 0, 553 /* bnle */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5301 { 0, 558 /* bnler */, MCK_ADDR64, 1 /* 0 */ },
5302 { 0, 564 /* bnlh */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5303 { 0, 569 /* bnlhr */, MCK_ADDR64, 1 /* 0 */ },
5304 { 0, 575 /* bnlr */, MCK_ADDR64, 1 /* 0 */ },
5305 { 0, 580 /* bnm */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5306 { 0, 584 /* bnmr */, MCK_ADDR64, 1 /* 0 */ },
5307 { 0, 589 /* bno */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5308 { 0, 593 /* bnor */, MCK_ADDR64, 1 /* 0 */ },
5309 { 0, 598 /* bnp */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5310 { 0, 602 /* bnpr */, MCK_ADDR64, 1 /* 0 */ },
5311 { 0, 607 /* bnz */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5312 { 0, 611 /* bnzr */, MCK_ADDR64, 1 /* 0 */ },
5313 { 0, 616 /* bo */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5314 { 0, 619 /* bor */, MCK_ADDR64, 1 /* 0 */ },
5315 { 0, 623 /* bp */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5316 { Feature_FeatureExecutionHint, 626 /* bpp */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
5317 { Feature_FeatureExecutionHint, 626 /* bpp */, MCK_PCRel16, 2 /* 1 */ },
5318 { 0, 630 /* bpr */, MCK_ADDR64, 1 /* 0 */ },
5319 { Feature_FeatureExecutionHint, 634 /* bprp */, MCK_PCRel12, 2 /* 1 */ },
5320 { Feature_FeatureExecutionHint, 634 /* bprp */, MCK_PCRel24, 4 /* 2 */ },
5321 { 0, 639 /* br */, MCK_ADDR64, 1 /* 0 */ },
5322 { 0, 642 /* bras */, MCK_GR64, 1 /* 0 */ },
5323 { 0, 642 /* bras */, MCK_PCRelTLS16, 2 /* 1 */ },
5324 { 0, 647 /* brasl */, MCK_GR64, 1 /* 0 */ },
5325 { 0, 647 /* brasl */, MCK_PCRelTLS32, 2 /* 1 */ },
5326 { 0, 653 /* brc */, MCK_PCRel16, 2 /* 1 */ },
5327 { 0, 657 /* brcl */, MCK_PCRel32, 2 /* 1 */ },
5328 { 0, 662 /* brct */, MCK_GR32, 1 /* 0 */ },
5329 { 0, 662 /* brct */, MCK_PCRel16, 2 /* 1 */ },
5330 { 0, 667 /* brctg */, MCK_GR64, 1 /* 0 */ },
5331 { 0, 667 /* brctg */, MCK_PCRel16, 2 /* 1 */ },
5332 { Feature_FeatureHighWord, 673 /* brcth */, MCK_GRH32, 1 /* 0 */ },
5333 { Feature_FeatureHighWord, 673 /* brcth */, MCK_PCRel32, 2 /* 1 */ },
5334 { 0, 679 /* brxh */, MCK_GR32, 3 /* 0, 1 */ },
5335 { 0, 679 /* brxh */, MCK_PCRel16, 4 /* 2 */ },
5336 { 0, 684 /* brxhg */, MCK_GR64, 3 /* 0, 1 */ },
5337 { 0, 684 /* brxhg */, MCK_PCRel16, 4 /* 2 */ },
5338 { 0, 690 /* brxle */, MCK_GR32, 3 /* 0, 1 */ },
5339 { 0, 690 /* brxle */, MCK_PCRel16, 4 /* 2 */ },
5340 { 0, 696 /* brxlg */, MCK_GR64, 3 /* 0, 1 */ },
5341 { 0, 696 /* brxlg */, MCK_PCRel16, 4 /* 2 */ },
5342 { 0, 702 /* bsa */, MCK_GR64, 3 /* 0, 1 */ },
5343 { 0, 706 /* bsg */, MCK_GR64, 3 /* 0, 1 */ },
5344 { 0, 710 /* bsm */, MCK_ADDR64, 2 /* 1 */ },
5345 { 0, 710 /* bsm */, MCK_GR64, 1 /* 0 */ },
5346 { 0, 714 /* bxh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5347 { 0, 714 /* bxh */, MCK_GR32, 3 /* 0, 1 */ },
5348 { 0, 718 /* bxhg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
5349 { 0, 718 /* bxhg */, MCK_GR64, 3 /* 0, 1 */ },
5350 { 0, 723 /* bxle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5351 { 0, 723 /* bxle */, MCK_GR32, 3 /* 0, 1 */ },
5352 { 0, 728 /* bxleg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
5353 { 0, 728 /* bxleg */, MCK_GR64, 3 /* 0, 1 */ },
5354 { 0, 734 /* bz */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
5355 { 0, 737 /* bzr */, MCK_ADDR64, 1 /* 0 */ },
5356 { 0, 741 /* c */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5357 { 0, 741 /* c */, MCK_GR32, 1 /* 0 */ },
5358 { 0, 743 /* cd */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5359 { 0, 743 /* cd */, MCK_FP64, 1 /* 0 */ },
5360 { 0, 746 /* cdb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5361 { 0, 746 /* cdb */, MCK_FP64, 1 /* 0 */ },
5362 { 0, 750 /* cdbr */, MCK_FP64, 3 /* 0, 1 */ },
5363 { 0, 755 /* cdfbr */, MCK_FP64, 1 /* 0 */ },
5364 { 0, 755 /* cdfbr */, MCK_GR32, 2 /* 1 */ },
5365 { Feature_FeatureFPExtension, 761 /* cdfbra */, MCK_FP64, 1 /* 0 */ },
5366 { Feature_FeatureFPExtension, 761 /* cdfbra */, MCK_GR32, 4 /* 2 */ },
5367 { 0, 768 /* cdfr */, MCK_FP64, 1 /* 0 */ },
5368 { 0, 768 /* cdfr */, MCK_GR32, 2 /* 1 */ },
5369 { Feature_FeatureFPExtension, 773 /* cdftr */, MCK_FP64, 1 /* 0 */ },
5370 { Feature_FeatureFPExtension, 773 /* cdftr */, MCK_GR32, 4 /* 2 */ },
5371 { 0, 779 /* cdgbr */, MCK_FP64, 1 /* 0 */ },
5372 { 0, 779 /* cdgbr */, MCK_GR64, 2 /* 1 */ },
5373 { Feature_FeatureFPExtension, 785 /* cdgbra */, MCK_FP64, 1 /* 0 */ },
5374 { Feature_FeatureFPExtension, 785 /* cdgbra */, MCK_GR64, 4 /* 2 */ },
5375 { 0, 792 /* cdgr */, MCK_FP64, 1 /* 0 */ },
5376 { 0, 792 /* cdgr */, MCK_GR64, 2 /* 1 */ },
5377 { 0, 797 /* cdgtr */, MCK_FP64, 1 /* 0 */ },
5378 { 0, 797 /* cdgtr */, MCK_GR64, 2 /* 1 */ },
5379 { Feature_FeatureFPExtension, 803 /* cdgtra */, MCK_FP64, 1 /* 0 */ },
5380 { Feature_FeatureFPExtension, 803 /* cdgtra */, MCK_GR64, 4 /* 2 */ },
5381 { Feature_FeatureFPExtension, 810 /* cdlfbr */, MCK_FP64, 1 /* 0 */ },
5382 { Feature_FeatureFPExtension, 810 /* cdlfbr */, MCK_GR32, 4 /* 2 */ },
5383 { Feature_FeatureFPExtension, 817 /* cdlftr */, MCK_FP64, 1 /* 0 */ },
5384 { Feature_FeatureFPExtension, 817 /* cdlftr */, MCK_GR32, 4 /* 2 */ },
5385 { Feature_FeatureFPExtension, 824 /* cdlgbr */, MCK_FP64, 1 /* 0 */ },
5386 { Feature_FeatureFPExtension, 824 /* cdlgbr */, MCK_GR64, 4 /* 2 */ },
5387 { Feature_FeatureFPExtension, 831 /* cdlgtr */, MCK_FP64, 1 /* 0 */ },
5388 { Feature_FeatureFPExtension, 831 /* cdlgtr */, MCK_GR64, 4 /* 2 */ },
5389 { Feature_FeatureDFPPackedConversion, 838 /* cdpt */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
5390 { Feature_FeatureDFPPackedConversion, 838 /* cdpt */, MCK_FP64, 1 /* 0 */ },
5391 { 0, 843 /* cdr */, MCK_FP64, 3 /* 0, 1 */ },
5392 { 0, 847 /* cds */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5393 { 0, 847 /* cds */, MCK_GR128, 3 /* 0, 1 */ },
5394 { 0, 851 /* cdsg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
5395 { 0, 851 /* cdsg */, MCK_GR128, 3 /* 0, 1 */ },
5396 { 0, 856 /* cdstr */, MCK_FP64, 1 /* 0 */ },
5397 { 0, 856 /* cdstr */, MCK_GR64, 2 /* 1 */ },
5398 { 0, 862 /* cdsy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
5399 { 0, 862 /* cdsy */, MCK_GR128, 3 /* 0, 1 */ },
5400 { 0, 867 /* cdtr */, MCK_FP64, 3 /* 0, 1 */ },
5401 { 0, 872 /* cdutr */, MCK_FP64, 1 /* 0 */ },
5402 { 0, 872 /* cdutr */, MCK_GR64, 2 /* 1 */ },
5403 { Feature_FeatureDFPZonedConversion, 878 /* cdzt */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
5404 { Feature_FeatureDFPZonedConversion, 878 /* cdzt */, MCK_FP64, 1 /* 0 */ },
5405 { 0, 883 /* ce */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5406 { 0, 883 /* ce */, MCK_FP32, 1 /* 0 */ },
5407 { 0, 886 /* ceb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5408 { 0, 886 /* ceb */, MCK_FP32, 1 /* 0 */ },
5409 { 0, 890 /* cebr */, MCK_FP32, 3 /* 0, 1 */ },
5410 { 0, 895 /* cedtr */, MCK_FP64, 3 /* 0, 1 */ },
5411 { 0, 901 /* cefbr */, MCK_FP32, 1 /* 0 */ },
5412 { 0, 901 /* cefbr */, MCK_GR32, 2 /* 1 */ },
5413 { Feature_FeatureFPExtension, 907 /* cefbra */, MCK_FP32, 1 /* 0 */ },
5414 { Feature_FeatureFPExtension, 907 /* cefbra */, MCK_GR32, 4 /* 2 */ },
5415 { 0, 914 /* cefr */, MCK_FP32, 1 /* 0 */ },
5416 { 0, 914 /* cefr */, MCK_GR32, 2 /* 1 */ },
5417 { 0, 919 /* cegbr */, MCK_FP32, 1 /* 0 */ },
5418 { 0, 919 /* cegbr */, MCK_GR64, 2 /* 1 */ },
5419 { Feature_FeatureFPExtension, 925 /* cegbra */, MCK_FP32, 1 /* 0 */ },
5420 { Feature_FeatureFPExtension, 925 /* cegbra */, MCK_GR64, 4 /* 2 */ },
5421 { 0, 932 /* cegr */, MCK_FP32, 1 /* 0 */ },
5422 { 0, 932 /* cegr */, MCK_GR64, 2 /* 1 */ },
5423 { Feature_FeatureFPExtension, 937 /* celfbr */, MCK_FP32, 1 /* 0 */ },
5424 { Feature_FeatureFPExtension, 937 /* celfbr */, MCK_GR32, 4 /* 2 */ },
5425 { Feature_FeatureFPExtension, 944 /* celgbr */, MCK_FP32, 1 /* 0 */ },
5426 { Feature_FeatureFPExtension, 944 /* celgbr */, MCK_GR64, 4 /* 2 */ },
5427 { 0, 951 /* cer */, MCK_FP32, 3 /* 0, 1 */ },
5428 { 0, 955 /* cextr */, MCK_FP128, 3 /* 0, 1 */ },
5429 { 0, 961 /* cfc */, MCK_BDAddr64Disp12, 1 /* 0 */ },
5430 { 0, 965 /* cfdbr */, MCK_FP64, 4 /* 2 */ },
5431 { 0, 965 /* cfdbr */, MCK_GR32, 1 /* 0 */ },
5432 { Feature_FeatureFPExtension, 971 /* cfdbra */, MCK_FP64, 4 /* 2 */ },
5433 { Feature_FeatureFPExtension, 971 /* cfdbra */, MCK_GR32, 1 /* 0 */ },
5434 { 0, 978 /* cfdr */, MCK_FP64, 4 /* 2 */ },
5435 { 0, 978 /* cfdr */, MCK_GR32, 1 /* 0 */ },
5436 { Feature_FeatureFPExtension, 983 /* cfdtr */, MCK_FP64, 4 /* 2 */ },
5437 { Feature_FeatureFPExtension, 983 /* cfdtr */, MCK_GR32, 1 /* 0 */ },
5438 { 0, 989 /* cfebr */, MCK_FP32, 4 /* 2 */ },
5439 { 0, 989 /* cfebr */, MCK_GR32, 1 /* 0 */ },
5440 { Feature_FeatureFPExtension, 995 /* cfebra */, MCK_FP32, 4 /* 2 */ },
5441 { Feature_FeatureFPExtension, 995 /* cfebra */, MCK_GR32, 1 /* 0 */ },
5442 { 0, 1002 /* cfer */, MCK_FP32, 4 /* 2 */ },
5443 { 0, 1002 /* cfer */, MCK_GR32, 1 /* 0 */ },
5444 { 0, 1007 /* cfi */, MCK_GR32, 1 /* 0 */ },
5445 { 0, 1011 /* cfxbr */, MCK_FP128, 4 /* 2 */ },
5446 { 0, 1011 /* cfxbr */, MCK_GR32, 1 /* 0 */ },
5447 { Feature_FeatureFPExtension, 1017 /* cfxbra */, MCK_FP128, 4 /* 2 */ },
5448 { Feature_FeatureFPExtension, 1017 /* cfxbra */, MCK_GR32, 1 /* 0 */ },
5449 { 0, 1024 /* cfxr */, MCK_FP128, 4 /* 2 */ },
5450 { 0, 1024 /* cfxr */, MCK_GR32, 1 /* 0 */ },
5451 { Feature_FeatureFPExtension, 1029 /* cfxtr */, MCK_FP128, 4 /* 2 */ },
5452 { Feature_FeatureFPExtension, 1029 /* cfxtr */, MCK_GR32, 1 /* 0 */ },
5453 { 0, 1035 /* cg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5454 { 0, 1035 /* cg */, MCK_GR64, 1 /* 0 */ },
5455 { 0, 1038 /* cgdbr */, MCK_FP64, 4 /* 2 */ },
5456 { 0, 1038 /* cgdbr */, MCK_GR64, 1 /* 0 */ },
5457 { Feature_FeatureFPExtension, 1044 /* cgdbra */, MCK_FP64, 4 /* 2 */ },
5458 { Feature_FeatureFPExtension, 1044 /* cgdbra */, MCK_GR64, 1 /* 0 */ },
5459 { 0, 1051 /* cgdr */, MCK_FP64, 4 /* 2 */ },
5460 { 0, 1051 /* cgdr */, MCK_GR64, 1 /* 0 */ },
5461 { 0, 1056 /* cgdtr */, MCK_FP64, 4 /* 2 */ },
5462 { 0, 1056 /* cgdtr */, MCK_GR64, 1 /* 0 */ },
5463 { Feature_FeatureFPExtension, 1062 /* cgdtra */, MCK_FP64, 4 /* 2 */ },
5464 { Feature_FeatureFPExtension, 1062 /* cgdtra */, MCK_GR64, 1 /* 0 */ },
5465 { 0, 1069 /* cgebr */, MCK_FP32, 4 /* 2 */ },
5466 { 0, 1069 /* cgebr */, MCK_GR64, 1 /* 0 */ },
5467 { Feature_FeatureFPExtension, 1075 /* cgebra */, MCK_FP32, 4 /* 2 */ },
5468 { Feature_FeatureFPExtension, 1075 /* cgebra */, MCK_GR64, 1 /* 0 */ },
5469 { 0, 1082 /* cger */, MCK_FP32, 4 /* 2 */ },
5470 { 0, 1082 /* cger */, MCK_GR64, 1 /* 0 */ },
5471 { 0, 1087 /* cgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5472 { 0, 1087 /* cgf */, MCK_GR64, 1 /* 0 */ },
5473 { 0, 1091 /* cgfi */, MCK_GR64, 1 /* 0 */ },
5474 { 0, 1096 /* cgfr */, MCK_GR32, 2 /* 1 */ },
5475 { 0, 1096 /* cgfr */, MCK_GR64, 1 /* 0 */ },
5476 { 0, 1101 /* cgfrl */, MCK_GR64, 1 /* 0 */ },
5477 { 0, 1101 /* cgfrl */, MCK_PCRel32, 2 /* 1 */ },
5478 { 0, 1107 /* cgh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5479 { 0, 1107 /* cgh */, MCK_GR64, 1 /* 0 */ },
5480 { 0, 1111 /* cghi */, MCK_GR64, 1 /* 0 */ },
5481 { 0, 1116 /* cghrl */, MCK_GR64, 1 /* 0 */ },
5482 { 0, 1116 /* cghrl */, MCK_PCRel32, 2 /* 1 */ },
5483 { 0, 1122 /* cghsi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
5484 { 0, 1128 /* cgib */, MCK_BDAddr64Disp12, 8 /* 3 */ },
5485 { 0, 1128 /* cgib */, MCK_GR64, 1 /* 0 */ },
5486 { 0, 1133 /* cgibe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5487 { 0, 1133 /* cgibe */, MCK_GR64, 1 /* 0 */ },
5488 { 0, 1139 /* cgibh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5489 { 0, 1139 /* cgibh */, MCK_GR64, 1 /* 0 */ },
5490 { 0, 1145 /* cgibhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5491 { 0, 1145 /* cgibhe */, MCK_GR64, 1 /* 0 */ },
5492 { 0, 1152 /* cgibl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5493 { 0, 1152 /* cgibl */, MCK_GR64, 1 /* 0 */ },
5494 { 0, 1158 /* cgible */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5495 { 0, 1158 /* cgible */, MCK_GR64, 1 /* 0 */ },
5496 { 0, 1165 /* cgiblh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5497 { 0, 1165 /* cgiblh */, MCK_GR64, 1 /* 0 */ },
5498 { 0, 1172 /* cgibne */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5499 { 0, 1172 /* cgibne */, MCK_GR64, 1 /* 0 */ },
5500 { 0, 1179 /* cgibnh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5501 { 0, 1179 /* cgibnh */, MCK_GR64, 1 /* 0 */ },
5502 { 0, 1186 /* cgibnhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5503 { 0, 1186 /* cgibnhe */, MCK_GR64, 1 /* 0 */ },
5504 { 0, 1194 /* cgibnl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5505 { 0, 1194 /* cgibnl */, MCK_GR64, 1 /* 0 */ },
5506 { 0, 1201 /* cgibnle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5507 { 0, 1201 /* cgibnle */, MCK_GR64, 1 /* 0 */ },
5508 { 0, 1209 /* cgibnlh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5509 { 0, 1209 /* cgibnlh */, MCK_GR64, 1 /* 0 */ },
5510 { 0, 1217 /* cgij */, MCK_GR64, 1 /* 0 */ },
5511 { 0, 1217 /* cgij */, MCK_PCRel16, 8 /* 3 */ },
5512 { 0, 1222 /* cgije */, MCK_GR64, 1 /* 0 */ },
5513 { 0, 1222 /* cgije */, MCK_PCRel16, 4 /* 2 */ },
5514 { 0, 1228 /* cgijh */, MCK_GR64, 1 /* 0 */ },
5515 { 0, 1228 /* cgijh */, MCK_PCRel16, 4 /* 2 */ },
5516 { 0, 1234 /* cgijhe */, MCK_GR64, 1 /* 0 */ },
5517 { 0, 1234 /* cgijhe */, MCK_PCRel16, 4 /* 2 */ },
5518 { 0, 1241 /* cgijl */, MCK_GR64, 1 /* 0 */ },
5519 { 0, 1241 /* cgijl */, MCK_PCRel16, 4 /* 2 */ },
5520 { 0, 1247 /* cgijle */, MCK_GR64, 1 /* 0 */ },
5521 { 0, 1247 /* cgijle */, MCK_PCRel16, 4 /* 2 */ },
5522 { 0, 1254 /* cgijlh */, MCK_GR64, 1 /* 0 */ },
5523 { 0, 1254 /* cgijlh */, MCK_PCRel16, 4 /* 2 */ },
5524 { 0, 1261 /* cgijne */, MCK_GR64, 1 /* 0 */ },
5525 { 0, 1261 /* cgijne */, MCK_PCRel16, 4 /* 2 */ },
5526 { 0, 1268 /* cgijnh */, MCK_GR64, 1 /* 0 */ },
5527 { 0, 1268 /* cgijnh */, MCK_PCRel16, 4 /* 2 */ },
5528 { 0, 1275 /* cgijnhe */, MCK_GR64, 1 /* 0 */ },
5529 { 0, 1275 /* cgijnhe */, MCK_PCRel16, 4 /* 2 */ },
5530 { 0, 1283 /* cgijnl */, MCK_GR64, 1 /* 0 */ },
5531 { 0, 1283 /* cgijnl */, MCK_PCRel16, 4 /* 2 */ },
5532 { 0, 1290 /* cgijnle */, MCK_GR64, 1 /* 0 */ },
5533 { 0, 1290 /* cgijnle */, MCK_PCRel16, 4 /* 2 */ },
5534 { 0, 1298 /* cgijnlh */, MCK_GR64, 1 /* 0 */ },
5535 { 0, 1298 /* cgijnlh */, MCK_PCRel16, 4 /* 2 */ },
5536 { 0, 1306 /* cgit */, MCK_GR64, 1 /* 0 */ },
5537 { 0, 1311 /* cgite */, MCK_GR64, 1 /* 0 */ },
5538 { 0, 1317 /* cgith */, MCK_GR64, 1 /* 0 */ },
5539 { 0, 1323 /* cgithe */, MCK_GR64, 1 /* 0 */ },
5540 { 0, 1330 /* cgitl */, MCK_GR64, 1 /* 0 */ },
5541 { 0, 1336 /* cgitle */, MCK_GR64, 1 /* 0 */ },
5542 { 0, 1343 /* cgitlh */, MCK_GR64, 1 /* 0 */ },
5543 { 0, 1350 /* cgitne */, MCK_GR64, 1 /* 0 */ },
5544 { 0, 1357 /* cgitnh */, MCK_GR64, 1 /* 0 */ },
5545 { 0, 1364 /* cgitnhe */, MCK_GR64, 1 /* 0 */ },
5546 { 0, 1372 /* cgitnl */, MCK_GR64, 1 /* 0 */ },
5547 { 0, 1379 /* cgitnle */, MCK_GR64, 1 /* 0 */ },
5548 { 0, 1387 /* cgitnlh */, MCK_GR64, 1 /* 0 */ },
5549 { 0, 1395 /* cgr */, MCK_GR64, 3 /* 0, 1 */ },
5550 { 0, 1399 /* cgrb */, MCK_BDAddr64Disp12, 8 /* 3 */ },
5551 { 0, 1399 /* cgrb */, MCK_GR64, 3 /* 0, 1 */ },
5552 { 0, 1404 /* cgrbe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5553 { 0, 1404 /* cgrbe */, MCK_GR64, 3 /* 0, 1 */ },
5554 { 0, 1410 /* cgrbh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5555 { 0, 1410 /* cgrbh */, MCK_GR64, 3 /* 0, 1 */ },
5556 { 0, 1416 /* cgrbhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5557 { 0, 1416 /* cgrbhe */, MCK_GR64, 3 /* 0, 1 */ },
5558 { 0, 1423 /* cgrbl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5559 { 0, 1423 /* cgrbl */, MCK_GR64, 3 /* 0, 1 */ },
5560 { 0, 1429 /* cgrble */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5561 { 0, 1429 /* cgrble */, MCK_GR64, 3 /* 0, 1 */ },
5562 { 0, 1436 /* cgrblh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5563 { 0, 1436 /* cgrblh */, MCK_GR64, 3 /* 0, 1 */ },
5564 { 0, 1443 /* cgrbne */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5565 { 0, 1443 /* cgrbne */, MCK_GR64, 3 /* 0, 1 */ },
5566 { 0, 1450 /* cgrbnh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5567 { 0, 1450 /* cgrbnh */, MCK_GR64, 3 /* 0, 1 */ },
5568 { 0, 1457 /* cgrbnhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5569 { 0, 1457 /* cgrbnhe */, MCK_GR64, 3 /* 0, 1 */ },
5570 { 0, 1465 /* cgrbnl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5571 { 0, 1465 /* cgrbnl */, MCK_GR64, 3 /* 0, 1 */ },
5572 { 0, 1472 /* cgrbnle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5573 { 0, 1472 /* cgrbnle */, MCK_GR64, 3 /* 0, 1 */ },
5574 { 0, 1480 /* cgrbnlh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5575 { 0, 1480 /* cgrbnlh */, MCK_GR64, 3 /* 0, 1 */ },
5576 { 0, 1488 /* cgrj */, MCK_GR64, 3 /* 0, 1 */ },
5577 { 0, 1488 /* cgrj */, MCK_PCRel16, 8 /* 3 */ },
5578 { 0, 1493 /* cgrje */, MCK_GR64, 3 /* 0, 1 */ },
5579 { 0, 1493 /* cgrje */, MCK_PCRel16, 4 /* 2 */ },
5580 { 0, 1499 /* cgrjh */, MCK_GR64, 3 /* 0, 1 */ },
5581 { 0, 1499 /* cgrjh */, MCK_PCRel16, 4 /* 2 */ },
5582 { 0, 1505 /* cgrjhe */, MCK_GR64, 3 /* 0, 1 */ },
5583 { 0, 1505 /* cgrjhe */, MCK_PCRel16, 4 /* 2 */ },
5584 { 0, 1512 /* cgrjl */, MCK_GR64, 3 /* 0, 1 */ },
5585 { 0, 1512 /* cgrjl */, MCK_PCRel16, 4 /* 2 */ },
5586 { 0, 1518 /* cgrjle */, MCK_GR64, 3 /* 0, 1 */ },
5587 { 0, 1518 /* cgrjle */, MCK_PCRel16, 4 /* 2 */ },
5588 { 0, 1525 /* cgrjlh */, MCK_GR64, 3 /* 0, 1 */ },
5589 { 0, 1525 /* cgrjlh */, MCK_PCRel16, 4 /* 2 */ },
5590 { 0, 1532 /* cgrjne */, MCK_GR64, 3 /* 0, 1 */ },
5591 { 0, 1532 /* cgrjne */, MCK_PCRel16, 4 /* 2 */ },
5592 { 0, 1539 /* cgrjnh */, MCK_GR64, 3 /* 0, 1 */ },
5593 { 0, 1539 /* cgrjnh */, MCK_PCRel16, 4 /* 2 */ },
5594 { 0, 1546 /* cgrjnhe */, MCK_GR64, 3 /* 0, 1 */ },
5595 { 0, 1546 /* cgrjnhe */, MCK_PCRel16, 4 /* 2 */ },
5596 { 0, 1554 /* cgrjnl */, MCK_GR64, 3 /* 0, 1 */ },
5597 { 0, 1554 /* cgrjnl */, MCK_PCRel16, 4 /* 2 */ },
5598 { 0, 1561 /* cgrjnle */, MCK_GR64, 3 /* 0, 1 */ },
5599 { 0, 1561 /* cgrjnle */, MCK_PCRel16, 4 /* 2 */ },
5600 { 0, 1569 /* cgrjnlh */, MCK_GR64, 3 /* 0, 1 */ },
5601 { 0, 1569 /* cgrjnlh */, MCK_PCRel16, 4 /* 2 */ },
5602 { 0, 1577 /* cgrl */, MCK_GR64, 1 /* 0 */ },
5603 { 0, 1577 /* cgrl */, MCK_PCRel32, 2 /* 1 */ },
5604 { 0, 1582 /* cgrt */, MCK_GR64, 3 /* 0, 1 */ },
5605 { 0, 1587 /* cgrte */, MCK_GR64, 3 /* 0, 1 */ },
5606 { 0, 1593 /* cgrth */, MCK_GR64, 3 /* 0, 1 */ },
5607 { 0, 1599 /* cgrthe */, MCK_GR64, 3 /* 0, 1 */ },
5608 { 0, 1606 /* cgrtl */, MCK_GR64, 3 /* 0, 1 */ },
5609 { 0, 1612 /* cgrtle */, MCK_GR64, 3 /* 0, 1 */ },
5610 { 0, 1619 /* cgrtlh */, MCK_GR64, 3 /* 0, 1 */ },
5611 { 0, 1626 /* cgrtne */, MCK_GR64, 3 /* 0, 1 */ },
5612 { 0, 1633 /* cgrtnh */, MCK_GR64, 3 /* 0, 1 */ },
5613 { 0, 1640 /* cgrtnhe */, MCK_GR64, 3 /* 0, 1 */ },
5614 { 0, 1648 /* cgrtnl */, MCK_GR64, 3 /* 0, 1 */ },
5615 { 0, 1655 /* cgrtnle */, MCK_GR64, 3 /* 0, 1 */ },
5616 { 0, 1663 /* cgrtnlh */, MCK_GR64, 3 /* 0, 1 */ },
5617 { 0, 1671 /* cgxbr */, MCK_FP128, 4 /* 2 */ },
5618 { 0, 1671 /* cgxbr */, MCK_GR64, 1 /* 0 */ },
5619 { Feature_FeatureFPExtension, 1677 /* cgxbra */, MCK_FP128, 4 /* 2 */ },
5620 { Feature_FeatureFPExtension, 1677 /* cgxbra */, MCK_GR64, 1 /* 0 */ },
5621 { 0, 1684 /* cgxr */, MCK_FP128, 4 /* 2 */ },
5622 { 0, 1684 /* cgxr */, MCK_GR64, 1 /* 0 */ },
5623 { 0, 1689 /* cgxtr */, MCK_FP128, 4 /* 2 */ },
5624 { 0, 1689 /* cgxtr */, MCK_GR64, 1 /* 0 */ },
5625 { Feature_FeatureFPExtension, 1695 /* cgxtra */, MCK_FP128, 4 /* 2 */ },
5626 { Feature_FeatureFPExtension, 1695 /* cgxtra */, MCK_GR64, 1 /* 0 */ },
5627 { 0, 1702 /* ch */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5628 { 0, 1702 /* ch */, MCK_GR32, 1 /* 0 */ },
5629 { Feature_FeatureHighWord, 1705 /* chf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5630 { Feature_FeatureHighWord, 1705 /* chf */, MCK_GRH32, 1 /* 0 */ },
5631 { Feature_FeatureHighWord, 1709 /* chhr */, MCK_GRH32, 3 /* 0, 1 */ },
5632 { 0, 1714 /* chhsi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
5633 { 0, 1720 /* chi */, MCK_GR32, 1 /* 0 */ },
5634 { Feature_FeatureHighWord, 1724 /* chlr */, MCK_GR32, 2 /* 1 */ },
5635 { Feature_FeatureHighWord, 1724 /* chlr */, MCK_GRH32, 1 /* 0 */ },
5636 { 0, 1729 /* chrl */, MCK_GR32, 1 /* 0 */ },
5637 { 0, 1729 /* chrl */, MCK_PCRel32, 2 /* 1 */ },
5638 { 0, 1734 /* chsi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
5639 { 0, 1739 /* chy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5640 { 0, 1739 /* chy */, MCK_GR32, 1 /* 0 */ },
5641 { 0, 1743 /* cib */, MCK_BDAddr64Disp12, 8 /* 3 */ },
5642 { 0, 1743 /* cib */, MCK_GR32, 1 /* 0 */ },
5643 { 0, 1747 /* cibe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5644 { 0, 1747 /* cibe */, MCK_GR32, 1 /* 0 */ },
5645 { 0, 1752 /* cibh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5646 { 0, 1752 /* cibh */, MCK_GR32, 1 /* 0 */ },
5647 { 0, 1757 /* cibhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5648 { 0, 1757 /* cibhe */, MCK_GR32, 1 /* 0 */ },
5649 { 0, 1763 /* cibl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5650 { 0, 1763 /* cibl */, MCK_GR32, 1 /* 0 */ },
5651 { 0, 1768 /* cible */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5652 { 0, 1768 /* cible */, MCK_GR32, 1 /* 0 */ },
5653 { 0, 1774 /* ciblh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5654 { 0, 1774 /* ciblh */, MCK_GR32, 1 /* 0 */ },
5655 { 0, 1780 /* cibne */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5656 { 0, 1780 /* cibne */, MCK_GR32, 1 /* 0 */ },
5657 { 0, 1786 /* cibnh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5658 { 0, 1786 /* cibnh */, MCK_GR32, 1 /* 0 */ },
5659 { 0, 1792 /* cibnhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5660 { 0, 1792 /* cibnhe */, MCK_GR32, 1 /* 0 */ },
5661 { 0, 1799 /* cibnl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5662 { 0, 1799 /* cibnl */, MCK_GR32, 1 /* 0 */ },
5663 { 0, 1805 /* cibnle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5664 { 0, 1805 /* cibnle */, MCK_GR32, 1 /* 0 */ },
5665 { 0, 1812 /* cibnlh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5666 { 0, 1812 /* cibnlh */, MCK_GR32, 1 /* 0 */ },
5667 { Feature_FeatureHighWord, 1819 /* cih */, MCK_GRH32, 1 /* 0 */ },
5668 { 0, 1823 /* cij */, MCK_GR32, 1 /* 0 */ },
5669 { 0, 1823 /* cij */, MCK_PCRel16, 8 /* 3 */ },
5670 { 0, 1827 /* cije */, MCK_GR32, 1 /* 0 */ },
5671 { 0, 1827 /* cije */, MCK_PCRel16, 4 /* 2 */ },
5672 { 0, 1832 /* cijh */, MCK_GR32, 1 /* 0 */ },
5673 { 0, 1832 /* cijh */, MCK_PCRel16, 4 /* 2 */ },
5674 { 0, 1837 /* cijhe */, MCK_GR32, 1 /* 0 */ },
5675 { 0, 1837 /* cijhe */, MCK_PCRel16, 4 /* 2 */ },
5676 { 0, 1843 /* cijl */, MCK_GR32, 1 /* 0 */ },
5677 { 0, 1843 /* cijl */, MCK_PCRel16, 4 /* 2 */ },
5678 { 0, 1848 /* cijle */, MCK_GR32, 1 /* 0 */ },
5679 { 0, 1848 /* cijle */, MCK_PCRel16, 4 /* 2 */ },
5680 { 0, 1854 /* cijlh */, MCK_GR32, 1 /* 0 */ },
5681 { 0, 1854 /* cijlh */, MCK_PCRel16, 4 /* 2 */ },
5682 { 0, 1860 /* cijne */, MCK_GR32, 1 /* 0 */ },
5683 { 0, 1860 /* cijne */, MCK_PCRel16, 4 /* 2 */ },
5684 { 0, 1866 /* cijnh */, MCK_GR32, 1 /* 0 */ },
5685 { 0, 1866 /* cijnh */, MCK_PCRel16, 4 /* 2 */ },
5686 { 0, 1872 /* cijnhe */, MCK_GR32, 1 /* 0 */ },
5687 { 0, 1872 /* cijnhe */, MCK_PCRel16, 4 /* 2 */ },
5688 { 0, 1879 /* cijnl */, MCK_GR32, 1 /* 0 */ },
5689 { 0, 1879 /* cijnl */, MCK_PCRel16, 4 /* 2 */ },
5690 { 0, 1885 /* cijnle */, MCK_GR32, 1 /* 0 */ },
5691 { 0, 1885 /* cijnle */, MCK_PCRel16, 4 /* 2 */ },
5692 { 0, 1892 /* cijnlh */, MCK_GR32, 1 /* 0 */ },
5693 { 0, 1892 /* cijnlh */, MCK_PCRel16, 4 /* 2 */ },
5694 { 0, 1899 /* cit */, MCK_GR32, 1 /* 0 */ },
5695 { 0, 1903 /* cite */, MCK_GR32, 1 /* 0 */ },
5696 { 0, 1908 /* cith */, MCK_GR32, 1 /* 0 */ },
5697 { 0, 1913 /* cithe */, MCK_GR32, 1 /* 0 */ },
5698 { 0, 1919 /* citl */, MCK_GR32, 1 /* 0 */ },
5699 { 0, 1924 /* citle */, MCK_GR32, 1 /* 0 */ },
5700 { 0, 1930 /* citlh */, MCK_GR32, 1 /* 0 */ },
5701 { 0, 1936 /* citne */, MCK_GR32, 1 /* 0 */ },
5702 { 0, 1942 /* citnh */, MCK_GR32, 1 /* 0 */ },
5703 { 0, 1948 /* citnhe */, MCK_GR32, 1 /* 0 */ },
5704 { 0, 1955 /* citnl */, MCK_GR32, 1 /* 0 */ },
5705 { 0, 1961 /* citnle */, MCK_GR32, 1 /* 0 */ },
5706 { 0, 1968 /* citnlh */, MCK_GR32, 1 /* 0 */ },
5707 { 0, 1975 /* cksm */, MCK_GR128, 2 /* 1 */ },
5708 { 0, 1975 /* cksm */, MCK_GR64, 1 /* 0 */ },
5709 { 0, 1980 /* cl */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
5710 { 0, 1980 /* cl */, MCK_GR32, 1 /* 0 */ },
5711 { 0, 1983 /* clc */, MCK_BDAddr64Disp12, 2 /* 1 */ },
5712 { 0, 1983 /* clc */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
5713 { 0, 1987 /* clcl */, MCK_GR128, 3 /* 0, 1 */ },
5714 { 0, 1992 /* clcle */, MCK_BDAddr32Disp12, 4 /* 2 */ },
5715 { 0, 1992 /* clcle */, MCK_GR128, 3 /* 0, 1 */ },
5716 { 0, 1998 /* clclu */, MCK_BDAddr32Disp20, 4 /* 2 */ },
5717 { 0, 1998 /* clclu */, MCK_GR128, 3 /* 0, 1 */ },
5718 { Feature_FeatureFPExtension, 2004 /* clfdbr */, MCK_FP64, 4 /* 2 */ },
5719 { Feature_FeatureFPExtension, 2004 /* clfdbr */, MCK_GR32, 1 /* 0 */ },
5720 { Feature_FeatureFPExtension, 2011 /* clfdtr */, MCK_FP64, 4 /* 2 */ },
5721 { Feature_FeatureFPExtension, 2011 /* clfdtr */, MCK_GR32, 1 /* 0 */ },
5722 { Feature_FeatureFPExtension, 2018 /* clfebr */, MCK_FP32, 4 /* 2 */ },
5723 { Feature_FeatureFPExtension, 2018 /* clfebr */, MCK_GR32, 1 /* 0 */ },
5724 { 0, 2025 /* clfhsi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
5725 { 0, 2032 /* clfi */, MCK_GR32, 1 /* 0 */ },
5726 { 0, 2037 /* clfit */, MCK_GR32, 1 /* 0 */ },
5727 { 0, 2043 /* clfite */, MCK_GR32, 1 /* 0 */ },
5728 { 0, 2050 /* clfith */, MCK_GR32, 1 /* 0 */ },
5729 { 0, 2057 /* clfithe */, MCK_GR32, 1 /* 0 */ },
5730 { 0, 2065 /* clfitl */, MCK_GR32, 1 /* 0 */ },
5731 { 0, 2072 /* clfitle */, MCK_GR32, 1 /* 0 */ },
5732 { 0, 2080 /* clfitlh */, MCK_GR32, 1 /* 0 */ },
5733 { 0, 2088 /* clfitne */, MCK_GR32, 1 /* 0 */ },
5734 { 0, 2096 /* clfitnh */, MCK_GR32, 1 /* 0 */ },
5735 { 0, 2104 /* clfitnhe */, MCK_GR32, 1 /* 0 */ },
5736 { 0, 2113 /* clfitnl */, MCK_GR32, 1 /* 0 */ },
5737 { 0, 2121 /* clfitnle */, MCK_GR32, 1 /* 0 */ },
5738 { 0, 2130 /* clfitnlh */, MCK_GR32, 1 /* 0 */ },
5739 { Feature_FeatureFPExtension, 2139 /* clfxbr */, MCK_FP128, 4 /* 2 */ },
5740 { Feature_FeatureFPExtension, 2139 /* clfxbr */, MCK_GR32, 1 /* 0 */ },
5741 { Feature_FeatureFPExtension, 2146 /* clfxtr */, MCK_FP128, 4 /* 2 */ },
5742 { Feature_FeatureFPExtension, 2146 /* clfxtr */, MCK_GR32, 1 /* 0 */ },
5743 { 0, 2153 /* clg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5744 { 0, 2153 /* clg */, MCK_GR64, 1 /* 0 */ },
5745 { Feature_FeatureFPExtension, 2157 /* clgdbr */, MCK_FP64, 4 /* 2 */ },
5746 { Feature_FeatureFPExtension, 2157 /* clgdbr */, MCK_GR64, 1 /* 0 */ },
5747 { Feature_FeatureFPExtension, 2164 /* clgdtr */, MCK_FP64, 4 /* 2 */ },
5748 { Feature_FeatureFPExtension, 2164 /* clgdtr */, MCK_GR64, 1 /* 0 */ },
5749 { Feature_FeatureFPExtension, 2171 /* clgebr */, MCK_FP32, 4 /* 2 */ },
5750 { Feature_FeatureFPExtension, 2171 /* clgebr */, MCK_GR64, 1 /* 0 */ },
5751 { 0, 2178 /* clgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5752 { 0, 2178 /* clgf */, MCK_GR64, 1 /* 0 */ },
5753 { 0, 2183 /* clgfi */, MCK_GR64, 1 /* 0 */ },
5754 { 0, 2189 /* clgfr */, MCK_GR32, 2 /* 1 */ },
5755 { 0, 2189 /* clgfr */, MCK_GR64, 1 /* 0 */ },
5756 { 0, 2195 /* clgfrl */, MCK_GR64, 1 /* 0 */ },
5757 { 0, 2195 /* clgfrl */, MCK_PCRel32, 2 /* 1 */ },
5758 { 0, 2202 /* clghrl */, MCK_GR64, 1 /* 0 */ },
5759 { 0, 2202 /* clghrl */, MCK_PCRel32, 2 /* 1 */ },
5760 { 0, 2209 /* clghsi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
5761 { 0, 2216 /* clgib */, MCK_BDAddr64Disp12, 8 /* 3 */ },
5762 { 0, 2216 /* clgib */, MCK_GR64, 1 /* 0 */ },
5763 { 0, 2222 /* clgibe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5764 { 0, 2222 /* clgibe */, MCK_GR64, 1 /* 0 */ },
5765 { 0, 2229 /* clgibh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5766 { 0, 2229 /* clgibh */, MCK_GR64, 1 /* 0 */ },
5767 { 0, 2236 /* clgibhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5768 { 0, 2236 /* clgibhe */, MCK_GR64, 1 /* 0 */ },
5769 { 0, 2244 /* clgibl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5770 { 0, 2244 /* clgibl */, MCK_GR64, 1 /* 0 */ },
5771 { 0, 2251 /* clgible */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5772 { 0, 2251 /* clgible */, MCK_GR64, 1 /* 0 */ },
5773 { 0, 2259 /* clgiblh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5774 { 0, 2259 /* clgiblh */, MCK_GR64, 1 /* 0 */ },
5775 { 0, 2267 /* clgibne */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5776 { 0, 2267 /* clgibne */, MCK_GR64, 1 /* 0 */ },
5777 { 0, 2275 /* clgibnh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5778 { 0, 2275 /* clgibnh */, MCK_GR64, 1 /* 0 */ },
5779 { 0, 2283 /* clgibnhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5780 { 0, 2283 /* clgibnhe */, MCK_GR64, 1 /* 0 */ },
5781 { 0, 2292 /* clgibnl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5782 { 0, 2292 /* clgibnl */, MCK_GR64, 1 /* 0 */ },
5783 { 0, 2300 /* clgibnle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5784 { 0, 2300 /* clgibnle */, MCK_GR64, 1 /* 0 */ },
5785 { 0, 2309 /* clgibnlh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5786 { 0, 2309 /* clgibnlh */, MCK_GR64, 1 /* 0 */ },
5787 { 0, 2318 /* clgij */, MCK_GR64, 1 /* 0 */ },
5788 { 0, 2318 /* clgij */, MCK_PCRel16, 8 /* 3 */ },
5789 { 0, 2324 /* clgije */, MCK_GR64, 1 /* 0 */ },
5790 { 0, 2324 /* clgije */, MCK_PCRel16, 4 /* 2 */ },
5791 { 0, 2331 /* clgijh */, MCK_GR64, 1 /* 0 */ },
5792 { 0, 2331 /* clgijh */, MCK_PCRel16, 4 /* 2 */ },
5793 { 0, 2338 /* clgijhe */, MCK_GR64, 1 /* 0 */ },
5794 { 0, 2338 /* clgijhe */, MCK_PCRel16, 4 /* 2 */ },
5795 { 0, 2346 /* clgijl */, MCK_GR64, 1 /* 0 */ },
5796 { 0, 2346 /* clgijl */, MCK_PCRel16, 4 /* 2 */ },
5797 { 0, 2353 /* clgijle */, MCK_GR64, 1 /* 0 */ },
5798 { 0, 2353 /* clgijle */, MCK_PCRel16, 4 /* 2 */ },
5799 { 0, 2361 /* clgijlh */, MCK_GR64, 1 /* 0 */ },
5800 { 0, 2361 /* clgijlh */, MCK_PCRel16, 4 /* 2 */ },
5801 { 0, 2369 /* clgijne */, MCK_GR64, 1 /* 0 */ },
5802 { 0, 2369 /* clgijne */, MCK_PCRel16, 4 /* 2 */ },
5803 { 0, 2377 /* clgijnh */, MCK_GR64, 1 /* 0 */ },
5804 { 0, 2377 /* clgijnh */, MCK_PCRel16, 4 /* 2 */ },
5805 { 0, 2385 /* clgijnhe */, MCK_GR64, 1 /* 0 */ },
5806 { 0, 2385 /* clgijnhe */, MCK_PCRel16, 4 /* 2 */ },
5807 { 0, 2394 /* clgijnl */, MCK_GR64, 1 /* 0 */ },
5808 { 0, 2394 /* clgijnl */, MCK_PCRel16, 4 /* 2 */ },
5809 { 0, 2402 /* clgijnle */, MCK_GR64, 1 /* 0 */ },
5810 { 0, 2402 /* clgijnle */, MCK_PCRel16, 4 /* 2 */ },
5811 { 0, 2411 /* clgijnlh */, MCK_GR64, 1 /* 0 */ },
5812 { 0, 2411 /* clgijnlh */, MCK_PCRel16, 4 /* 2 */ },
5813 { 0, 2420 /* clgit */, MCK_GR64, 1 /* 0 */ },
5814 { 0, 2426 /* clgite */, MCK_GR64, 1 /* 0 */ },
5815 { 0, 2433 /* clgith */, MCK_GR64, 1 /* 0 */ },
5816 { 0, 2440 /* clgithe */, MCK_GR64, 1 /* 0 */ },
5817 { 0, 2448 /* clgitl */, MCK_GR64, 1 /* 0 */ },
5818 { 0, 2455 /* clgitle */, MCK_GR64, 1 /* 0 */ },
5819 { 0, 2463 /* clgitlh */, MCK_GR64, 1 /* 0 */ },
5820 { 0, 2471 /* clgitne */, MCK_GR64, 1 /* 0 */ },
5821 { 0, 2479 /* clgitnh */, MCK_GR64, 1 /* 0 */ },
5822 { 0, 2487 /* clgitnhe */, MCK_GR64, 1 /* 0 */ },
5823 { 0, 2496 /* clgitnl */, MCK_GR64, 1 /* 0 */ },
5824 { 0, 2504 /* clgitnle */, MCK_GR64, 1 /* 0 */ },
5825 { 0, 2513 /* clgitnlh */, MCK_GR64, 1 /* 0 */ },
5826 { 0, 2522 /* clgr */, MCK_GR64, 3 /* 0, 1 */ },
5827 { 0, 2527 /* clgrb */, MCK_BDAddr64Disp12, 8 /* 3 */ },
5828 { 0, 2527 /* clgrb */, MCK_GR64, 3 /* 0, 1 */ },
5829 { 0, 2533 /* clgrbe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5830 { 0, 2533 /* clgrbe */, MCK_GR64, 3 /* 0, 1 */ },
5831 { 0, 2540 /* clgrbh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5832 { 0, 2540 /* clgrbh */, MCK_GR64, 3 /* 0, 1 */ },
5833 { 0, 2547 /* clgrbhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5834 { 0, 2547 /* clgrbhe */, MCK_GR64, 3 /* 0, 1 */ },
5835 { 0, 2555 /* clgrbl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5836 { 0, 2555 /* clgrbl */, MCK_GR64, 3 /* 0, 1 */ },
5837 { 0, 2562 /* clgrble */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5838 { 0, 2562 /* clgrble */, MCK_GR64, 3 /* 0, 1 */ },
5839 { 0, 2570 /* clgrblh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5840 { 0, 2570 /* clgrblh */, MCK_GR64, 3 /* 0, 1 */ },
5841 { 0, 2578 /* clgrbne */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5842 { 0, 2578 /* clgrbne */, MCK_GR64, 3 /* 0, 1 */ },
5843 { 0, 2586 /* clgrbnh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5844 { 0, 2586 /* clgrbnh */, MCK_GR64, 3 /* 0, 1 */ },
5845 { 0, 2594 /* clgrbnhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5846 { 0, 2594 /* clgrbnhe */, MCK_GR64, 3 /* 0, 1 */ },
5847 { 0, 2603 /* clgrbnl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5848 { 0, 2603 /* clgrbnl */, MCK_GR64, 3 /* 0, 1 */ },
5849 { 0, 2611 /* clgrbnle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5850 { 0, 2611 /* clgrbnle */, MCK_GR64, 3 /* 0, 1 */ },
5851 { 0, 2620 /* clgrbnlh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5852 { 0, 2620 /* clgrbnlh */, MCK_GR64, 3 /* 0, 1 */ },
5853 { 0, 2629 /* clgrj */, MCK_GR64, 3 /* 0, 1 */ },
5854 { 0, 2629 /* clgrj */, MCK_PCRel16, 8 /* 3 */ },
5855 { 0, 2635 /* clgrje */, MCK_GR64, 3 /* 0, 1 */ },
5856 { 0, 2635 /* clgrje */, MCK_PCRel16, 4 /* 2 */ },
5857 { 0, 2642 /* clgrjh */, MCK_GR64, 3 /* 0, 1 */ },
5858 { 0, 2642 /* clgrjh */, MCK_PCRel16, 4 /* 2 */ },
5859 { 0, 2649 /* clgrjhe */, MCK_GR64, 3 /* 0, 1 */ },
5860 { 0, 2649 /* clgrjhe */, MCK_PCRel16, 4 /* 2 */ },
5861 { 0, 2657 /* clgrjl */, MCK_GR64, 3 /* 0, 1 */ },
5862 { 0, 2657 /* clgrjl */, MCK_PCRel16, 4 /* 2 */ },
5863 { 0, 2664 /* clgrjle */, MCK_GR64, 3 /* 0, 1 */ },
5864 { 0, 2664 /* clgrjle */, MCK_PCRel16, 4 /* 2 */ },
5865 { 0, 2672 /* clgrjlh */, MCK_GR64, 3 /* 0, 1 */ },
5866 { 0, 2672 /* clgrjlh */, MCK_PCRel16, 4 /* 2 */ },
5867 { 0, 2680 /* clgrjne */, MCK_GR64, 3 /* 0, 1 */ },
5868 { 0, 2680 /* clgrjne */, MCK_PCRel16, 4 /* 2 */ },
5869 { 0, 2688 /* clgrjnh */, MCK_GR64, 3 /* 0, 1 */ },
5870 { 0, 2688 /* clgrjnh */, MCK_PCRel16, 4 /* 2 */ },
5871 { 0, 2696 /* clgrjnhe */, MCK_GR64, 3 /* 0, 1 */ },
5872 { 0, 2696 /* clgrjnhe */, MCK_PCRel16, 4 /* 2 */ },
5873 { 0, 2705 /* clgrjnl */, MCK_GR64, 3 /* 0, 1 */ },
5874 { 0, 2705 /* clgrjnl */, MCK_PCRel16, 4 /* 2 */ },
5875 { 0, 2713 /* clgrjnle */, MCK_GR64, 3 /* 0, 1 */ },
5876 { 0, 2713 /* clgrjnle */, MCK_PCRel16, 4 /* 2 */ },
5877 { 0, 2722 /* clgrjnlh */, MCK_GR64, 3 /* 0, 1 */ },
5878 { 0, 2722 /* clgrjnlh */, MCK_PCRel16, 4 /* 2 */ },
5879 { 0, 2731 /* clgrl */, MCK_GR64, 1 /* 0 */ },
5880 { 0, 2731 /* clgrl */, MCK_PCRel32, 2 /* 1 */ },
5881 { 0, 2737 /* clgrt */, MCK_GR64, 3 /* 0, 1 */ },
5882 { 0, 2743 /* clgrte */, MCK_GR64, 3 /* 0, 1 */ },
5883 { 0, 2750 /* clgrth */, MCK_GR64, 3 /* 0, 1 */ },
5884 { 0, 2757 /* clgrthe */, MCK_GR64, 3 /* 0, 1 */ },
5885 { 0, 2765 /* clgrtl */, MCK_GR64, 3 /* 0, 1 */ },
5886 { 0, 2772 /* clgrtle */, MCK_GR64, 3 /* 0, 1 */ },
5887 { 0, 2780 /* clgrtlh */, MCK_GR64, 3 /* 0, 1 */ },
5888 { 0, 2788 /* clgrtne */, MCK_GR64, 3 /* 0, 1 */ },
5889 { 0, 2796 /* clgrtnh */, MCK_GR64, 3 /* 0, 1 */ },
5890 { 0, 2804 /* clgrtnhe */, MCK_GR64, 3 /* 0, 1 */ },
5891 { 0, 2813 /* clgrtnl */, MCK_GR64, 3 /* 0, 1 */ },
5892 { 0, 2821 /* clgrtnle */, MCK_GR64, 3 /* 0, 1 */ },
5893 { 0, 2830 /* clgrtnlh */, MCK_GR64, 3 /* 0, 1 */ },
5894 { Feature_FeatureMiscellaneousExtensions, 2839 /* clgt */, MCK_BDAddr64Disp20, 4 /* 2 */ },
5895 { Feature_FeatureMiscellaneousExtensions, 2839 /* clgt */, MCK_GR64, 1 /* 0 */ },
5896 { Feature_FeatureMiscellaneousExtensions, 2844 /* clgte */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5897 { Feature_FeatureMiscellaneousExtensions, 2844 /* clgte */, MCK_GR64, 1 /* 0 */ },
5898 { Feature_FeatureMiscellaneousExtensions, 2850 /* clgth */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5899 { Feature_FeatureMiscellaneousExtensions, 2850 /* clgth */, MCK_GR64, 1 /* 0 */ },
5900 { Feature_FeatureMiscellaneousExtensions, 2856 /* clgthe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5901 { Feature_FeatureMiscellaneousExtensions, 2856 /* clgthe */, MCK_GR64, 1 /* 0 */ },
5902 { Feature_FeatureMiscellaneousExtensions, 2863 /* clgtl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5903 { Feature_FeatureMiscellaneousExtensions, 2863 /* clgtl */, MCK_GR64, 1 /* 0 */ },
5904 { Feature_FeatureMiscellaneousExtensions, 2869 /* clgtle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5905 { Feature_FeatureMiscellaneousExtensions, 2869 /* clgtle */, MCK_GR64, 1 /* 0 */ },
5906 { Feature_FeatureMiscellaneousExtensions, 2876 /* clgtlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5907 { Feature_FeatureMiscellaneousExtensions, 2876 /* clgtlh */, MCK_GR64, 1 /* 0 */ },
5908 { Feature_FeatureMiscellaneousExtensions, 2883 /* clgtne */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5909 { Feature_FeatureMiscellaneousExtensions, 2883 /* clgtne */, MCK_GR64, 1 /* 0 */ },
5910 { Feature_FeatureMiscellaneousExtensions, 2890 /* clgtnh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5911 { Feature_FeatureMiscellaneousExtensions, 2890 /* clgtnh */, MCK_GR64, 1 /* 0 */ },
5912 { Feature_FeatureMiscellaneousExtensions, 2897 /* clgtnhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5913 { Feature_FeatureMiscellaneousExtensions, 2897 /* clgtnhe */, MCK_GR64, 1 /* 0 */ },
5914 { Feature_FeatureMiscellaneousExtensions, 2905 /* clgtnl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5915 { Feature_FeatureMiscellaneousExtensions, 2905 /* clgtnl */, MCK_GR64, 1 /* 0 */ },
5916 { Feature_FeatureMiscellaneousExtensions, 2912 /* clgtnle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5917 { Feature_FeatureMiscellaneousExtensions, 2912 /* clgtnle */, MCK_GR64, 1 /* 0 */ },
5918 { Feature_FeatureMiscellaneousExtensions, 2920 /* clgtnlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
5919 { Feature_FeatureMiscellaneousExtensions, 2920 /* clgtnlh */, MCK_GR64, 1 /* 0 */ },
5920 { Feature_FeatureFPExtension, 2928 /* clgxbr */, MCK_FP128, 4 /* 2 */ },
5921 { Feature_FeatureFPExtension, 2928 /* clgxbr */, MCK_GR64, 1 /* 0 */ },
5922 { Feature_FeatureFPExtension, 2935 /* clgxtr */, MCK_FP128, 4 /* 2 */ },
5923 { Feature_FeatureFPExtension, 2935 /* clgxtr */, MCK_GR64, 1 /* 0 */ },
5924 { Feature_FeatureHighWord, 2942 /* clhf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
5925 { Feature_FeatureHighWord, 2942 /* clhf */, MCK_GRH32, 1 /* 0 */ },
5926 { Feature_FeatureHighWord, 2947 /* clhhr */, MCK_GRH32, 3 /* 0, 1 */ },
5927 { 0, 2953 /* clhhsi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
5928 { Feature_FeatureHighWord, 2960 /* clhlr */, MCK_GR32, 2 /* 1 */ },
5929 { Feature_FeatureHighWord, 2960 /* clhlr */, MCK_GRH32, 1 /* 0 */ },
5930 { 0, 2966 /* clhrl */, MCK_GR32, 1 /* 0 */ },
5931 { 0, 2966 /* clhrl */, MCK_PCRel32, 2 /* 1 */ },
5932 { 0, 2972 /* cli */, MCK_BDAddr64Disp12, 1 /* 0 */ },
5933 { 0, 2976 /* clib */, MCK_BDAddr64Disp12, 8 /* 3 */ },
5934 { 0, 2976 /* clib */, MCK_GR32, 1 /* 0 */ },
5935 { 0, 2981 /* clibe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5936 { 0, 2981 /* clibe */, MCK_GR32, 1 /* 0 */ },
5937 { 0, 2987 /* clibh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5938 { 0, 2987 /* clibh */, MCK_GR32, 1 /* 0 */ },
5939 { 0, 2993 /* clibhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5940 { 0, 2993 /* clibhe */, MCK_GR32, 1 /* 0 */ },
5941 { 0, 3000 /* clibl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5942 { 0, 3000 /* clibl */, MCK_GR32, 1 /* 0 */ },
5943 { 0, 3006 /* clible */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5944 { 0, 3006 /* clible */, MCK_GR32, 1 /* 0 */ },
5945 { 0, 3013 /* cliblh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5946 { 0, 3013 /* cliblh */, MCK_GR32, 1 /* 0 */ },
5947 { 0, 3020 /* clibne */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5948 { 0, 3020 /* clibne */, MCK_GR32, 1 /* 0 */ },
5949 { 0, 3027 /* clibnh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5950 { 0, 3027 /* clibnh */, MCK_GR32, 1 /* 0 */ },
5951 { 0, 3034 /* clibnhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5952 { 0, 3034 /* clibnhe */, MCK_GR32, 1 /* 0 */ },
5953 { 0, 3042 /* clibnl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5954 { 0, 3042 /* clibnl */, MCK_GR32, 1 /* 0 */ },
5955 { 0, 3049 /* clibnle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5956 { 0, 3049 /* clibnle */, MCK_GR32, 1 /* 0 */ },
5957 { 0, 3057 /* clibnlh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5958 { 0, 3057 /* clibnlh */, MCK_GR32, 1 /* 0 */ },
5959 { Feature_FeatureHighWord, 3065 /* clih */, MCK_GRH32, 1 /* 0 */ },
5960 { 0, 3070 /* clij */, MCK_GR32, 1 /* 0 */ },
5961 { 0, 3070 /* clij */, MCK_PCRel16, 8 /* 3 */ },
5962 { 0, 3075 /* clije */, MCK_GR32, 1 /* 0 */ },
5963 { 0, 3075 /* clije */, MCK_PCRel16, 4 /* 2 */ },
5964 { 0, 3081 /* clijh */, MCK_GR32, 1 /* 0 */ },
5965 { 0, 3081 /* clijh */, MCK_PCRel16, 4 /* 2 */ },
5966 { 0, 3087 /* clijhe */, MCK_GR32, 1 /* 0 */ },
5967 { 0, 3087 /* clijhe */, MCK_PCRel16, 4 /* 2 */ },
5968 { 0, 3094 /* clijl */, MCK_GR32, 1 /* 0 */ },
5969 { 0, 3094 /* clijl */, MCK_PCRel16, 4 /* 2 */ },
5970 { 0, 3100 /* clijle */, MCK_GR32, 1 /* 0 */ },
5971 { 0, 3100 /* clijle */, MCK_PCRel16, 4 /* 2 */ },
5972 { 0, 3107 /* clijlh */, MCK_GR32, 1 /* 0 */ },
5973 { 0, 3107 /* clijlh */, MCK_PCRel16, 4 /* 2 */ },
5974 { 0, 3114 /* clijne */, MCK_GR32, 1 /* 0 */ },
5975 { 0, 3114 /* clijne */, MCK_PCRel16, 4 /* 2 */ },
5976 { 0, 3121 /* clijnh */, MCK_GR32, 1 /* 0 */ },
5977 { 0, 3121 /* clijnh */, MCK_PCRel16, 4 /* 2 */ },
5978 { 0, 3128 /* clijnhe */, MCK_GR32, 1 /* 0 */ },
5979 { 0, 3128 /* clijnhe */, MCK_PCRel16, 4 /* 2 */ },
5980 { 0, 3136 /* clijnl */, MCK_GR32, 1 /* 0 */ },
5981 { 0, 3136 /* clijnl */, MCK_PCRel16, 4 /* 2 */ },
5982 { 0, 3143 /* clijnle */, MCK_GR32, 1 /* 0 */ },
5983 { 0, 3143 /* clijnle */, MCK_PCRel16, 4 /* 2 */ },
5984 { 0, 3151 /* clijnlh */, MCK_GR32, 1 /* 0 */ },
5985 { 0, 3151 /* clijnlh */, MCK_PCRel16, 4 /* 2 */ },
5986 { 0, 3159 /* cliy */, MCK_BDAddr64Disp20, 1 /* 0 */ },
5987 { 0, 3164 /* clm */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5988 { 0, 3164 /* clm */, MCK_GR32, 1 /* 0 */ },
5989 { 0, 3168 /* clmh */, MCK_BDAddr64Disp20, 4 /* 2 */ },
5990 { 0, 3168 /* clmh */, MCK_GRH32, 1 /* 0 */ },
5991 { 0, 3173 /* clmy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
5992 { 0, 3173 /* clmy */, MCK_GR32, 1 /* 0 */ },
5993 { 0, 3178 /* clr */, MCK_GR32, 3 /* 0, 1 */ },
5994 { 0, 3182 /* clrb */, MCK_BDAddr64Disp12, 8 /* 3 */ },
5995 { 0, 3182 /* clrb */, MCK_GR32, 3 /* 0, 1 */ },
5996 { 0, 3187 /* clrbe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5997 { 0, 3187 /* clrbe */, MCK_GR32, 3 /* 0, 1 */ },
5998 { 0, 3193 /* clrbh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
5999 { 0, 3193 /* clrbh */, MCK_GR32, 3 /* 0, 1 */ },
6000 { 0, 3199 /* clrbhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6001 { 0, 3199 /* clrbhe */, MCK_GR32, 3 /* 0, 1 */ },
6002 { 0, 3206 /* clrbl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6003 { 0, 3206 /* clrbl */, MCK_GR32, 3 /* 0, 1 */ },
6004 { 0, 3212 /* clrble */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6005 { 0, 3212 /* clrble */, MCK_GR32, 3 /* 0, 1 */ },
6006 { 0, 3219 /* clrblh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6007 { 0, 3219 /* clrblh */, MCK_GR32, 3 /* 0, 1 */ },
6008 { 0, 3226 /* clrbne */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6009 { 0, 3226 /* clrbne */, MCK_GR32, 3 /* 0, 1 */ },
6010 { 0, 3233 /* clrbnh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6011 { 0, 3233 /* clrbnh */, MCK_GR32, 3 /* 0, 1 */ },
6012 { 0, 3240 /* clrbnhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6013 { 0, 3240 /* clrbnhe */, MCK_GR32, 3 /* 0, 1 */ },
6014 { 0, 3248 /* clrbnl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6015 { 0, 3248 /* clrbnl */, MCK_GR32, 3 /* 0, 1 */ },
6016 { 0, 3255 /* clrbnle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6017 { 0, 3255 /* clrbnle */, MCK_GR32, 3 /* 0, 1 */ },
6018 { 0, 3263 /* clrbnlh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6019 { 0, 3263 /* clrbnlh */, MCK_GR32, 3 /* 0, 1 */ },
6020 { 0, 3271 /* clrj */, MCK_GR32, 3 /* 0, 1 */ },
6021 { 0, 3271 /* clrj */, MCK_PCRel16, 8 /* 3 */ },
6022 { 0, 3276 /* clrje */, MCK_GR32, 3 /* 0, 1 */ },
6023 { 0, 3276 /* clrje */, MCK_PCRel16, 4 /* 2 */ },
6024 { 0, 3282 /* clrjh */, MCK_GR32, 3 /* 0, 1 */ },
6025 { 0, 3282 /* clrjh */, MCK_PCRel16, 4 /* 2 */ },
6026 { 0, 3288 /* clrjhe */, MCK_GR32, 3 /* 0, 1 */ },
6027 { 0, 3288 /* clrjhe */, MCK_PCRel16, 4 /* 2 */ },
6028 { 0, 3295 /* clrjl */, MCK_GR32, 3 /* 0, 1 */ },
6029 { 0, 3295 /* clrjl */, MCK_PCRel16, 4 /* 2 */ },
6030 { 0, 3301 /* clrjle */, MCK_GR32, 3 /* 0, 1 */ },
6031 { 0, 3301 /* clrjle */, MCK_PCRel16, 4 /* 2 */ },
6032 { 0, 3308 /* clrjlh */, MCK_GR32, 3 /* 0, 1 */ },
6033 { 0, 3308 /* clrjlh */, MCK_PCRel16, 4 /* 2 */ },
6034 { 0, 3315 /* clrjne */, MCK_GR32, 3 /* 0, 1 */ },
6035 { 0, 3315 /* clrjne */, MCK_PCRel16, 4 /* 2 */ },
6036 { 0, 3322 /* clrjnh */, MCK_GR32, 3 /* 0, 1 */ },
6037 { 0, 3322 /* clrjnh */, MCK_PCRel16, 4 /* 2 */ },
6038 { 0, 3329 /* clrjnhe */, MCK_GR32, 3 /* 0, 1 */ },
6039 { 0, 3329 /* clrjnhe */, MCK_PCRel16, 4 /* 2 */ },
6040 { 0, 3337 /* clrjnl */, MCK_GR32, 3 /* 0, 1 */ },
6041 { 0, 3337 /* clrjnl */, MCK_PCRel16, 4 /* 2 */ },
6042 { 0, 3344 /* clrjnle */, MCK_GR32, 3 /* 0, 1 */ },
6043 { 0, 3344 /* clrjnle */, MCK_PCRel16, 4 /* 2 */ },
6044 { 0, 3352 /* clrjnlh */, MCK_GR32, 3 /* 0, 1 */ },
6045 { 0, 3352 /* clrjnlh */, MCK_PCRel16, 4 /* 2 */ },
6046 { 0, 3360 /* clrl */, MCK_GR32, 1 /* 0 */ },
6047 { 0, 3360 /* clrl */, MCK_PCRel32, 2 /* 1 */ },
6048 { 0, 3365 /* clrt */, MCK_GR32, 3 /* 0, 1 */ },
6049 { 0, 3370 /* clrte */, MCK_GR32, 3 /* 0, 1 */ },
6050 { 0, 3376 /* clrth */, MCK_GR32, 3 /* 0, 1 */ },
6051 { 0, 3382 /* clrthe */, MCK_GR32, 3 /* 0, 1 */ },
6052 { 0, 3389 /* clrtl */, MCK_GR32, 3 /* 0, 1 */ },
6053 { 0, 3395 /* clrtle */, MCK_GR32, 3 /* 0, 1 */ },
6054 { 0, 3402 /* clrtlh */, MCK_GR32, 3 /* 0, 1 */ },
6055 { 0, 3409 /* clrtne */, MCK_GR32, 3 /* 0, 1 */ },
6056 { 0, 3416 /* clrtnh */, MCK_GR32, 3 /* 0, 1 */ },
6057 { 0, 3423 /* clrtnhe */, MCK_GR32, 3 /* 0, 1 */ },
6058 { 0, 3431 /* clrtnl */, MCK_GR32, 3 /* 0, 1 */ },
6059 { 0, 3438 /* clrtnle */, MCK_GR32, 3 /* 0, 1 */ },
6060 { 0, 3446 /* clrtnlh */, MCK_GR32, 3 /* 0, 1 */ },
6061 { 0, 3454 /* clst */, MCK_GR64, 3 /* 0, 1 */ },
6062 { Feature_FeatureMiscellaneousExtensions, 3459 /* clt */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6063 { Feature_FeatureMiscellaneousExtensions, 3459 /* clt */, MCK_GR32, 1 /* 0 */ },
6064 { Feature_FeatureMiscellaneousExtensions, 3463 /* clte */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6065 { Feature_FeatureMiscellaneousExtensions, 3463 /* clte */, MCK_GR32, 1 /* 0 */ },
6066 { Feature_FeatureMiscellaneousExtensions, 3468 /* clth */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6067 { Feature_FeatureMiscellaneousExtensions, 3468 /* clth */, MCK_GR32, 1 /* 0 */ },
6068 { Feature_FeatureMiscellaneousExtensions, 3473 /* clthe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6069 { Feature_FeatureMiscellaneousExtensions, 3473 /* clthe */, MCK_GR32, 1 /* 0 */ },
6070 { Feature_FeatureMiscellaneousExtensions, 3479 /* cltl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6071 { Feature_FeatureMiscellaneousExtensions, 3479 /* cltl */, MCK_GR32, 1 /* 0 */ },
6072 { Feature_FeatureMiscellaneousExtensions, 3484 /* cltle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6073 { Feature_FeatureMiscellaneousExtensions, 3484 /* cltle */, MCK_GR32, 1 /* 0 */ },
6074 { Feature_FeatureMiscellaneousExtensions, 3490 /* cltlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6075 { Feature_FeatureMiscellaneousExtensions, 3490 /* cltlh */, MCK_GR32, 1 /* 0 */ },
6076 { Feature_FeatureMiscellaneousExtensions, 3496 /* cltne */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6077 { Feature_FeatureMiscellaneousExtensions, 3496 /* cltne */, MCK_GR32, 1 /* 0 */ },
6078 { Feature_FeatureMiscellaneousExtensions, 3502 /* cltnh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6079 { Feature_FeatureMiscellaneousExtensions, 3502 /* cltnh */, MCK_GR32, 1 /* 0 */ },
6080 { Feature_FeatureMiscellaneousExtensions, 3508 /* cltnhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6081 { Feature_FeatureMiscellaneousExtensions, 3508 /* cltnhe */, MCK_GR32, 1 /* 0 */ },
6082 { Feature_FeatureMiscellaneousExtensions, 3515 /* cltnl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6083 { Feature_FeatureMiscellaneousExtensions, 3515 /* cltnl */, MCK_GR32, 1 /* 0 */ },
6084 { Feature_FeatureMiscellaneousExtensions, 3521 /* cltnle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6085 { Feature_FeatureMiscellaneousExtensions, 3521 /* cltnle */, MCK_GR32, 1 /* 0 */ },
6086 { Feature_FeatureMiscellaneousExtensions, 3528 /* cltnlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6087 { Feature_FeatureMiscellaneousExtensions, 3528 /* cltnlh */, MCK_GR32, 1 /* 0 */ },
6088 { 0, 3535 /* cly */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6089 { 0, 3535 /* cly */, MCK_GR32, 1 /* 0 */ },
6090 { 0, 3539 /* cmpsc */, MCK_GR128, 3 /* 0, 1 */ },
6091 { 0, 3545 /* cp */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
6092 { Feature_FeatureDFPPackedConversion, 3548 /* cpdt */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
6093 { Feature_FeatureDFPPackedConversion, 3548 /* cpdt */, MCK_FP64, 1 /* 0 */ },
6094 { 0, 3553 /* cpsdr */, MCK_FP64, 7 /* 0, 1, 2 */ },
6095 { Feature_FeatureDFPPackedConversion, 3559 /* cpxt */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
6096 { Feature_FeatureDFPPackedConversion, 3559 /* cpxt */, MCK_FP128, 1 /* 0 */ },
6097 { 0, 3564 /* cpya */, MCK_AR32, 3 /* 0, 1 */ },
6098 { 0, 3569 /* cr */, MCK_GR32, 3 /* 0, 1 */ },
6099 { 0, 3572 /* crb */, MCK_BDAddr64Disp12, 8 /* 3 */ },
6100 { 0, 3572 /* crb */, MCK_GR32, 3 /* 0, 1 */ },
6101 { 0, 3576 /* crbe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6102 { 0, 3576 /* crbe */, MCK_GR32, 3 /* 0, 1 */ },
6103 { 0, 3581 /* crbh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6104 { 0, 3581 /* crbh */, MCK_GR32, 3 /* 0, 1 */ },
6105 { 0, 3586 /* crbhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6106 { 0, 3586 /* crbhe */, MCK_GR32, 3 /* 0, 1 */ },
6107 { 0, 3592 /* crbl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6108 { 0, 3592 /* crbl */, MCK_GR32, 3 /* 0, 1 */ },
6109 { 0, 3597 /* crble */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6110 { 0, 3597 /* crble */, MCK_GR32, 3 /* 0, 1 */ },
6111 { 0, 3603 /* crblh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6112 { 0, 3603 /* crblh */, MCK_GR32, 3 /* 0, 1 */ },
6113 { 0, 3609 /* crbne */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6114 { 0, 3609 /* crbne */, MCK_GR32, 3 /* 0, 1 */ },
6115 { 0, 3615 /* crbnh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6116 { 0, 3615 /* crbnh */, MCK_GR32, 3 /* 0, 1 */ },
6117 { 0, 3621 /* crbnhe */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6118 { 0, 3621 /* crbnhe */, MCK_GR32, 3 /* 0, 1 */ },
6119 { 0, 3628 /* crbnl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6120 { 0, 3628 /* crbnl */, MCK_GR32, 3 /* 0, 1 */ },
6121 { 0, 3634 /* crbnle */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6122 { 0, 3634 /* crbnle */, MCK_GR32, 3 /* 0, 1 */ },
6123 { 0, 3641 /* crbnlh */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6124 { 0, 3641 /* crbnlh */, MCK_GR32, 3 /* 0, 1 */ },
6125 { Feature_FeatureEnhancedDAT2, 3648 /* crdte */, MCK_GR128, 5 /* 0, 2 */ },
6126 { Feature_FeatureEnhancedDAT2, 3648 /* crdte */, MCK_GR64, 2 /* 1 */ },
6127 { Feature_FeatureEnhancedDAT2, 3648 /* crdte */, MCK_GR128, 5 /* 0, 2 */ },
6128 { Feature_FeatureEnhancedDAT2, 3648 /* crdte */, MCK_GR64, 2 /* 1 */ },
6129 { 0, 3654 /* crj */, MCK_GR32, 3 /* 0, 1 */ },
6130 { 0, 3654 /* crj */, MCK_PCRel16, 8 /* 3 */ },
6131 { 0, 3658 /* crje */, MCK_GR32, 3 /* 0, 1 */ },
6132 { 0, 3658 /* crje */, MCK_PCRel16, 4 /* 2 */ },
6133 { 0, 3663 /* crjh */, MCK_GR32, 3 /* 0, 1 */ },
6134 { 0, 3663 /* crjh */, MCK_PCRel16, 4 /* 2 */ },
6135 { 0, 3668 /* crjhe */, MCK_GR32, 3 /* 0, 1 */ },
6136 { 0, 3668 /* crjhe */, MCK_PCRel16, 4 /* 2 */ },
6137 { 0, 3674 /* crjl */, MCK_GR32, 3 /* 0, 1 */ },
6138 { 0, 3674 /* crjl */, MCK_PCRel16, 4 /* 2 */ },
6139 { 0, 3679 /* crjle */, MCK_GR32, 3 /* 0, 1 */ },
6140 { 0, 3679 /* crjle */, MCK_PCRel16, 4 /* 2 */ },
6141 { 0, 3685 /* crjlh */, MCK_GR32, 3 /* 0, 1 */ },
6142 { 0, 3685 /* crjlh */, MCK_PCRel16, 4 /* 2 */ },
6143 { 0, 3691 /* crjne */, MCK_GR32, 3 /* 0, 1 */ },
6144 { 0, 3691 /* crjne */, MCK_PCRel16, 4 /* 2 */ },
6145 { 0, 3697 /* crjnh */, MCK_GR32, 3 /* 0, 1 */ },
6146 { 0, 3697 /* crjnh */, MCK_PCRel16, 4 /* 2 */ },
6147 { 0, 3703 /* crjnhe */, MCK_GR32, 3 /* 0, 1 */ },
6148 { 0, 3703 /* crjnhe */, MCK_PCRel16, 4 /* 2 */ },
6149 { 0, 3710 /* crjnl */, MCK_GR32, 3 /* 0, 1 */ },
6150 { 0, 3710 /* crjnl */, MCK_PCRel16, 4 /* 2 */ },
6151 { 0, 3716 /* crjnle */, MCK_GR32, 3 /* 0, 1 */ },
6152 { 0, 3716 /* crjnle */, MCK_PCRel16, 4 /* 2 */ },
6153 { 0, 3723 /* crjnlh */, MCK_GR32, 3 /* 0, 1 */ },
6154 { 0, 3723 /* crjnlh */, MCK_PCRel16, 4 /* 2 */ },
6155 { 0, 3730 /* crl */, MCK_GR32, 1 /* 0 */ },
6156 { 0, 3730 /* crl */, MCK_PCRel32, 2 /* 1 */ },
6157 { 0, 3734 /* crt */, MCK_GR32, 3 /* 0, 1 */ },
6158 { 0, 3738 /* crte */, MCK_GR32, 3 /* 0, 1 */ },
6159 { 0, 3743 /* crth */, MCK_GR32, 3 /* 0, 1 */ },
6160 { 0, 3748 /* crthe */, MCK_GR32, 3 /* 0, 1 */ },
6161 { 0, 3754 /* crtl */, MCK_GR32, 3 /* 0, 1 */ },
6162 { 0, 3759 /* crtle */, MCK_GR32, 3 /* 0, 1 */ },
6163 { 0, 3765 /* crtlh */, MCK_GR32, 3 /* 0, 1 */ },
6164 { 0, 3771 /* crtne */, MCK_GR32, 3 /* 0, 1 */ },
6165 { 0, 3777 /* crtnh */, MCK_GR32, 3 /* 0, 1 */ },
6166 { 0, 3783 /* crtnhe */, MCK_GR32, 3 /* 0, 1 */ },
6167 { 0, 3790 /* crtnl */, MCK_GR32, 3 /* 0, 1 */ },
6168 { 0, 3796 /* crtnle */, MCK_GR32, 3 /* 0, 1 */ },
6169 { 0, 3803 /* crtnlh */, MCK_GR32, 3 /* 0, 1 */ },
6170 { 0, 3810 /* cs */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6171 { 0, 3810 /* cs */, MCK_GR32, 3 /* 0, 1 */ },
6172 { 0, 3818 /* csdtr */, MCK_FP64, 2 /* 1 */ },
6173 { 0, 3818 /* csdtr */, MCK_GR64, 1 /* 0 */ },
6174 { 0, 3824 /* csg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6175 { 0, 3824 /* csg */, MCK_GR64, 3 /* 0, 1 */ },
6176 { 0, 3828 /* csp */, MCK_GR128, 1 /* 0 */ },
6177 { 0, 3828 /* csp */, MCK_GR64, 2 /* 1 */ },
6178 { 0, 3832 /* cspg */, MCK_GR128, 1 /* 0 */ },
6179 { 0, 3832 /* cspg */, MCK_GR64, 2 /* 1 */ },
6180 { 0, 3837 /* csst */, MCK_BDAddr64Disp12, 3 /* 0, 1 */ },
6181 { 0, 3837 /* csst */, MCK_GR64, 4 /* 2 */ },
6182 { 0, 3842 /* csxtr */, MCK_FP128, 2 /* 1 */ },
6183 { 0, 3842 /* csxtr */, MCK_GR128, 1 /* 0 */ },
6184 { 0, 3848 /* csy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6185 { 0, 3848 /* csy */, MCK_GR32, 3 /* 0, 1 */ },
6186 { 0, 3852 /* cu12 */, MCK_GR128, 3 /* 0, 1 */ },
6187 { 0, 3852 /* cu12 */, MCK_GR128, 3 /* 0, 1 */ },
6188 { 0, 3857 /* cu14 */, MCK_GR128, 3 /* 0, 1 */ },
6189 { 0, 3857 /* cu14 */, MCK_GR128, 3 /* 0, 1 */ },
6190 { 0, 3862 /* cu21 */, MCK_GR128, 3 /* 0, 1 */ },
6191 { 0, 3862 /* cu21 */, MCK_GR128, 3 /* 0, 1 */ },
6192 { 0, 3867 /* cu24 */, MCK_GR128, 3 /* 0, 1 */ },
6193 { 0, 3867 /* cu24 */, MCK_GR128, 3 /* 0, 1 */ },
6194 { 0, 3872 /* cu41 */, MCK_GR128, 3 /* 0, 1 */ },
6195 { 0, 3877 /* cu42 */, MCK_GR128, 3 /* 0, 1 */ },
6196 { 0, 3882 /* cudtr */, MCK_FP64, 2 /* 1 */ },
6197 { 0, 3882 /* cudtr */, MCK_GR64, 1 /* 0 */ },
6198 { 0, 3888 /* cuse */, MCK_GR128, 3 /* 0, 1 */ },
6199 { 0, 3893 /* cutfu */, MCK_GR128, 3 /* 0, 1 */ },
6200 { 0, 3893 /* cutfu */, MCK_GR128, 3 /* 0, 1 */ },
6201 { 0, 3899 /* cuutf */, MCK_GR128, 3 /* 0, 1 */ },
6202 { 0, 3899 /* cuutf */, MCK_GR128, 3 /* 0, 1 */ },
6203 { 0, 3905 /* cuxtr */, MCK_FP128, 2 /* 1 */ },
6204 { 0, 3905 /* cuxtr */, MCK_GR128, 1 /* 0 */ },
6205 { 0, 3911 /* cvb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6206 { 0, 3911 /* cvb */, MCK_GR32, 1 /* 0 */ },
6207 { 0, 3915 /* cvbg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6208 { 0, 3915 /* cvbg */, MCK_GR64, 1 /* 0 */ },
6209 { 0, 3920 /* cvby */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6210 { 0, 3920 /* cvby */, MCK_GR32, 1 /* 0 */ },
6211 { 0, 3925 /* cvd */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6212 { 0, 3925 /* cvd */, MCK_GR32, 1 /* 0 */ },
6213 { 0, 3929 /* cvdg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6214 { 0, 3929 /* cvdg */, MCK_GR64, 1 /* 0 */ },
6215 { 0, 3934 /* cvdy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6216 { 0, 3934 /* cvdy */, MCK_GR32, 1 /* 0 */ },
6217 { 0, 3939 /* cxbr */, MCK_FP128, 3 /* 0, 1 */ },
6218 { 0, 3944 /* cxfbr */, MCK_FP128, 1 /* 0 */ },
6219 { 0, 3944 /* cxfbr */, MCK_GR32, 2 /* 1 */ },
6220 { Feature_FeatureFPExtension, 3950 /* cxfbra */, MCK_FP128, 1 /* 0 */ },
6221 { Feature_FeatureFPExtension, 3950 /* cxfbra */, MCK_GR32, 4 /* 2 */ },
6222 { 0, 3957 /* cxfr */, MCK_FP128, 1 /* 0 */ },
6223 { 0, 3957 /* cxfr */, MCK_GR32, 2 /* 1 */ },
6224 { Feature_FeatureFPExtension, 3962 /* cxftr */, MCK_FP128, 1 /* 0 */ },
6225 { Feature_FeatureFPExtension, 3962 /* cxftr */, MCK_GR32, 4 /* 2 */ },
6226 { 0, 3968 /* cxgbr */, MCK_FP128, 1 /* 0 */ },
6227 { 0, 3968 /* cxgbr */, MCK_GR64, 2 /* 1 */ },
6228 { Feature_FeatureFPExtension, 3974 /* cxgbra */, MCK_FP128, 1 /* 0 */ },
6229 { Feature_FeatureFPExtension, 3974 /* cxgbra */, MCK_GR64, 4 /* 2 */ },
6230 { 0, 3981 /* cxgr */, MCK_FP128, 1 /* 0 */ },
6231 { 0, 3981 /* cxgr */, MCK_GR64, 2 /* 1 */ },
6232 { 0, 3986 /* cxgtr */, MCK_FP128, 1 /* 0 */ },
6233 { 0, 3986 /* cxgtr */, MCK_GR64, 2 /* 1 */ },
6234 { Feature_FeatureFPExtension, 3992 /* cxgtra */, MCK_FP128, 1 /* 0 */ },
6235 { Feature_FeatureFPExtension, 3992 /* cxgtra */, MCK_GR64, 4 /* 2 */ },
6236 { Feature_FeatureFPExtension, 3999 /* cxlfbr */, MCK_FP128, 1 /* 0 */ },
6237 { Feature_FeatureFPExtension, 3999 /* cxlfbr */, MCK_GR32, 4 /* 2 */ },
6238 { Feature_FeatureFPExtension, 4006 /* cxlftr */, MCK_FP128, 1 /* 0 */ },
6239 { Feature_FeatureFPExtension, 4006 /* cxlftr */, MCK_GR32, 4 /* 2 */ },
6240 { Feature_FeatureFPExtension, 4013 /* cxlgbr */, MCK_FP128, 1 /* 0 */ },
6241 { Feature_FeatureFPExtension, 4013 /* cxlgbr */, MCK_GR64, 4 /* 2 */ },
6242 { Feature_FeatureFPExtension, 4020 /* cxlgtr */, MCK_FP128, 1 /* 0 */ },
6243 { Feature_FeatureFPExtension, 4020 /* cxlgtr */, MCK_GR64, 4 /* 2 */ },
6244 { Feature_FeatureDFPPackedConversion, 4027 /* cxpt */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
6245 { Feature_FeatureDFPPackedConversion, 4027 /* cxpt */, MCK_FP128, 1 /* 0 */ },
6246 { 0, 4032 /* cxr */, MCK_FP128, 3 /* 0, 1 */ },
6247 { 0, 4036 /* cxstr */, MCK_FP128, 1 /* 0 */ },
6248 { 0, 4036 /* cxstr */, MCK_GR128, 2 /* 1 */ },
6249 { 0, 4042 /* cxtr */, MCK_FP128, 3 /* 0, 1 */ },
6250 { 0, 4047 /* cxutr */, MCK_FP128, 1 /* 0 */ },
6251 { 0, 4047 /* cxutr */, MCK_GR128, 2 /* 1 */ },
6252 { Feature_FeatureDFPZonedConversion, 4053 /* cxzt */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
6253 { Feature_FeatureDFPZonedConversion, 4053 /* cxzt */, MCK_FP128, 1 /* 0 */ },
6254 { 0, 4058 /* cy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6255 { 0, 4058 /* cy */, MCK_GR32, 1 /* 0 */ },
6256 { Feature_FeatureDFPZonedConversion, 4061 /* czdt */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
6257 { Feature_FeatureDFPZonedConversion, 4061 /* czdt */, MCK_FP64, 1 /* 0 */ },
6258 { Feature_FeatureDFPZonedConversion, 4066 /* czxt */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
6259 { Feature_FeatureDFPZonedConversion, 4066 /* czxt */, MCK_FP128, 1 /* 0 */ },
6260 { 0, 4071 /* d */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6261 { 0, 4071 /* d */, MCK_GR128, 1 /* 0 */ },
6262 { 0, 4073 /* dd */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6263 { 0, 4073 /* dd */, MCK_FP64, 1 /* 0 */ },
6264 { 0, 4076 /* ddb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6265 { 0, 4076 /* ddb */, MCK_FP64, 1 /* 0 */ },
6266 { 0, 4080 /* ddbr */, MCK_FP64, 3 /* 0, 1 */ },
6267 { 0, 4085 /* ddr */, MCK_FP64, 3 /* 0, 1 */ },
6268 { 0, 4089 /* ddtr */, MCK_FP64, 7 /* 0, 1, 2 */ },
6269 { Feature_FeatureFPExtension, 4094 /* ddtra */, MCK_FP64, 7 /* 0, 1, 2 */ },
6270 { 0, 4100 /* de */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6271 { 0, 4100 /* de */, MCK_FP32, 1 /* 0 */ },
6272 { 0, 4103 /* deb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6273 { 0, 4103 /* deb */, MCK_FP32, 1 /* 0 */ },
6274 { 0, 4107 /* debr */, MCK_FP32, 3 /* 0, 1 */ },
6275 { 0, 4112 /* der */, MCK_FP32, 3 /* 0, 1 */ },
6276 { 0, 4116 /* diag */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6277 { 0, 4116 /* diag */, MCK_GR32, 3 /* 0, 1 */ },
6278 { 0, 4121 /* didbr */, MCK_FP64, 7 /* 0, 1, 2 */ },
6279 { 0, 4127 /* diebr */, MCK_FP32, 7 /* 0, 1, 2 */ },
6280 { 0, 4133 /* dl */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6281 { 0, 4133 /* dl */, MCK_GR128, 1 /* 0 */ },
6282 { 0, 4136 /* dlg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6283 { 0, 4136 /* dlg */, MCK_GR128, 1 /* 0 */ },
6284 { 0, 4140 /* dlgr */, MCK_GR128, 1 /* 0 */ },
6285 { 0, 4140 /* dlgr */, MCK_GR64, 2 /* 1 */ },
6286 { 0, 4145 /* dlr */, MCK_GR128, 1 /* 0 */ },
6287 { 0, 4145 /* dlr */, MCK_GR32, 2 /* 1 */ },
6288 { 0, 4149 /* dp */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
6289 { 0, 4152 /* dr */, MCK_GR128, 1 /* 0 */ },
6290 { 0, 4152 /* dr */, MCK_GR32, 2 /* 1 */ },
6291 { 0, 4155 /* dsg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6292 { 0, 4155 /* dsg */, MCK_GR128, 1 /* 0 */ },
6293 { 0, 4159 /* dsgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6294 { 0, 4159 /* dsgf */, MCK_GR128, 1 /* 0 */ },
6295 { 0, 4164 /* dsgfr */, MCK_GR128, 1 /* 0 */ },
6296 { 0, 4164 /* dsgfr */, MCK_GR32, 2 /* 1 */ },
6297 { 0, 4170 /* dsgr */, MCK_GR128, 1 /* 0 */ },
6298 { 0, 4170 /* dsgr */, MCK_GR64, 2 /* 1 */ },
6299 { 0, 4175 /* dxbr */, MCK_FP128, 3 /* 0, 1 */ },
6300 { 0, 4180 /* dxr */, MCK_FP128, 3 /* 0, 1 */ },
6301 { 0, 4184 /* dxtr */, MCK_FP128, 7 /* 0, 1, 2 */ },
6302 { Feature_FeatureFPExtension, 4189 /* dxtra */, MCK_FP128, 7 /* 0, 1, 2 */ },
6303 { 0, 4195 /* ear */, MCK_AR32, 2 /* 1 */ },
6304 { 0, 4195 /* ear */, MCK_GR32, 1 /* 0 */ },
6305 { 0, 4199 /* ecag */, MCK_BDAddr32Disp20, 4 /* 2 */ },
6306 { 0, 4199 /* ecag */, MCK_GR64, 3 /* 0, 1 */ },
6307 { 0, 4204 /* ecctr */, MCK_GR64, 3 /* 0, 1 */ },
6308 { 0, 4210 /* ecpga */, MCK_GR32, 1 /* 0 */ },
6309 { 0, 4210 /* ecpga */, MCK_GR64, 2 /* 1 */ },
6310 { 0, 4216 /* ectg */, MCK_BDAddr64Disp12, 3 /* 0, 1 */ },
6311 { 0, 4216 /* ectg */, MCK_GR64, 4 /* 2 */ },
6312 { 0, 4221 /* ed */, MCK_BDAddr64Disp12, 2 /* 1 */ },
6313 { 0, 4221 /* ed */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
6314 { 0, 4224 /* edmk */, MCK_BDAddr64Disp12, 2 /* 1 */ },
6315 { 0, 4224 /* edmk */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
6316 { 0, 4229 /* eedtr */, MCK_FP64, 3 /* 0, 1 */ },
6317 { 0, 4235 /* eextr */, MCK_FP128, 3 /* 0, 1 */ },
6318 { 0, 4241 /* efpc */, MCK_GR32, 1 /* 0 */ },
6319 { 0, 4246 /* epair */, MCK_GR64, 1 /* 0 */ },
6320 { 0, 4252 /* epar */, MCK_GR32, 1 /* 0 */ },
6321 { 0, 4257 /* epctr */, MCK_GR64, 3 /* 0, 1 */ },
6322 { 0, 4263 /* epsw */, MCK_GR32, 3 /* 0, 1 */ },
6323 { 0, 4268 /* ereg */, MCK_GR32, 3 /* 0, 1 */ },
6324 { 0, 4273 /* eregg */, MCK_GR64, 3 /* 0, 1 */ },
6325 { 0, 4279 /* esair */, MCK_GR64, 1 /* 0 */ },
6326 { 0, 4285 /* esar */, MCK_GR32, 1 /* 0 */ },
6327 { 0, 4290 /* esdtr */, MCK_FP64, 3 /* 0, 1 */ },
6328 { 0, 4296 /* esea */, MCK_GR32, 1 /* 0 */ },
6329 { 0, 4301 /* esta */, MCK_GR128, 1 /* 0 */ },
6330 { 0, 4301 /* esta */, MCK_GR32, 2 /* 1 */ },
6331 { 0, 4306 /* esxtr */, MCK_FP128, 3 /* 0, 1 */ },
6332 { Feature_FeatureTransactionalExecution, 4312 /* etnd */, MCK_GR32, 1 /* 0 */ },
6333 { 0, 4317 /* ex */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6334 { 0, 4317 /* ex */, MCK_GR64, 1 /* 0 */ },
6335 { 0, 4320 /* exrl */, MCK_GR64, 1 /* 0 */ },
6336 { 0, 4320 /* exrl */, MCK_PCRel32, 2 /* 1 */ },
6337 { 0, 4325 /* fidbr */, MCK_FP64, 5 /* 0, 2 */ },
6338 { Feature_FeatureFPExtension, 4331 /* fidbra */, MCK_FP64, 5 /* 0, 2 */ },
6339 { 0, 4338 /* fidr */, MCK_FP64, 3 /* 0, 1 */ },
6340 { 0, 4343 /* fidtr */, MCK_FP64, 5 /* 0, 2 */ },
6341 { 0, 4349 /* fiebr */, MCK_FP32, 5 /* 0, 2 */ },
6342 { Feature_FeatureFPExtension, 4355 /* fiebra */, MCK_FP32, 5 /* 0, 2 */ },
6343 { 0, 4362 /* fier */, MCK_FP32, 3 /* 0, 1 */ },
6344 { 0, 4367 /* fixbr */, MCK_FP128, 5 /* 0, 2 */ },
6345 { Feature_FeatureFPExtension, 4373 /* fixbra */, MCK_FP128, 5 /* 0, 2 */ },
6346 { 0, 4380 /* fixr */, MCK_FP128, 3 /* 0, 1 */ },
6347 { 0, 4385 /* fixtr */, MCK_FP128, 5 /* 0, 2 */ },
6348 { 0, 4391 /* flogr */, MCK_GR128, 1 /* 0 */ },
6349 { 0, 4391 /* flogr */, MCK_GR64, 2 /* 1 */ },
6350 { 0, 4397 /* hdr */, MCK_FP64, 3 /* 0, 1 */ },
6351 { 0, 4401 /* her */, MCK_FP32, 3 /* 0, 1 */ },
6352 { 0, 4410 /* iac */, MCK_GR32, 1 /* 0 */ },
6353 { 0, 4414 /* ic */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6354 { 0, 4414 /* ic */, MCK_GR64, 1 /* 0 */ },
6355 { 0, 4417 /* icm */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6356 { 0, 4417 /* icm */, MCK_GR32, 1 /* 0 */ },
6357 { 0, 4421 /* icmh */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6358 { 0, 4421 /* icmh */, MCK_GRH32, 1 /* 0 */ },
6359 { 0, 4426 /* icmy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6360 { 0, 4426 /* icmy */, MCK_GR32, 1 /* 0 */ },
6361 { 0, 4431 /* icy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6362 { 0, 4431 /* icy */, MCK_GR64, 1 /* 0 */ },
6363 { 0, 4435 /* idte */, MCK_GR64, 7 /* 0, 1, 2 */ },
6364 { 0, 4435 /* idte */, MCK_GR64, 7 /* 0, 1, 2 */ },
6365 { 0, 4440 /* iedtr */, MCK_FP64, 7 /* 0, 1, 2 */ },
6366 { 0, 4446 /* iextr */, MCK_FP128, 7 /* 0, 1, 2 */ },
6367 { 0, 4452 /* iihf */, MCK_GRH32, 1 /* 0 */ },
6368 { 0, 4457 /* iihh */, MCK_GRH32, 1 /* 0 */ },
6369 { 0, 4462 /* iihl */, MCK_GRH32, 1 /* 0 */ },
6370 { 0, 4467 /* iilf */, MCK_GR32, 1 /* 0 */ },
6371 { 0, 4472 /* iilh */, MCK_GR32, 1 /* 0 */ },
6372 { 0, 4477 /* iill */, MCK_GR32, 1 /* 0 */ },
6373 { 0, 4486 /* ipm */, MCK_GR32, 1 /* 0 */ },
6374 { 0, 4490 /* ipte */, MCK_GR32, 2 /* 1 */ },
6375 { 0, 4490 /* ipte */, MCK_GR64, 1 /* 0 */ },
6376 { 0, 4490 /* ipte */, MCK_GR32, 6 /* 1, 2 */ },
6377 { 0, 4490 /* ipte */, MCK_GR64, 1 /* 0 */ },
6378 { 0, 4490 /* ipte */, MCK_GR32, 6 /* 1, 2 */ },
6379 { 0, 4490 /* ipte */, MCK_GR64, 1 /* 0 */ },
6380 { Feature_FeatureInsertReferenceBitsMultiple, 4495 /* irbm */, MCK_GR64, 3 /* 0, 1 */ },
6381 { 0, 4500 /* iske */, MCK_GR32, 1 /* 0 */ },
6382 { 0, 4500 /* iske */, MCK_GR64, 2 /* 1 */ },
6383 { 0, 4505 /* ivsk */, MCK_GR32, 1 /* 0 */ },
6384 { 0, 4505 /* ivsk */, MCK_GR64, 2 /* 1 */ },
6385 { 0, 4510 /* j */, MCK_PCRel16, 1 /* 0 */ },
6386 { 0, 4512 /* je */, MCK_PCRel16, 1 /* 0 */ },
6387 { 0, 4515 /* jg */, MCK_PCRel32, 1 /* 0 */ },
6388 { 0, 4518 /* jge */, MCK_PCRel32, 1 /* 0 */ },
6389 { 0, 4522 /* jgh */, MCK_PCRel32, 1 /* 0 */ },
6390 { 0, 4526 /* jghe */, MCK_PCRel32, 1 /* 0 */ },
6391 { 0, 4531 /* jgl */, MCK_PCRel32, 1 /* 0 */ },
6392 { 0, 4535 /* jgle */, MCK_PCRel32, 1 /* 0 */ },
6393 { 0, 4540 /* jglh */, MCK_PCRel32, 1 /* 0 */ },
6394 { 0, 4545 /* jgm */, MCK_PCRel32, 1 /* 0 */ },
6395 { 0, 4549 /* jgne */, MCK_PCRel32, 1 /* 0 */ },
6396 { 0, 4554 /* jgnh */, MCK_PCRel32, 1 /* 0 */ },
6397 { 0, 4559 /* jgnhe */, MCK_PCRel32, 1 /* 0 */ },
6398 { 0, 4565 /* jgnl */, MCK_PCRel32, 1 /* 0 */ },
6399 { 0, 4570 /* jgnle */, MCK_PCRel32, 1 /* 0 */ },
6400 { 0, 4576 /* jgnlh */, MCK_PCRel32, 1 /* 0 */ },
6401 { 0, 4582 /* jgnm */, MCK_PCRel32, 1 /* 0 */ },
6402 { 0, 4587 /* jgno */, MCK_PCRel32, 1 /* 0 */ },
6403 { 0, 4592 /* jgnp */, MCK_PCRel32, 1 /* 0 */ },
6404 { 0, 4597 /* jgnz */, MCK_PCRel32, 1 /* 0 */ },
6405 { 0, 4602 /* jgo */, MCK_PCRel32, 1 /* 0 */ },
6406 { 0, 4606 /* jgp */, MCK_PCRel32, 1 /* 0 */ },
6407 { 0, 4610 /* jgz */, MCK_PCRel32, 1 /* 0 */ },
6408 { 0, 4614 /* jh */, MCK_PCRel16, 1 /* 0 */ },
6409 { 0, 4617 /* jhe */, MCK_PCRel16, 1 /* 0 */ },
6410 { 0, 4621 /* jl */, MCK_PCRel16, 1 /* 0 */ },
6411 { 0, 4624 /* jle */, MCK_PCRel16, 1 /* 0 */ },
6412 { 0, 4628 /* jlh */, MCK_PCRel16, 1 /* 0 */ },
6413 { 0, 4632 /* jm */, MCK_PCRel16, 1 /* 0 */ },
6414 { 0, 4635 /* jne */, MCK_PCRel16, 1 /* 0 */ },
6415 { 0, 4639 /* jnh */, MCK_PCRel16, 1 /* 0 */ },
6416 { 0, 4643 /* jnhe */, MCK_PCRel16, 1 /* 0 */ },
6417 { 0, 4648 /* jnl */, MCK_PCRel16, 1 /* 0 */ },
6418 { 0, 4652 /* jnle */, MCK_PCRel16, 1 /* 0 */ },
6419 { 0, 4657 /* jnlh */, MCK_PCRel16, 1 /* 0 */ },
6420 { 0, 4662 /* jnm */, MCK_PCRel16, 1 /* 0 */ },
6421 { 0, 4666 /* jno */, MCK_PCRel16, 1 /* 0 */ },
6422 { 0, 4670 /* jnp */, MCK_PCRel16, 1 /* 0 */ },
6423 { 0, 4674 /* jnz */, MCK_PCRel16, 1 /* 0 */ },
6424 { 0, 4678 /* jo */, MCK_PCRel16, 1 /* 0 */ },
6425 { 0, 4681 /* jp */, MCK_PCRel16, 1 /* 0 */ },
6426 { 0, 4684 /* jz */, MCK_PCRel16, 1 /* 0 */ },
6427 { 0, 4687 /* kdb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6428 { 0, 4687 /* kdb */, MCK_FP64, 1 /* 0 */ },
6429 { 0, 4691 /* kdbr */, MCK_FP64, 3 /* 0, 1 */ },
6430 { 0, 4696 /* kdtr */, MCK_FP64, 3 /* 0, 1 */ },
6431 { 0, 4701 /* keb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6432 { 0, 4701 /* keb */, MCK_FP32, 1 /* 0 */ },
6433 { 0, 4705 /* kebr */, MCK_FP32, 3 /* 0, 1 */ },
6434 { 0, 4710 /* kimd */, MCK_GR128, 2 /* 1 */ },
6435 { 0, 4710 /* kimd */, MCK_GR64, 1 /* 0 */ },
6436 { 0, 4715 /* klmd */, MCK_GR128, 2 /* 1 */ },
6437 { 0, 4715 /* klmd */, MCK_GR64, 1 /* 0 */ },
6438 { 0, 4720 /* km */, MCK_GR128, 3 /* 0, 1 */ },
6439 { Feature_FeatureMessageSecurityAssist8, 4723 /* kma */, MCK_GR128, 7 /* 0, 1, 2 */ },
6440 { 0, 4727 /* kmac */, MCK_GR128, 2 /* 1 */ },
6441 { 0, 4727 /* kmac */, MCK_GR64, 1 /* 0 */ },
6442 { 0, 4732 /* kmc */, MCK_GR128, 3 /* 0, 1 */ },
6443 { Feature_FeatureMessageSecurityAssist4, 4736 /* kmctr */, MCK_GR128, 7 /* 0, 1, 2 */ },
6444 { Feature_FeatureMessageSecurityAssist4, 4742 /* kmf */, MCK_GR128, 3 /* 0, 1 */ },
6445 { Feature_FeatureMessageSecurityAssist4, 4746 /* kmo */, MCK_GR128, 3 /* 0, 1 */ },
6446 { 0, 4750 /* kxbr */, MCK_FP128, 3 /* 0, 1 */ },
6447 { 0, 4755 /* kxtr */, MCK_FP128, 3 /* 0, 1 */ },
6448 { 0, 4760 /* l */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6449 { 0, 4760 /* l */, MCK_GR32, 1 /* 0 */ },
6450 { 0, 4762 /* la */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6451 { 0, 4762 /* la */, MCK_GR64, 1 /* 0 */ },
6452 { Feature_FeatureInterlockedAccess1, 4765 /* laa */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6453 { Feature_FeatureInterlockedAccess1, 4765 /* laa */, MCK_GR32, 3 /* 0, 1 */ },
6454 { Feature_FeatureInterlockedAccess1, 4769 /* laag */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6455 { Feature_FeatureInterlockedAccess1, 4769 /* laag */, MCK_GR64, 3 /* 0, 1 */ },
6456 { Feature_FeatureInterlockedAccess1, 4774 /* laal */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6457 { Feature_FeatureInterlockedAccess1, 4774 /* laal */, MCK_GR32, 3 /* 0, 1 */ },
6458 { Feature_FeatureInterlockedAccess1, 4779 /* laalg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6459 { Feature_FeatureInterlockedAccess1, 4779 /* laalg */, MCK_GR64, 3 /* 0, 1 */ },
6460 { 0, 4785 /* lae */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6461 { 0, 4785 /* lae */, MCK_GR64, 1 /* 0 */ },
6462 { 0, 4789 /* laey */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6463 { 0, 4789 /* laey */, MCK_GR64, 1 /* 0 */ },
6464 { 0, 4794 /* lam */, MCK_AR32, 3 /* 0, 1 */ },
6465 { 0, 4794 /* lam */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6466 { 0, 4798 /* lamy */, MCK_AR32, 3 /* 0, 1 */ },
6467 { 0, 4798 /* lamy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6468 { Feature_FeatureInterlockedAccess1, 4803 /* lan */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6469 { Feature_FeatureInterlockedAccess1, 4803 /* lan */, MCK_GR32, 3 /* 0, 1 */ },
6470 { Feature_FeatureInterlockedAccess1, 4807 /* lang */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6471 { Feature_FeatureInterlockedAccess1, 4807 /* lang */, MCK_GR64, 3 /* 0, 1 */ },
6472 { Feature_FeatureInterlockedAccess1, 4812 /* lao */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6473 { Feature_FeatureInterlockedAccess1, 4812 /* lao */, MCK_GR32, 3 /* 0, 1 */ },
6474 { Feature_FeatureInterlockedAccess1, 4816 /* laog */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6475 { Feature_FeatureInterlockedAccess1, 4816 /* laog */, MCK_GR64, 3 /* 0, 1 */ },
6476 { 0, 4821 /* larl */, MCK_GR64, 1 /* 0 */ },
6477 { 0, 4821 /* larl */, MCK_PCRel32, 2 /* 1 */ },
6478 { 0, 4826 /* lasp */, MCK_BDAddr64Disp12, 3 /* 0, 1 */ },
6479 { Feature_FeatureLoadAndTrap, 4831 /* lat */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6480 { Feature_FeatureLoadAndTrap, 4831 /* lat */, MCK_GR32, 1 /* 0 */ },
6481 { Feature_FeatureInterlockedAccess1, 4835 /* lax */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6482 { Feature_FeatureInterlockedAccess1, 4835 /* lax */, MCK_GR32, 3 /* 0, 1 */ },
6483 { Feature_FeatureInterlockedAccess1, 4839 /* laxg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6484 { Feature_FeatureInterlockedAccess1, 4839 /* laxg */, MCK_GR64, 3 /* 0, 1 */ },
6485 { 0, 4844 /* lay */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6486 { 0, 4844 /* lay */, MCK_GR64, 1 /* 0 */ },
6487 { 0, 4848 /* lb */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6488 { 0, 4848 /* lb */, MCK_GR32, 1 /* 0 */ },
6489 { Feature_FeatureHighWord, 4851 /* lbh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6490 { Feature_FeatureHighWord, 4851 /* lbh */, MCK_GRH32, 1 /* 0 */ },
6491 { 0, 4855 /* lbr */, MCK_GR32, 3 /* 0, 1 */ },
6492 { Feature_FeatureVector, 4859 /* lcbb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6493 { Feature_FeatureVector, 4859 /* lcbb */, MCK_GR32, 1 /* 0 */ },
6494 { 0, 4864 /* lcctl */, MCK_BDAddr64Disp12, 1 /* 0 */ },
6495 { 0, 4870 /* lcdbr */, MCK_FP64, 3 /* 0, 1 */ },
6496 { 0, 4876 /* lcdfr */, MCK_FP64, 3 /* 0, 1 */ },
6497 { 0, 4882 /* lcdr */, MCK_FP64, 3 /* 0, 1 */ },
6498 { 0, 4887 /* lcebr */, MCK_FP32, 3 /* 0, 1 */ },
6499 { 0, 4893 /* lcer */, MCK_FP32, 3 /* 0, 1 */ },
6500 { 0, 4898 /* lcgfr */, MCK_GR32, 2 /* 1 */ },
6501 { 0, 4898 /* lcgfr */, MCK_GR64, 1 /* 0 */ },
6502 { 0, 4904 /* lcgr */, MCK_GR64, 3 /* 0, 1 */ },
6503 { 0, 4909 /* lcr */, MCK_GR32, 3 /* 0, 1 */ },
6504 { 0, 4913 /* lctl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6505 { 0, 4913 /* lctl */, MCK_CR64, 3 /* 0, 1 */ },
6506 { 0, 4918 /* lctlg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6507 { 0, 4918 /* lctlg */, MCK_CR64, 3 /* 0, 1 */ },
6508 { 0, 4924 /* lcxbr */, MCK_FP128, 3 /* 0, 1 */ },
6509 { 0, 4930 /* lcxr */, MCK_FP128, 3 /* 0, 1 */ },
6510 { 0, 4935 /* ld */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6511 { 0, 4935 /* ld */, MCK_FP64, 1 /* 0 */ },
6512 { 0, 4938 /* lde */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6513 { 0, 4938 /* lde */, MCK_FP64, 1 /* 0 */ },
6514 { 0, 4942 /* ldeb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6515 { 0, 4942 /* ldeb */, MCK_FP64, 1 /* 0 */ },
6516 { 0, 4947 /* ldebr */, MCK_FP32, 2 /* 1 */ },
6517 { 0, 4947 /* ldebr */, MCK_FP64, 1 /* 0 */ },
6518 { 0, 4953 /* lder */, MCK_FP32, 2 /* 1 */ },
6519 { 0, 4953 /* lder */, MCK_FP64, 1 /* 0 */ },
6520 { 0, 4958 /* ldetr */, MCK_FP32, 2 /* 1 */ },
6521 { 0, 4958 /* ldetr */, MCK_FP64, 1 /* 0 */ },
6522 { 0, 4964 /* ldgr */, MCK_FP64, 1 /* 0 */ },
6523 { 0, 4964 /* ldgr */, MCK_GR64, 2 /* 1 */ },
6524 { 0, 4969 /* ldr */, MCK_FP64, 3 /* 0, 1 */ },
6525 { 0, 4973 /* ldxbr */, MCK_FP128, 3 /* 0, 1 */ },
6526 { Feature_FeatureFPExtension, 4979 /* ldxbra */, MCK_FP128, 5 /* 0, 2 */ },
6527 { 0, 4986 /* ldxr */, MCK_FP128, 2 /* 1 */ },
6528 { 0, 4986 /* ldxr */, MCK_FP64, 1 /* 0 */ },
6529 { 0, 4991 /* ldxtr */, MCK_FP128, 5 /* 0, 2 */ },
6530 { 0, 4997 /* ldy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6531 { 0, 4997 /* ldy */, MCK_FP64, 1 /* 0 */ },
6532 { 0, 5001 /* le */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6533 { 0, 5001 /* le */, MCK_FP32, 1 /* 0 */ },
6534 { 0, 5004 /* ledbr */, MCK_FP32, 1 /* 0 */ },
6535 { 0, 5004 /* ledbr */, MCK_FP64, 2 /* 1 */ },
6536 { Feature_FeatureFPExtension, 5010 /* ledbra */, MCK_FP32, 1 /* 0 */ },
6537 { Feature_FeatureFPExtension, 5010 /* ledbra */, MCK_FP64, 4 /* 2 */ },
6538 { 0, 5017 /* ledr */, MCK_FP32, 1 /* 0 */ },
6539 { 0, 5017 /* ledr */, MCK_FP64, 2 /* 1 */ },
6540 { 0, 5022 /* ledtr */, MCK_FP32, 1 /* 0 */ },
6541 { 0, 5022 /* ledtr */, MCK_FP64, 4 /* 2 */ },
6542 { 0, 5028 /* ler */, MCK_FP32, 3 /* 0, 1 */ },
6543 { 0, 5032 /* lexbr */, MCK_FP128, 3 /* 0, 1 */ },
6544 { Feature_FeatureFPExtension, 5038 /* lexbra */, MCK_FP128, 5 /* 0, 2 */ },
6545 { 0, 5045 /* lexr */, MCK_FP128, 2 /* 1 */ },
6546 { 0, 5045 /* lexr */, MCK_FP32, 1 /* 0 */ },
6547 { 0, 5050 /* ley */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6548 { 0, 5050 /* ley */, MCK_FP32, 1 /* 0 */ },
6549 { 0, 5054 /* lfas */, MCK_BDAddr64Disp12, 1 /* 0 */ },
6550 { Feature_FeatureHighWord, 5059 /* lfh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6551 { Feature_FeatureHighWord, 5059 /* lfh */, MCK_GRH32, 1 /* 0 */ },
6552 { Feature_FeatureLoadAndTrap, 5063 /* lfhat */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6553 { Feature_FeatureLoadAndTrap, 5063 /* lfhat */, MCK_GRH32, 1 /* 0 */ },
6554 { 0, 5069 /* lfpc */, MCK_BDAddr64Disp12, 1 /* 0 */ },
6555 { 0, 5074 /* lg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6556 { 0, 5074 /* lg */, MCK_GR64, 1 /* 0 */ },
6557 { Feature_FeatureLoadAndTrap, 5077 /* lgat */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6558 { Feature_FeatureLoadAndTrap, 5077 /* lgat */, MCK_GR64, 1 /* 0 */ },
6559 { 0, 5082 /* lgb */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6560 { 0, 5082 /* lgb */, MCK_GR64, 1 /* 0 */ },
6561 { 0, 5086 /* lgbr */, MCK_GR64, 3 /* 0, 1 */ },
6562 { 0, 5091 /* lgdr */, MCK_FP64, 2 /* 1 */ },
6563 { 0, 5091 /* lgdr */, MCK_GR64, 1 /* 0 */ },
6564 { 0, 5096 /* lgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6565 { 0, 5096 /* lgf */, MCK_GR64, 1 /* 0 */ },
6566 { 0, 5100 /* lgfi */, MCK_GR64, 1 /* 0 */ },
6567 { 0, 5105 /* lgfr */, MCK_GR32, 2 /* 1 */ },
6568 { 0, 5105 /* lgfr */, MCK_GR64, 1 /* 0 */ },
6569 { 0, 5110 /* lgfrl */, MCK_GR64, 1 /* 0 */ },
6570 { 0, 5110 /* lgfrl */, MCK_PCRel32, 2 /* 1 */ },
6571 { Feature_FeatureGuardedStorage, 5116 /* lgg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6572 { Feature_FeatureGuardedStorage, 5116 /* lgg */, MCK_GR64, 1 /* 0 */ },
6573 { 0, 5120 /* lgh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6574 { 0, 5120 /* lgh */, MCK_GR64, 1 /* 0 */ },
6575 { 0, 5124 /* lghi */, MCK_GR64, 1 /* 0 */ },
6576 { 0, 5129 /* lghr */, MCK_GR64, 3 /* 0, 1 */ },
6577 { 0, 5134 /* lghrl */, MCK_GR64, 1 /* 0 */ },
6578 { 0, 5134 /* lghrl */, MCK_PCRel32, 2 /* 1 */ },
6579 { 0, 5140 /* lgr */, MCK_GR64, 3 /* 0, 1 */ },
6580 { 0, 5144 /* lgrl */, MCK_GR64, 1 /* 0 */ },
6581 { 0, 5144 /* lgrl */, MCK_PCRel32, 2 /* 1 */ },
6582 { Feature_FeatureGuardedStorage, 5149 /* lgsc */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6583 { Feature_FeatureGuardedStorage, 5149 /* lgsc */, MCK_GR64, 1 /* 0 */ },
6584 { 0, 5154 /* lh */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6585 { 0, 5154 /* lh */, MCK_GR32, 1 /* 0 */ },
6586 { Feature_FeatureHighWord, 5157 /* lhh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6587 { Feature_FeatureHighWord, 5157 /* lhh */, MCK_GRH32, 1 /* 0 */ },
6588 { 0, 5161 /* lhi */, MCK_GR32, 1 /* 0 */ },
6589 { 0, 5165 /* lhr */, MCK_GR32, 3 /* 0, 1 */ },
6590 { 0, 5169 /* lhrl */, MCK_GR32, 1 /* 0 */ },
6591 { 0, 5169 /* lhrl */, MCK_PCRel32, 2 /* 1 */ },
6592 { 0, 5174 /* lhy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6593 { 0, 5174 /* lhy */, MCK_GR32, 1 /* 0 */ },
6594 { 0, 5178 /* llc */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6595 { 0, 5178 /* llc */, MCK_GR32, 1 /* 0 */ },
6596 { Feature_FeatureHighWord, 5182 /* llch */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6597 { Feature_FeatureHighWord, 5182 /* llch */, MCK_GRH32, 1 /* 0 */ },
6598 { 0, 5187 /* llcr */, MCK_GR32, 3 /* 0, 1 */ },
6599 { 0, 5192 /* llgc */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6600 { 0, 5192 /* llgc */, MCK_GR64, 1 /* 0 */ },
6601 { 0, 5197 /* llgcr */, MCK_GR64, 3 /* 0, 1 */ },
6602 { 0, 5203 /* llgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6603 { 0, 5203 /* llgf */, MCK_GR64, 1 /* 0 */ },
6604 { Feature_FeatureLoadAndTrap, 5208 /* llgfat */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6605 { Feature_FeatureLoadAndTrap, 5208 /* llgfat */, MCK_GR64, 1 /* 0 */ },
6606 { 0, 5215 /* llgfr */, MCK_GR32, 2 /* 1 */ },
6607 { 0, 5215 /* llgfr */, MCK_GR64, 1 /* 0 */ },
6608 { 0, 5221 /* llgfrl */, MCK_GR64, 1 /* 0 */ },
6609 { 0, 5221 /* llgfrl */, MCK_PCRel32, 2 /* 1 */ },
6610 { Feature_FeatureGuardedStorage, 5228 /* llgfsg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6611 { Feature_FeatureGuardedStorage, 5228 /* llgfsg */, MCK_GR64, 1 /* 0 */ },
6612 { 0, 5235 /* llgh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6613 { 0, 5235 /* llgh */, MCK_GR64, 1 /* 0 */ },
6614 { 0, 5240 /* llghr */, MCK_GR64, 3 /* 0, 1 */ },
6615 { 0, 5246 /* llghrl */, MCK_GR64, 1 /* 0 */ },
6616 { 0, 5246 /* llghrl */, MCK_PCRel32, 2 /* 1 */ },
6617 { 0, 5253 /* llgt */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6618 { 0, 5253 /* llgt */, MCK_GR64, 1 /* 0 */ },
6619 { Feature_FeatureLoadAndTrap, 5258 /* llgtat */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6620 { Feature_FeatureLoadAndTrap, 5258 /* llgtat */, MCK_GR64, 1 /* 0 */ },
6621 { 0, 5265 /* llgtr */, MCK_GR64, 3 /* 0, 1 */ },
6622 { 0, 5271 /* llh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6623 { 0, 5271 /* llh */, MCK_GR32, 1 /* 0 */ },
6624 { Feature_FeatureHighWord, 5275 /* llhh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6625 { Feature_FeatureHighWord, 5275 /* llhh */, MCK_GRH32, 1 /* 0 */ },
6626 { 0, 5280 /* llhr */, MCK_GR32, 3 /* 0, 1 */ },
6627 { 0, 5285 /* llhrl */, MCK_GR32, 1 /* 0 */ },
6628 { 0, 5285 /* llhrl */, MCK_PCRel32, 2 /* 1 */ },
6629 { 0, 5291 /* llihf */, MCK_GR64, 1 /* 0 */ },
6630 { 0, 5297 /* llihh */, MCK_GR64, 1 /* 0 */ },
6631 { 0, 5303 /* llihl */, MCK_GR64, 1 /* 0 */ },
6632 { 0, 5309 /* llilf */, MCK_GR64, 1 /* 0 */ },
6633 { 0, 5315 /* llilh */, MCK_GR64, 1 /* 0 */ },
6634 { 0, 5321 /* llill */, MCK_GR64, 1 /* 0 */ },
6635 { Feature_FeatureLoadAndZeroRightmostByte, 5327 /* llzrgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6636 { Feature_FeatureLoadAndZeroRightmostByte, 5327 /* llzrgf */, MCK_GR64, 1 /* 0 */ },
6637 { 0, 5334 /* lm */, MCK_BDAddr64Disp12, 4 /* 2 */ },
6638 { 0, 5334 /* lm */, MCK_GR32, 3 /* 0, 1 */ },
6639 { 0, 5337 /* lmd */, MCK_BDAddr64Disp12, 12 /* 2, 3 */ },
6640 { 0, 5337 /* lmd */, MCK_GR64, 3 /* 0, 1 */ },
6641 { 0, 5341 /* lmg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6642 { 0, 5341 /* lmg */, MCK_GR64, 3 /* 0, 1 */ },
6643 { 0, 5345 /* lmh */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6644 { 0, 5345 /* lmh */, MCK_GRH32, 3 /* 0, 1 */ },
6645 { 0, 5349 /* lmy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
6646 { 0, 5349 /* lmy */, MCK_GR32, 3 /* 0, 1 */ },
6647 { 0, 5353 /* lndbr */, MCK_FP64, 3 /* 0, 1 */ },
6648 { 0, 5359 /* lndfr */, MCK_FP64, 3 /* 0, 1 */ },
6649 { 0, 5365 /* lndr */, MCK_FP64, 3 /* 0, 1 */ },
6650 { 0, 5370 /* lnebr */, MCK_FP32, 3 /* 0, 1 */ },
6651 { 0, 5376 /* lner */, MCK_FP32, 3 /* 0, 1 */ },
6652 { 0, 5381 /* lngfr */, MCK_GR32, 2 /* 1 */ },
6653 { 0, 5381 /* lngfr */, MCK_GR64, 1 /* 0 */ },
6654 { 0, 5387 /* lngr */, MCK_GR64, 3 /* 0, 1 */ },
6655 { 0, 5392 /* lnr */, MCK_GR32, 3 /* 0, 1 */ },
6656 { 0, 5396 /* lnxbr */, MCK_FP128, 3 /* 0, 1 */ },
6657 { 0, 5402 /* lnxr */, MCK_FP128, 3 /* 0, 1 */ },
6658 { Feature_FeatureLoadStoreOnCond, 5407 /* loc */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6659 { Feature_FeatureLoadStoreOnCond, 5407 /* loc */, MCK_GR32, 1 /* 0 */ },
6660 { Feature_FeatureLoadStoreOnCond, 5411 /* loce */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6661 { Feature_FeatureLoadStoreOnCond, 5411 /* loce */, MCK_GR32, 1 /* 0 */ },
6662 { Feature_FeatureLoadStoreOnCond2, 5416 /* locfh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6663 { Feature_FeatureLoadStoreOnCond2, 5416 /* locfh */, MCK_GRH32, 1 /* 0 */ },
6664 { Feature_FeatureLoadStoreOnCond2, 5422 /* locfhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6665 { Feature_FeatureLoadStoreOnCond2, 5422 /* locfhe */, MCK_GRH32, 1 /* 0 */ },
6666 { Feature_FeatureLoadStoreOnCond2, 5429 /* locfhh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6667 { Feature_FeatureLoadStoreOnCond2, 5429 /* locfhh */, MCK_GRH32, 1 /* 0 */ },
6668 { Feature_FeatureLoadStoreOnCond2, 5436 /* locfhhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6669 { Feature_FeatureLoadStoreOnCond2, 5436 /* locfhhe */, MCK_GRH32, 1 /* 0 */ },
6670 { Feature_FeatureLoadStoreOnCond2, 5444 /* locfhl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6671 { Feature_FeatureLoadStoreOnCond2, 5444 /* locfhl */, MCK_GRH32, 1 /* 0 */ },
6672 { Feature_FeatureLoadStoreOnCond2, 5451 /* locfhle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6673 { Feature_FeatureLoadStoreOnCond2, 5451 /* locfhle */, MCK_GRH32, 1 /* 0 */ },
6674 { Feature_FeatureLoadStoreOnCond2, 5459 /* locfhlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6675 { Feature_FeatureLoadStoreOnCond2, 5459 /* locfhlh */, MCK_GRH32, 1 /* 0 */ },
6676 { Feature_FeatureLoadStoreOnCond2, 5467 /* locfhm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6677 { Feature_FeatureLoadStoreOnCond2, 5467 /* locfhm */, MCK_GRH32, 1 /* 0 */ },
6678 { Feature_FeatureLoadStoreOnCond2, 5474 /* locfhne */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6679 { Feature_FeatureLoadStoreOnCond2, 5474 /* locfhne */, MCK_GRH32, 1 /* 0 */ },
6680 { Feature_FeatureLoadStoreOnCond2, 5482 /* locfhnh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6681 { Feature_FeatureLoadStoreOnCond2, 5482 /* locfhnh */, MCK_GRH32, 1 /* 0 */ },
6682 { Feature_FeatureLoadStoreOnCond2, 5490 /* locfhnhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6683 { Feature_FeatureLoadStoreOnCond2, 5490 /* locfhnhe */, MCK_GRH32, 1 /* 0 */ },
6684 { Feature_FeatureLoadStoreOnCond2, 5499 /* locfhnl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6685 { Feature_FeatureLoadStoreOnCond2, 5499 /* locfhnl */, MCK_GRH32, 1 /* 0 */ },
6686 { Feature_FeatureLoadStoreOnCond2, 5507 /* locfhnle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6687 { Feature_FeatureLoadStoreOnCond2, 5507 /* locfhnle */, MCK_GRH32, 1 /* 0 */ },
6688 { Feature_FeatureLoadStoreOnCond2, 5516 /* locfhnlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6689 { Feature_FeatureLoadStoreOnCond2, 5516 /* locfhnlh */, MCK_GRH32, 1 /* 0 */ },
6690 { Feature_FeatureLoadStoreOnCond2, 5525 /* locfhnm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6691 { Feature_FeatureLoadStoreOnCond2, 5525 /* locfhnm */, MCK_GRH32, 1 /* 0 */ },
6692 { Feature_FeatureLoadStoreOnCond2, 5533 /* locfhno */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6693 { Feature_FeatureLoadStoreOnCond2, 5533 /* locfhno */, MCK_GRH32, 1 /* 0 */ },
6694 { Feature_FeatureLoadStoreOnCond2, 5541 /* locfhnp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6695 { Feature_FeatureLoadStoreOnCond2, 5541 /* locfhnp */, MCK_GRH32, 1 /* 0 */ },
6696 { Feature_FeatureLoadStoreOnCond2, 5549 /* locfhnz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6697 { Feature_FeatureLoadStoreOnCond2, 5549 /* locfhnz */, MCK_GRH32, 1 /* 0 */ },
6698 { Feature_FeatureLoadStoreOnCond2, 5557 /* locfho */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6699 { Feature_FeatureLoadStoreOnCond2, 5557 /* locfho */, MCK_GRH32, 1 /* 0 */ },
6700 { Feature_FeatureLoadStoreOnCond2, 5564 /* locfhp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6701 { Feature_FeatureLoadStoreOnCond2, 5564 /* locfhp */, MCK_GRH32, 1 /* 0 */ },
6702 { Feature_FeatureLoadStoreOnCond2, 5571 /* locfhr */, MCK_GRH32, 3 /* 0, 1 */ },
6703 { Feature_FeatureLoadStoreOnCond2, 5578 /* locfhre */, MCK_GRH32, 3 /* 0, 1 */ },
6704 { Feature_FeatureLoadStoreOnCond2, 5586 /* locfhrh */, MCK_GRH32, 3 /* 0, 1 */ },
6705 { Feature_FeatureLoadStoreOnCond2, 5594 /* locfhrhe */, MCK_GRH32, 3 /* 0, 1 */ },
6706 { Feature_FeatureLoadStoreOnCond2, 5603 /* locfhrl */, MCK_GRH32, 3 /* 0, 1 */ },
6707 { Feature_FeatureLoadStoreOnCond2, 5611 /* locfhrle */, MCK_GRH32, 3 /* 0, 1 */ },
6708 { Feature_FeatureLoadStoreOnCond2, 5620 /* locfhrlh */, MCK_GRH32, 3 /* 0, 1 */ },
6709 { Feature_FeatureLoadStoreOnCond2, 5629 /* locfhrm */, MCK_GRH32, 3 /* 0, 1 */ },
6710 { Feature_FeatureLoadStoreOnCond2, 5637 /* locfhrne */, MCK_GRH32, 3 /* 0, 1 */ },
6711 { Feature_FeatureLoadStoreOnCond2, 5646 /* locfhrnh */, MCK_GRH32, 3 /* 0, 1 */ },
6712 { Feature_FeatureLoadStoreOnCond2, 5655 /* locfhrnhe */, MCK_GRH32, 3 /* 0, 1 */ },
6713 { Feature_FeatureLoadStoreOnCond2, 5665 /* locfhrnl */, MCK_GRH32, 3 /* 0, 1 */ },
6714 { Feature_FeatureLoadStoreOnCond2, 5674 /* locfhrnle */, MCK_GRH32, 3 /* 0, 1 */ },
6715 { Feature_FeatureLoadStoreOnCond2, 5684 /* locfhrnlh */, MCK_GRH32, 3 /* 0, 1 */ },
6716 { Feature_FeatureLoadStoreOnCond2, 5694 /* locfhrnm */, MCK_GRH32, 3 /* 0, 1 */ },
6717 { Feature_FeatureLoadStoreOnCond2, 5703 /* locfhrno */, MCK_GRH32, 3 /* 0, 1 */ },
6718 { Feature_FeatureLoadStoreOnCond2, 5712 /* locfhrnp */, MCK_GRH32, 3 /* 0, 1 */ },
6719 { Feature_FeatureLoadStoreOnCond2, 5721 /* locfhrnz */, MCK_GRH32, 3 /* 0, 1 */ },
6720 { Feature_FeatureLoadStoreOnCond2, 5730 /* locfhro */, MCK_GRH32, 3 /* 0, 1 */ },
6721 { Feature_FeatureLoadStoreOnCond2, 5738 /* locfhrp */, MCK_GRH32, 3 /* 0, 1 */ },
6722 { Feature_FeatureLoadStoreOnCond2, 5746 /* locfhrz */, MCK_GRH32, 3 /* 0, 1 */ },
6723 { Feature_FeatureLoadStoreOnCond2, 5754 /* locfhz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6724 { Feature_FeatureLoadStoreOnCond2, 5754 /* locfhz */, MCK_GRH32, 1 /* 0 */ },
6725 { Feature_FeatureLoadStoreOnCond, 5761 /* locg */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6726 { Feature_FeatureLoadStoreOnCond, 5761 /* locg */, MCK_GR64, 1 /* 0 */ },
6727 { Feature_FeatureLoadStoreOnCond, 5766 /* locge */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6728 { Feature_FeatureLoadStoreOnCond, 5766 /* locge */, MCK_GR64, 1 /* 0 */ },
6729 { Feature_FeatureLoadStoreOnCond, 5772 /* locgh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6730 { Feature_FeatureLoadStoreOnCond, 5772 /* locgh */, MCK_GR64, 1 /* 0 */ },
6731 { Feature_FeatureLoadStoreOnCond, 5778 /* locghe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6732 { Feature_FeatureLoadStoreOnCond, 5778 /* locghe */, MCK_GR64, 1 /* 0 */ },
6733 { Feature_FeatureLoadStoreOnCond2, 5785 /* locghi */, MCK_GR64, 1 /* 0 */ },
6734 { Feature_FeatureLoadStoreOnCond2, 5792 /* locghie */, MCK_GR64, 1 /* 0 */ },
6735 { Feature_FeatureLoadStoreOnCond2, 5800 /* locghih */, MCK_GR64, 1 /* 0 */ },
6736 { Feature_FeatureLoadStoreOnCond2, 5808 /* locghihe */, MCK_GR64, 1 /* 0 */ },
6737 { Feature_FeatureLoadStoreOnCond2, 5817 /* locghil */, MCK_GR64, 1 /* 0 */ },
6738 { Feature_FeatureLoadStoreOnCond2, 5825 /* locghile */, MCK_GR64, 1 /* 0 */ },
6739 { Feature_FeatureLoadStoreOnCond2, 5834 /* locghilh */, MCK_GR64, 1 /* 0 */ },
6740 { Feature_FeatureLoadStoreOnCond2, 5843 /* locghim */, MCK_GR64, 1 /* 0 */ },
6741 { Feature_FeatureLoadStoreOnCond2, 5851 /* locghine */, MCK_GR64, 1 /* 0 */ },
6742 { Feature_FeatureLoadStoreOnCond2, 5860 /* locghinh */, MCK_GR64, 1 /* 0 */ },
6743 { Feature_FeatureLoadStoreOnCond2, 5869 /* locghinhe */, MCK_GR64, 1 /* 0 */ },
6744 { Feature_FeatureLoadStoreOnCond2, 5879 /* locghinl */, MCK_GR64, 1 /* 0 */ },
6745 { Feature_FeatureLoadStoreOnCond2, 5888 /* locghinle */, MCK_GR64, 1 /* 0 */ },
6746 { Feature_FeatureLoadStoreOnCond2, 5898 /* locghinlh */, MCK_GR64, 1 /* 0 */ },
6747 { Feature_FeatureLoadStoreOnCond2, 5908 /* locghinm */, MCK_GR64, 1 /* 0 */ },
6748 { Feature_FeatureLoadStoreOnCond2, 5917 /* locghino */, MCK_GR64, 1 /* 0 */ },
6749 { Feature_FeatureLoadStoreOnCond2, 5926 /* locghinp */, MCK_GR64, 1 /* 0 */ },
6750 { Feature_FeatureLoadStoreOnCond2, 5935 /* locghinz */, MCK_GR64, 1 /* 0 */ },
6751 { Feature_FeatureLoadStoreOnCond2, 5944 /* locghio */, MCK_GR64, 1 /* 0 */ },
6752 { Feature_FeatureLoadStoreOnCond2, 5952 /* locghip */, MCK_GR64, 1 /* 0 */ },
6753 { Feature_FeatureLoadStoreOnCond2, 5960 /* locghiz */, MCK_GR64, 1 /* 0 */ },
6754 { Feature_FeatureLoadStoreOnCond, 5968 /* locgl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6755 { Feature_FeatureLoadStoreOnCond, 5968 /* locgl */, MCK_GR64, 1 /* 0 */ },
6756 { Feature_FeatureLoadStoreOnCond, 5974 /* locgle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6757 { Feature_FeatureLoadStoreOnCond, 5974 /* locgle */, MCK_GR64, 1 /* 0 */ },
6758 { Feature_FeatureLoadStoreOnCond, 5981 /* locglh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6759 { Feature_FeatureLoadStoreOnCond, 5981 /* locglh */, MCK_GR64, 1 /* 0 */ },
6760 { Feature_FeatureLoadStoreOnCond, 5988 /* locgm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6761 { Feature_FeatureLoadStoreOnCond, 5988 /* locgm */, MCK_GR64, 1 /* 0 */ },
6762 { Feature_FeatureLoadStoreOnCond, 5994 /* locgne */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6763 { Feature_FeatureLoadStoreOnCond, 5994 /* locgne */, MCK_GR64, 1 /* 0 */ },
6764 { Feature_FeatureLoadStoreOnCond, 6001 /* locgnh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6765 { Feature_FeatureLoadStoreOnCond, 6001 /* locgnh */, MCK_GR64, 1 /* 0 */ },
6766 { Feature_FeatureLoadStoreOnCond, 6008 /* locgnhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6767 { Feature_FeatureLoadStoreOnCond, 6008 /* locgnhe */, MCK_GR64, 1 /* 0 */ },
6768 { Feature_FeatureLoadStoreOnCond, 6016 /* locgnl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6769 { Feature_FeatureLoadStoreOnCond, 6016 /* locgnl */, MCK_GR64, 1 /* 0 */ },
6770 { Feature_FeatureLoadStoreOnCond, 6023 /* locgnle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6771 { Feature_FeatureLoadStoreOnCond, 6023 /* locgnle */, MCK_GR64, 1 /* 0 */ },
6772 { Feature_FeatureLoadStoreOnCond, 6031 /* locgnlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6773 { Feature_FeatureLoadStoreOnCond, 6031 /* locgnlh */, MCK_GR64, 1 /* 0 */ },
6774 { Feature_FeatureLoadStoreOnCond, 6039 /* locgnm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6775 { Feature_FeatureLoadStoreOnCond, 6039 /* locgnm */, MCK_GR64, 1 /* 0 */ },
6776 { Feature_FeatureLoadStoreOnCond, 6046 /* locgno */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6777 { Feature_FeatureLoadStoreOnCond, 6046 /* locgno */, MCK_GR64, 1 /* 0 */ },
6778 { Feature_FeatureLoadStoreOnCond, 6053 /* locgnp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6779 { Feature_FeatureLoadStoreOnCond, 6053 /* locgnp */, MCK_GR64, 1 /* 0 */ },
6780 { Feature_FeatureLoadStoreOnCond, 6060 /* locgnz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6781 { Feature_FeatureLoadStoreOnCond, 6060 /* locgnz */, MCK_GR64, 1 /* 0 */ },
6782 { Feature_FeatureLoadStoreOnCond, 6067 /* locgo */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6783 { Feature_FeatureLoadStoreOnCond, 6067 /* locgo */, MCK_GR64, 1 /* 0 */ },
6784 { Feature_FeatureLoadStoreOnCond, 6073 /* locgp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6785 { Feature_FeatureLoadStoreOnCond, 6073 /* locgp */, MCK_GR64, 1 /* 0 */ },
6786 { Feature_FeatureLoadStoreOnCond, 6079 /* locgr */, MCK_GR64, 3 /* 0, 1 */ },
6787 { Feature_FeatureLoadStoreOnCond, 6085 /* locgre */, MCK_GR64, 3 /* 0, 1 */ },
6788 { Feature_FeatureLoadStoreOnCond, 6092 /* locgrh */, MCK_GR64, 3 /* 0, 1 */ },
6789 { Feature_FeatureLoadStoreOnCond, 6099 /* locgrhe */, MCK_GR64, 3 /* 0, 1 */ },
6790 { Feature_FeatureLoadStoreOnCond, 6107 /* locgrl */, MCK_GR64, 3 /* 0, 1 */ },
6791 { Feature_FeatureLoadStoreOnCond, 6114 /* locgrle */, MCK_GR64, 3 /* 0, 1 */ },
6792 { Feature_FeatureLoadStoreOnCond, 6122 /* locgrlh */, MCK_GR64, 3 /* 0, 1 */ },
6793 { Feature_FeatureLoadStoreOnCond, 6130 /* locgrm */, MCK_GR64, 3 /* 0, 1 */ },
6794 { Feature_FeatureLoadStoreOnCond, 6137 /* locgrne */, MCK_GR64, 3 /* 0, 1 */ },
6795 { Feature_FeatureLoadStoreOnCond, 6145 /* locgrnh */, MCK_GR64, 3 /* 0, 1 */ },
6796 { Feature_FeatureLoadStoreOnCond, 6153 /* locgrnhe */, MCK_GR64, 3 /* 0, 1 */ },
6797 { Feature_FeatureLoadStoreOnCond, 6162 /* locgrnl */, MCK_GR64, 3 /* 0, 1 */ },
6798 { Feature_FeatureLoadStoreOnCond, 6170 /* locgrnle */, MCK_GR64, 3 /* 0, 1 */ },
6799 { Feature_FeatureLoadStoreOnCond, 6179 /* locgrnlh */, MCK_GR64, 3 /* 0, 1 */ },
6800 { Feature_FeatureLoadStoreOnCond, 6188 /* locgrnm */, MCK_GR64, 3 /* 0, 1 */ },
6801 { Feature_FeatureLoadStoreOnCond, 6196 /* locgrno */, MCK_GR64, 3 /* 0, 1 */ },
6802 { Feature_FeatureLoadStoreOnCond, 6204 /* locgrnp */, MCK_GR64, 3 /* 0, 1 */ },
6803 { Feature_FeatureLoadStoreOnCond, 6212 /* locgrnz */, MCK_GR64, 3 /* 0, 1 */ },
6804 { Feature_FeatureLoadStoreOnCond, 6220 /* locgro */, MCK_GR64, 3 /* 0, 1 */ },
6805 { Feature_FeatureLoadStoreOnCond, 6227 /* locgrp */, MCK_GR64, 3 /* 0, 1 */ },
6806 { Feature_FeatureLoadStoreOnCond, 6234 /* locgrz */, MCK_GR64, 3 /* 0, 1 */ },
6807 { Feature_FeatureLoadStoreOnCond, 6241 /* locgz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6808 { Feature_FeatureLoadStoreOnCond, 6241 /* locgz */, MCK_GR64, 1 /* 0 */ },
6809 { Feature_FeatureLoadStoreOnCond, 6247 /* loch */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6810 { Feature_FeatureLoadStoreOnCond, 6247 /* loch */, MCK_GR32, 1 /* 0 */ },
6811 { Feature_FeatureLoadStoreOnCond, 6252 /* loche */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6812 { Feature_FeatureLoadStoreOnCond, 6252 /* loche */, MCK_GR32, 1 /* 0 */ },
6813 { Feature_FeatureLoadStoreOnCond2, 6258 /* lochhi */, MCK_GRH32, 1 /* 0 */ },
6814 { Feature_FeatureLoadStoreOnCond2, 6265 /* lochhie */, MCK_GRH32, 1 /* 0 */ },
6815 { Feature_FeatureLoadStoreOnCond2, 6273 /* lochhih */, MCK_GRH32, 1 /* 0 */ },
6816 { Feature_FeatureLoadStoreOnCond2, 6281 /* lochhihe */, MCK_GRH32, 1 /* 0 */ },
6817 { Feature_FeatureLoadStoreOnCond2, 6290 /* lochhil */, MCK_GRH32, 1 /* 0 */ },
6818 { Feature_FeatureLoadStoreOnCond2, 6298 /* lochhile */, MCK_GRH32, 1 /* 0 */ },
6819 { Feature_FeatureLoadStoreOnCond2, 6307 /* lochhilh */, MCK_GRH32, 1 /* 0 */ },
6820 { Feature_FeatureLoadStoreOnCond2, 6316 /* lochhim */, MCK_GRH32, 1 /* 0 */ },
6821 { Feature_FeatureLoadStoreOnCond2, 6324 /* lochhine */, MCK_GRH32, 1 /* 0 */ },
6822 { Feature_FeatureLoadStoreOnCond2, 6333 /* lochhinh */, MCK_GRH32, 1 /* 0 */ },
6823 { Feature_FeatureLoadStoreOnCond2, 6342 /* lochhinhe */, MCK_GRH32, 1 /* 0 */ },
6824 { Feature_FeatureLoadStoreOnCond2, 6352 /* lochhinl */, MCK_GRH32, 1 /* 0 */ },
6825 { Feature_FeatureLoadStoreOnCond2, 6361 /* lochhinle */, MCK_GRH32, 1 /* 0 */ },
6826 { Feature_FeatureLoadStoreOnCond2, 6371 /* lochhinlh */, MCK_GRH32, 1 /* 0 */ },
6827 { Feature_FeatureLoadStoreOnCond2, 6381 /* lochhinm */, MCK_GRH32, 1 /* 0 */ },
6828 { Feature_FeatureLoadStoreOnCond2, 6390 /* lochhino */, MCK_GRH32, 1 /* 0 */ },
6829 { Feature_FeatureLoadStoreOnCond2, 6399 /* lochhinp */, MCK_GRH32, 1 /* 0 */ },
6830 { Feature_FeatureLoadStoreOnCond2, 6408 /* lochhinz */, MCK_GRH32, 1 /* 0 */ },
6831 { Feature_FeatureLoadStoreOnCond2, 6417 /* lochhio */, MCK_GRH32, 1 /* 0 */ },
6832 { Feature_FeatureLoadStoreOnCond2, 6425 /* lochhip */, MCK_GRH32, 1 /* 0 */ },
6833 { Feature_FeatureLoadStoreOnCond2, 6433 /* lochhiz */, MCK_GRH32, 1 /* 0 */ },
6834 { Feature_FeatureLoadStoreOnCond2, 6441 /* lochi */, MCK_GR32, 1 /* 0 */ },
6835 { Feature_FeatureLoadStoreOnCond2, 6447 /* lochie */, MCK_GR32, 1 /* 0 */ },
6836 { Feature_FeatureLoadStoreOnCond2, 6454 /* lochih */, MCK_GR32, 1 /* 0 */ },
6837 { Feature_FeatureLoadStoreOnCond2, 6461 /* lochihe */, MCK_GR32, 1 /* 0 */ },
6838 { Feature_FeatureLoadStoreOnCond2, 6469 /* lochil */, MCK_GR32, 1 /* 0 */ },
6839 { Feature_FeatureLoadStoreOnCond2, 6476 /* lochile */, MCK_GR32, 1 /* 0 */ },
6840 { Feature_FeatureLoadStoreOnCond2, 6484 /* lochilh */, MCK_GR32, 1 /* 0 */ },
6841 { Feature_FeatureLoadStoreOnCond2, 6492 /* lochim */, MCK_GR32, 1 /* 0 */ },
6842 { Feature_FeatureLoadStoreOnCond2, 6499 /* lochine */, MCK_GR32, 1 /* 0 */ },
6843 { Feature_FeatureLoadStoreOnCond2, 6507 /* lochinh */, MCK_GR32, 1 /* 0 */ },
6844 { Feature_FeatureLoadStoreOnCond2, 6515 /* lochinhe */, MCK_GR32, 1 /* 0 */ },
6845 { Feature_FeatureLoadStoreOnCond2, 6524 /* lochinl */, MCK_GR32, 1 /* 0 */ },
6846 { Feature_FeatureLoadStoreOnCond2, 6532 /* lochinle */, MCK_GR32, 1 /* 0 */ },
6847 { Feature_FeatureLoadStoreOnCond2, 6541 /* lochinlh */, MCK_GR32, 1 /* 0 */ },
6848 { Feature_FeatureLoadStoreOnCond2, 6550 /* lochinm */, MCK_GR32, 1 /* 0 */ },
6849 { Feature_FeatureLoadStoreOnCond2, 6558 /* lochino */, MCK_GR32, 1 /* 0 */ },
6850 { Feature_FeatureLoadStoreOnCond2, 6566 /* lochinp */, MCK_GR32, 1 /* 0 */ },
6851 { Feature_FeatureLoadStoreOnCond2, 6574 /* lochinz */, MCK_GR32, 1 /* 0 */ },
6852 { Feature_FeatureLoadStoreOnCond2, 6582 /* lochio */, MCK_GR32, 1 /* 0 */ },
6853 { Feature_FeatureLoadStoreOnCond2, 6589 /* lochip */, MCK_GR32, 1 /* 0 */ },
6854 { Feature_FeatureLoadStoreOnCond2, 6596 /* lochiz */, MCK_GR32, 1 /* 0 */ },
6855 { Feature_FeatureLoadStoreOnCond, 6603 /* locl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6856 { Feature_FeatureLoadStoreOnCond, 6603 /* locl */, MCK_GR32, 1 /* 0 */ },
6857 { Feature_FeatureLoadStoreOnCond, 6608 /* locle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6858 { Feature_FeatureLoadStoreOnCond, 6608 /* locle */, MCK_GR32, 1 /* 0 */ },
6859 { Feature_FeatureLoadStoreOnCond, 6614 /* loclh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6860 { Feature_FeatureLoadStoreOnCond, 6614 /* loclh */, MCK_GR32, 1 /* 0 */ },
6861 { Feature_FeatureLoadStoreOnCond, 6620 /* locm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6862 { Feature_FeatureLoadStoreOnCond, 6620 /* locm */, MCK_GR32, 1 /* 0 */ },
6863 { Feature_FeatureLoadStoreOnCond, 6625 /* locne */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6864 { Feature_FeatureLoadStoreOnCond, 6625 /* locne */, MCK_GR32, 1 /* 0 */ },
6865 { Feature_FeatureLoadStoreOnCond, 6631 /* locnh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6866 { Feature_FeatureLoadStoreOnCond, 6631 /* locnh */, MCK_GR32, 1 /* 0 */ },
6867 { Feature_FeatureLoadStoreOnCond, 6637 /* locnhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6868 { Feature_FeatureLoadStoreOnCond, 6637 /* locnhe */, MCK_GR32, 1 /* 0 */ },
6869 { Feature_FeatureLoadStoreOnCond, 6644 /* locnl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6870 { Feature_FeatureLoadStoreOnCond, 6644 /* locnl */, MCK_GR32, 1 /* 0 */ },
6871 { Feature_FeatureLoadStoreOnCond, 6650 /* locnle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6872 { Feature_FeatureLoadStoreOnCond, 6650 /* locnle */, MCK_GR32, 1 /* 0 */ },
6873 { Feature_FeatureLoadStoreOnCond, 6657 /* locnlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6874 { Feature_FeatureLoadStoreOnCond, 6657 /* locnlh */, MCK_GR32, 1 /* 0 */ },
6875 { Feature_FeatureLoadStoreOnCond, 6664 /* locnm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6876 { Feature_FeatureLoadStoreOnCond, 6664 /* locnm */, MCK_GR32, 1 /* 0 */ },
6877 { Feature_FeatureLoadStoreOnCond, 6670 /* locno */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6878 { Feature_FeatureLoadStoreOnCond, 6670 /* locno */, MCK_GR32, 1 /* 0 */ },
6879 { Feature_FeatureLoadStoreOnCond, 6676 /* locnp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6880 { Feature_FeatureLoadStoreOnCond, 6676 /* locnp */, MCK_GR32, 1 /* 0 */ },
6881 { Feature_FeatureLoadStoreOnCond, 6682 /* locnz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6882 { Feature_FeatureLoadStoreOnCond, 6682 /* locnz */, MCK_GR32, 1 /* 0 */ },
6883 { Feature_FeatureLoadStoreOnCond, 6688 /* loco */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6884 { Feature_FeatureLoadStoreOnCond, 6688 /* loco */, MCK_GR32, 1 /* 0 */ },
6885 { Feature_FeatureLoadStoreOnCond, 6693 /* locp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6886 { Feature_FeatureLoadStoreOnCond, 6693 /* locp */, MCK_GR32, 1 /* 0 */ },
6887 { Feature_FeatureLoadStoreOnCond, 6698 /* locr */, MCK_GR32, 3 /* 0, 1 */ },
6888 { Feature_FeatureLoadStoreOnCond, 6703 /* locre */, MCK_GR32, 3 /* 0, 1 */ },
6889 { Feature_FeatureLoadStoreOnCond, 6709 /* locrh */, MCK_GR32, 3 /* 0, 1 */ },
6890 { Feature_FeatureLoadStoreOnCond, 6715 /* locrhe */, MCK_GR32, 3 /* 0, 1 */ },
6891 { Feature_FeatureLoadStoreOnCond, 6722 /* locrl */, MCK_GR32, 3 /* 0, 1 */ },
6892 { Feature_FeatureLoadStoreOnCond, 6728 /* locrle */, MCK_GR32, 3 /* 0, 1 */ },
6893 { Feature_FeatureLoadStoreOnCond, 6735 /* locrlh */, MCK_GR32, 3 /* 0, 1 */ },
6894 { Feature_FeatureLoadStoreOnCond, 6742 /* locrm */, MCK_GR32, 3 /* 0, 1 */ },
6895 { Feature_FeatureLoadStoreOnCond, 6748 /* locrne */, MCK_GR32, 3 /* 0, 1 */ },
6896 { Feature_FeatureLoadStoreOnCond, 6755 /* locrnh */, MCK_GR32, 3 /* 0, 1 */ },
6897 { Feature_FeatureLoadStoreOnCond, 6762 /* locrnhe */, MCK_GR32, 3 /* 0, 1 */ },
6898 { Feature_FeatureLoadStoreOnCond, 6770 /* locrnl */, MCK_GR32, 3 /* 0, 1 */ },
6899 { Feature_FeatureLoadStoreOnCond, 6777 /* locrnle */, MCK_GR32, 3 /* 0, 1 */ },
6900 { Feature_FeatureLoadStoreOnCond, 6785 /* locrnlh */, MCK_GR32, 3 /* 0, 1 */ },
6901 { Feature_FeatureLoadStoreOnCond, 6793 /* locrnm */, MCK_GR32, 3 /* 0, 1 */ },
6902 { Feature_FeatureLoadStoreOnCond, 6800 /* locrno */, MCK_GR32, 3 /* 0, 1 */ },
6903 { Feature_FeatureLoadStoreOnCond, 6807 /* locrnp */, MCK_GR32, 3 /* 0, 1 */ },
6904 { Feature_FeatureLoadStoreOnCond, 6814 /* locrnz */, MCK_GR32, 3 /* 0, 1 */ },
6905 { Feature_FeatureLoadStoreOnCond, 6821 /* locro */, MCK_GR32, 3 /* 0, 1 */ },
6906 { Feature_FeatureLoadStoreOnCond, 6827 /* locrp */, MCK_GR32, 3 /* 0, 1 */ },
6907 { Feature_FeatureLoadStoreOnCond, 6833 /* locrz */, MCK_GR32, 3 /* 0, 1 */ },
6908 { Feature_FeatureLoadStoreOnCond, 6839 /* locz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
6909 { Feature_FeatureLoadStoreOnCond, 6839 /* locz */, MCK_GR32, 1 /* 0 */ },
6910 { 0, 6844 /* lpctl */, MCK_BDAddr64Disp12, 1 /* 0 */ },
6911 { Feature_FeatureInterlockedAccess1, 6850 /* lpd */, MCK_BDAddr64Disp12, 6 /* 1, 2 */ },
6912 { Feature_FeatureInterlockedAccess1, 6850 /* lpd */, MCK_GR128, 1 /* 0 */ },
6913 { 0, 6854 /* lpdbr */, MCK_FP64, 3 /* 0, 1 */ },
6914 { 0, 6860 /* lpdfr */, MCK_FP64, 3 /* 0, 1 */ },
6915 { Feature_FeatureInterlockedAccess1, 6866 /* lpdg */, MCK_BDAddr64Disp12, 6 /* 1, 2 */ },
6916 { Feature_FeatureInterlockedAccess1, 6866 /* lpdg */, MCK_GR128, 1 /* 0 */ },
6917 { 0, 6871 /* lpdr */, MCK_FP64, 3 /* 0, 1 */ },
6918 { 0, 6876 /* lpebr */, MCK_FP32, 3 /* 0, 1 */ },
6919 { 0, 6882 /* lper */, MCK_FP32, 3 /* 0, 1 */ },
6920 { 0, 6887 /* lpgfr */, MCK_GR32, 2 /* 1 */ },
6921 { 0, 6887 /* lpgfr */, MCK_GR64, 1 /* 0 */ },
6922 { 0, 6893 /* lpgr */, MCK_GR64, 3 /* 0, 1 */ },
6923 { 0, 6898 /* lpp */, MCK_BDAddr64Disp12, 1 /* 0 */ },
6924 { 0, 6902 /* lpq */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6925 { 0, 6902 /* lpq */, MCK_GR128, 1 /* 0 */ },
6926 { 0, 6906 /* lpr */, MCK_GR32, 3 /* 0, 1 */ },
6927 { 0, 6910 /* lpsw */, MCK_BDAddr64Disp12, 1 /* 0 */ },
6928 { 0, 6915 /* lpswe */, MCK_BDAddr64Disp12, 1 /* 0 */ },
6929 { 0, 6921 /* lptea */, MCK_GR64, 7 /* 0, 1, 2 */ },
6930 { 0, 6927 /* lpxbr */, MCK_FP128, 3 /* 0, 1 */ },
6931 { 0, 6933 /* lpxr */, MCK_FP128, 3 /* 0, 1 */ },
6932 { 0, 6938 /* lr */, MCK_GR32, 3 /* 0, 1 */ },
6933 { 0, 6941 /* lra */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6934 { 0, 6941 /* lra */, MCK_GR64, 1 /* 0 */ },
6935 { 0, 6945 /* lrag */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6936 { 0, 6945 /* lrag */, MCK_GR64, 1 /* 0 */ },
6937 { 0, 6950 /* lray */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6938 { 0, 6950 /* lray */, MCK_GR64, 1 /* 0 */ },
6939 { 0, 6955 /* lrdr */, MCK_FP128, 2 /* 1 */ },
6940 { 0, 6955 /* lrdr */, MCK_FP64, 1 /* 0 */ },
6941 { 0, 6960 /* lrer */, MCK_FP32, 1 /* 0 */ },
6942 { 0, 6960 /* lrer */, MCK_FP64, 2 /* 1 */ },
6943 { 0, 6965 /* lrl */, MCK_GR32, 1 /* 0 */ },
6944 { 0, 6965 /* lrl */, MCK_PCRel32, 2 /* 1 */ },
6945 { 0, 6969 /* lrv */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6946 { 0, 6969 /* lrv */, MCK_GR32, 1 /* 0 */ },
6947 { 0, 6973 /* lrvg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6948 { 0, 6973 /* lrvg */, MCK_GR64, 1 /* 0 */ },
6949 { 0, 6978 /* lrvgr */, MCK_GR64, 3 /* 0, 1 */ },
6950 { 0, 6984 /* lrvh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6951 { 0, 6984 /* lrvh */, MCK_GR32, 1 /* 0 */ },
6952 { 0, 6989 /* lrvr */, MCK_GR32, 3 /* 0, 1 */ },
6953 { 0, 6994 /* lsctl */, MCK_BDAddr64Disp12, 1 /* 0 */ },
6954 { 0, 7000 /* lt */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6955 { 0, 7000 /* lt */, MCK_GR32, 1 /* 0 */ },
6956 { 0, 7003 /* ltdbr */, MCK_FP64, 3 /* 0, 1 */ },
6957 { 0, 7009 /* ltdr */, MCK_FP64, 3 /* 0, 1 */ },
6958 { 0, 7014 /* ltdtr */, MCK_FP64, 3 /* 0, 1 */ },
6959 { 0, 7020 /* ltebr */, MCK_FP32, 3 /* 0, 1 */ },
6960 { 0, 7026 /* lter */, MCK_FP32, 3 /* 0, 1 */ },
6961 { 0, 7031 /* ltg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6962 { 0, 7031 /* ltg */, MCK_GR64, 1 /* 0 */ },
6963 { 0, 7035 /* ltgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6964 { 0, 7035 /* ltgf */, MCK_GR64, 1 /* 0 */ },
6965 { 0, 7040 /* ltgfr */, MCK_GR32, 2 /* 1 */ },
6966 { 0, 7040 /* ltgfr */, MCK_GR64, 1 /* 0 */ },
6967 { 0, 7046 /* ltgr */, MCK_GR64, 3 /* 0, 1 */ },
6968 { 0, 7051 /* ltr */, MCK_GR32, 3 /* 0, 1 */ },
6969 { 0, 7055 /* ltxbr */, MCK_FP128, 3 /* 0, 1 */ },
6970 { 0, 7061 /* ltxr */, MCK_FP128, 3 /* 0, 1 */ },
6971 { 0, 7066 /* ltxtr */, MCK_FP128, 3 /* 0, 1 */ },
6972 { 0, 7072 /* lura */, MCK_GR32, 1 /* 0 */ },
6973 { 0, 7072 /* lura */, MCK_GR64, 2 /* 1 */ },
6974 { 0, 7077 /* lurag */, MCK_GR64, 3 /* 0, 1 */ },
6975 { 0, 7083 /* lxd */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6976 { 0, 7083 /* lxd */, MCK_FP128, 1 /* 0 */ },
6977 { 0, 7087 /* lxdb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6978 { 0, 7087 /* lxdb */, MCK_FP128, 1 /* 0 */ },
6979 { 0, 7092 /* lxdbr */, MCK_FP128, 1 /* 0 */ },
6980 { 0, 7092 /* lxdbr */, MCK_FP64, 2 /* 1 */ },
6981 { 0, 7098 /* lxdr */, MCK_FP128, 1 /* 0 */ },
6982 { 0, 7098 /* lxdr */, MCK_FP64, 2 /* 1 */ },
6983 { 0, 7103 /* lxdtr */, MCK_FP128, 1 /* 0 */ },
6984 { 0, 7103 /* lxdtr */, MCK_FP64, 2 /* 1 */ },
6985 { 0, 7109 /* lxe */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6986 { 0, 7109 /* lxe */, MCK_FP128, 1 /* 0 */ },
6987 { 0, 7113 /* lxeb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
6988 { 0, 7113 /* lxeb */, MCK_FP128, 1 /* 0 */ },
6989 { 0, 7118 /* lxebr */, MCK_FP128, 1 /* 0 */ },
6990 { 0, 7118 /* lxebr */, MCK_FP32, 2 /* 1 */ },
6991 { 0, 7124 /* lxer */, MCK_FP128, 1 /* 0 */ },
6992 { 0, 7124 /* lxer */, MCK_FP32, 2 /* 1 */ },
6993 { 0, 7129 /* lxr */, MCK_FP128, 3 /* 0, 1 */ },
6994 { 0, 7133 /* ly */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6995 { 0, 7133 /* ly */, MCK_GR32, 1 /* 0 */ },
6996 { 0, 7136 /* lzdr */, MCK_FP64, 1 /* 0 */ },
6997 { 0, 7141 /* lzer */, MCK_FP32, 1 /* 0 */ },
6998 { Feature_FeatureLoadAndZeroRightmostByte, 7146 /* lzrf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
6999 { Feature_FeatureLoadAndZeroRightmostByte, 7146 /* lzrf */, MCK_GR32, 1 /* 0 */ },
7000 { Feature_FeatureLoadAndZeroRightmostByte, 7151 /* lzrg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7001 { Feature_FeatureLoadAndZeroRightmostByte, 7151 /* lzrg */, MCK_GR64, 1 /* 0 */ },
7002 { 0, 7156 /* lzxr */, MCK_FP128, 1 /* 0 */ },
7003 { 0, 7161 /* m */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7004 { 0, 7161 /* m */, MCK_GR128, 1 /* 0 */ },
7005 { 0, 7163 /* mad */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7006 { 0, 7163 /* mad */, MCK_FP64, 3 /* 0, 1 */ },
7007 { 0, 7167 /* madb */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7008 { 0, 7167 /* madb */, MCK_FP64, 3 /* 0, 1 */ },
7009 { 0, 7172 /* madbr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7010 { 0, 7178 /* madr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7011 { 0, 7183 /* mae */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7012 { 0, 7183 /* mae */, MCK_FP32, 3 /* 0, 1 */ },
7013 { 0, 7187 /* maeb */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7014 { 0, 7187 /* maeb */, MCK_FP32, 3 /* 0, 1 */ },
7015 { 0, 7192 /* maebr */, MCK_FP32, 7 /* 0, 1, 2 */ },
7016 { 0, 7198 /* maer */, MCK_FP32, 7 /* 0, 1, 2 */ },
7017 { 0, 7203 /* may */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7018 { 0, 7203 /* may */, MCK_FP128, 1 /* 0 */ },
7019 { 0, 7203 /* may */, MCK_FP64, 2 /* 1 */ },
7020 { 0, 7207 /* mayh */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7021 { 0, 7207 /* mayh */, MCK_FP64, 3 /* 0, 1 */ },
7022 { 0, 7212 /* mayhr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7023 { 0, 7218 /* mayl */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7024 { 0, 7218 /* mayl */, MCK_FP64, 3 /* 0, 1 */ },
7025 { 0, 7223 /* maylr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7026 { 0, 7229 /* mayr */, MCK_FP128, 1 /* 0 */ },
7027 { 0, 7229 /* mayr */, MCK_FP64, 6 /* 1, 2 */ },
7028 { 0, 7234 /* mc */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7029 { 0, 7237 /* md */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7030 { 0, 7237 /* md */, MCK_FP64, 1 /* 0 */ },
7031 { 0, 7240 /* mdb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7032 { 0, 7240 /* mdb */, MCK_FP64, 1 /* 0 */ },
7033 { 0, 7244 /* mdbr */, MCK_FP64, 3 /* 0, 1 */ },
7034 { 0, 7249 /* mde */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7035 { 0, 7249 /* mde */, MCK_FP64, 1 /* 0 */ },
7036 { 0, 7253 /* mdeb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7037 { 0, 7253 /* mdeb */, MCK_FP64, 1 /* 0 */ },
7038 { 0, 7258 /* mdebr */, MCK_FP32, 2 /* 1 */ },
7039 { 0, 7258 /* mdebr */, MCK_FP64, 1 /* 0 */ },
7040 { 0, 7264 /* mder */, MCK_FP32, 2 /* 1 */ },
7041 { 0, 7264 /* mder */, MCK_FP64, 1 /* 0 */ },
7042 { 0, 7269 /* mdr */, MCK_FP64, 3 /* 0, 1 */ },
7043 { 0, 7273 /* mdtr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7044 { Feature_FeatureFPExtension, 7278 /* mdtra */, MCK_FP64, 7 /* 0, 1, 2 */ },
7045 { 0, 7284 /* me */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7046 { 0, 7284 /* me */, MCK_FP64, 1 /* 0 */ },
7047 { 0, 7287 /* mee */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7048 { 0, 7287 /* mee */, MCK_FP32, 1 /* 0 */ },
7049 { 0, 7291 /* meeb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7050 { 0, 7291 /* meeb */, MCK_FP32, 1 /* 0 */ },
7051 { 0, 7296 /* meebr */, MCK_FP32, 3 /* 0, 1 */ },
7052 { 0, 7302 /* meer */, MCK_FP32, 3 /* 0, 1 */ },
7053 { 0, 7307 /* mer */, MCK_FP32, 2 /* 1 */ },
7054 { 0, 7307 /* mer */, MCK_FP64, 1 /* 0 */ },
7055 { 0, 7311 /* mfy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7056 { 0, 7311 /* mfy */, MCK_GR128, 1 /* 0 */ },
7057 { Feature_FeatureMiscellaneousExtensions2, 7315 /* mg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7058 { Feature_FeatureMiscellaneousExtensions2, 7315 /* mg */, MCK_GR128, 1 /* 0 */ },
7059 { Feature_FeatureMiscellaneousExtensions2, 7318 /* mgh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7060 { Feature_FeatureMiscellaneousExtensions2, 7318 /* mgh */, MCK_GR64, 1 /* 0 */ },
7061 { 0, 7322 /* mghi */, MCK_GR64, 1 /* 0 */ },
7062 { Feature_FeatureMiscellaneousExtensions2, 7327 /* mgrk */, MCK_GR128, 1 /* 0 */ },
7063 { Feature_FeatureMiscellaneousExtensions2, 7327 /* mgrk */, MCK_GR64, 6 /* 1, 2 */ },
7064 { 0, 7332 /* mh */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7065 { 0, 7332 /* mh */, MCK_GR32, 1 /* 0 */ },
7066 { 0, 7335 /* mhi */, MCK_GR32, 1 /* 0 */ },
7067 { 0, 7339 /* mhy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7068 { 0, 7339 /* mhy */, MCK_GR32, 1 /* 0 */ },
7069 { 0, 7343 /* ml */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7070 { 0, 7343 /* ml */, MCK_GR128, 1 /* 0 */ },
7071 { 0, 7346 /* mlg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7072 { 0, 7346 /* mlg */, MCK_GR128, 1 /* 0 */ },
7073 { 0, 7350 /* mlgr */, MCK_GR128, 1 /* 0 */ },
7074 { 0, 7350 /* mlgr */, MCK_GR64, 2 /* 1 */ },
7075 { 0, 7355 /* mlr */, MCK_GR128, 1 /* 0 */ },
7076 { 0, 7355 /* mlr */, MCK_GR32, 2 /* 1 */ },
7077 { 0, 7359 /* mp */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
7078 { 0, 7362 /* mr */, MCK_GR128, 1 /* 0 */ },
7079 { 0, 7362 /* mr */, MCK_GR32, 2 /* 1 */ },
7080 { 0, 7365 /* ms */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7081 { 0, 7365 /* ms */, MCK_GR32, 1 /* 0 */ },
7082 { Feature_FeatureMiscellaneousExtensions2, 7368 /* msc */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7083 { Feature_FeatureMiscellaneousExtensions2, 7368 /* msc */, MCK_GR32, 1 /* 0 */ },
7084 { 0, 7372 /* msch */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7085 { 0, 7377 /* msd */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7086 { 0, 7377 /* msd */, MCK_FP64, 3 /* 0, 1 */ },
7087 { 0, 7381 /* msdb */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7088 { 0, 7381 /* msdb */, MCK_FP64, 3 /* 0, 1 */ },
7089 { 0, 7386 /* msdbr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7090 { 0, 7392 /* msdr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7091 { 0, 7397 /* mse */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7092 { 0, 7397 /* mse */, MCK_FP32, 3 /* 0, 1 */ },
7093 { 0, 7401 /* mseb */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7094 { 0, 7401 /* mseb */, MCK_FP32, 3 /* 0, 1 */ },
7095 { 0, 7406 /* msebr */, MCK_FP32, 7 /* 0, 1, 2 */ },
7096 { 0, 7412 /* mser */, MCK_FP32, 7 /* 0, 1, 2 */ },
7097 { 0, 7417 /* msfi */, MCK_GR32, 1 /* 0 */ },
7098 { 0, 7422 /* msg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7099 { 0, 7422 /* msg */, MCK_GR64, 1 /* 0 */ },
7100 { Feature_FeatureMiscellaneousExtensions2, 7426 /* msgc */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7101 { Feature_FeatureMiscellaneousExtensions2, 7426 /* msgc */, MCK_GR64, 1 /* 0 */ },
7102 { 0, 7431 /* msgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7103 { 0, 7431 /* msgf */, MCK_GR64, 1 /* 0 */ },
7104 { 0, 7436 /* msgfi */, MCK_GR64, 1 /* 0 */ },
7105 { 0, 7442 /* msgfr */, MCK_GR32, 2 /* 1 */ },
7106 { 0, 7442 /* msgfr */, MCK_GR64, 1 /* 0 */ },
7107 { 0, 7448 /* msgr */, MCK_GR64, 3 /* 0, 1 */ },
7108 { Feature_FeatureMiscellaneousExtensions2, 7453 /* msgrkc */, MCK_GR64, 7 /* 0, 1, 2 */ },
7109 { 0, 7460 /* msr */, MCK_GR32, 3 /* 0, 1 */ },
7110 { Feature_FeatureMiscellaneousExtensions2, 7464 /* msrkc */, MCK_GR32, 7 /* 0, 1, 2 */ },
7111 { 0, 7470 /* msta */, MCK_GR128, 1 /* 0 */ },
7112 { 0, 7475 /* msy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7113 { 0, 7475 /* msy */, MCK_GR32, 1 /* 0 */ },
7114 { 0, 7479 /* mvc */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7115 { 0, 7479 /* mvc */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7116 { 0, 7483 /* mvcdk */, MCK_BDAddr64Disp12, 3 /* 0, 1 */ },
7117 { 0, 7489 /* mvcin */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7118 { 0, 7489 /* mvcin */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7119 { 0, 7495 /* mvck */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7120 { 0, 7495 /* mvck */, MCK_BDRAddr64Disp12, 1 /* 0 */ },
7121 { 0, 7495 /* mvck */, MCK_GR64, 4 /* 2 */ },
7122 { 0, 7500 /* mvcl */, MCK_GR128, 3 /* 0, 1 */ },
7123 { 0, 7505 /* mvcle */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7124 { 0, 7505 /* mvcle */, MCK_GR128, 3 /* 0, 1 */ },
7125 { 0, 7511 /* mvclu */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7126 { 0, 7511 /* mvclu */, MCK_GR128, 3 /* 0, 1 */ },
7127 { 0, 7517 /* mvcos */, MCK_BDAddr64Disp12, 3 /* 0, 1 */ },
7128 { 0, 7517 /* mvcos */, MCK_GR64, 4 /* 2 */ },
7129 { 0, 7523 /* mvcp */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7130 { 0, 7523 /* mvcp */, MCK_BDRAddr64Disp12, 1 /* 0 */ },
7131 { 0, 7523 /* mvcp */, MCK_GR64, 4 /* 2 */ },
7132 { 0, 7528 /* mvcs */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7133 { 0, 7528 /* mvcs */, MCK_BDRAddr64Disp12, 1 /* 0 */ },
7134 { 0, 7528 /* mvcs */, MCK_GR64, 4 /* 2 */ },
7135 { 0, 7533 /* mvcsk */, MCK_BDAddr64Disp12, 3 /* 0, 1 */ },
7136 { 0, 7539 /* mvghi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7137 { 0, 7545 /* mvhhi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7138 { 0, 7551 /* mvhi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7139 { 0, 7556 /* mvi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7140 { 0, 7560 /* mviy */, MCK_BDAddr64Disp20, 1 /* 0 */ },
7141 { 0, 7565 /* mvn */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7142 { 0, 7565 /* mvn */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7143 { 0, 7569 /* mvo */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
7144 { 0, 7573 /* mvpg */, MCK_GR64, 3 /* 0, 1 */ },
7145 { 0, 7578 /* mvst */, MCK_GR64, 3 /* 0, 1 */ },
7146 { 0, 7583 /* mvz */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7147 { 0, 7583 /* mvz */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7148 { 0, 7587 /* mxbr */, MCK_FP128, 3 /* 0, 1 */ },
7149 { 0, 7592 /* mxd */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7150 { 0, 7592 /* mxd */, MCK_FP128, 1 /* 0 */ },
7151 { 0, 7596 /* mxdb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7152 { 0, 7596 /* mxdb */, MCK_FP128, 1 /* 0 */ },
7153 { 0, 7601 /* mxdbr */, MCK_FP128, 1 /* 0 */ },
7154 { 0, 7601 /* mxdbr */, MCK_FP64, 2 /* 1 */ },
7155 { 0, 7607 /* mxdr */, MCK_FP128, 1 /* 0 */ },
7156 { 0, 7607 /* mxdr */, MCK_FP64, 2 /* 1 */ },
7157 { 0, 7612 /* mxr */, MCK_FP128, 3 /* 0, 1 */ },
7158 { 0, 7616 /* mxtr */, MCK_FP128, 7 /* 0, 1, 2 */ },
7159 { Feature_FeatureFPExtension, 7621 /* mxtra */, MCK_FP128, 7 /* 0, 1, 2 */ },
7160 { 0, 7627 /* my */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7161 { 0, 7627 /* my */, MCK_FP128, 1 /* 0 */ },
7162 { 0, 7627 /* my */, MCK_FP64, 2 /* 1 */ },
7163 { 0, 7630 /* myh */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7164 { 0, 7630 /* myh */, MCK_FP64, 3 /* 0, 1 */ },
7165 { 0, 7634 /* myhr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7166 { 0, 7639 /* myl */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7167 { 0, 7639 /* myl */, MCK_FP64, 3 /* 0, 1 */ },
7168 { 0, 7643 /* mylr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7169 { 0, 7648 /* myr */, MCK_FP128, 1 /* 0 */ },
7170 { 0, 7648 /* myr */, MCK_FP64, 6 /* 1, 2 */ },
7171 { 0, 7652 /* n */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7172 { 0, 7652 /* n */, MCK_GR32, 1 /* 0 */ },
7173 { 0, 7654 /* nc */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7174 { 0, 7654 /* nc */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7175 { 0, 7657 /* ng */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7176 { 0, 7657 /* ng */, MCK_GR64, 1 /* 0 */ },
7177 { 0, 7660 /* ngr */, MCK_GR64, 3 /* 0, 1 */ },
7178 { Feature_FeatureDistinctOps, 7664 /* ngrk */, MCK_GR64, 7 /* 0, 1, 2 */ },
7179 { 0, 7669 /* ni */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7180 { 0, 7677 /* nihf */, MCK_GRH32, 1 /* 0 */ },
7181 { 0, 7682 /* nihh */, MCK_GRH32, 1 /* 0 */ },
7182 { 0, 7687 /* nihl */, MCK_GRH32, 1 /* 0 */ },
7183 { 0, 7692 /* nilf */, MCK_GR32, 1 /* 0 */ },
7184 { 0, 7697 /* nilh */, MCK_GR32, 1 /* 0 */ },
7185 { 0, 7702 /* nill */, MCK_GR32, 1 /* 0 */ },
7186 { 0, 7707 /* niy */, MCK_BDAddr64Disp20, 1 /* 0 */ },
7187 { 0, 7711 /* nop */, MCK_BDXAddr64Disp12, 1 /* 0 */ },
7188 { 0, 7715 /* nopr */, MCK_GR64, 1 /* 0 */ },
7189 { 0, 7720 /* nr */, MCK_GR32, 3 /* 0, 1 */ },
7190 { Feature_FeatureDistinctOps, 7723 /* nrk */, MCK_GR32, 7 /* 0, 1, 2 */ },
7191 { Feature_FeatureTransactionalExecution, 7727 /* ntstg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7192 { Feature_FeatureTransactionalExecution, 7727 /* ntstg */, MCK_GR64, 1 /* 0 */ },
7193 { 0, 7733 /* ny */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7194 { 0, 7733 /* ny */, MCK_GR32, 1 /* 0 */ },
7195 { 0, 7736 /* o */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7196 { 0, 7736 /* o */, MCK_GR32, 1 /* 0 */ },
7197 { 0, 7738 /* oc */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7198 { 0, 7738 /* oc */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7199 { 0, 7741 /* og */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7200 { 0, 7741 /* og */, MCK_GR64, 1 /* 0 */ },
7201 { 0, 7744 /* ogr */, MCK_GR64, 3 /* 0, 1 */ },
7202 { Feature_FeatureDistinctOps, 7748 /* ogrk */, MCK_GR64, 7 /* 0, 1, 2 */ },
7203 { 0, 7753 /* oi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7204 { 0, 7756 /* oihf */, MCK_GRH32, 1 /* 0 */ },
7205 { 0, 7761 /* oihh */, MCK_GRH32, 1 /* 0 */ },
7206 { 0, 7766 /* oihl */, MCK_GRH32, 1 /* 0 */ },
7207 { 0, 7771 /* oilf */, MCK_GR32, 1 /* 0 */ },
7208 { 0, 7776 /* oilh */, MCK_GR32, 1 /* 0 */ },
7209 { 0, 7781 /* oill */, MCK_GR32, 1 /* 0 */ },
7210 { 0, 7786 /* oiy */, MCK_BDAddr64Disp20, 1 /* 0 */ },
7211 { 0, 7790 /* or */, MCK_GR32, 3 /* 0, 1 */ },
7212 { Feature_FeatureDistinctOps, 7793 /* ork */, MCK_GR32, 7 /* 0, 1, 2 */ },
7213 { 0, 7797 /* oy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7214 { 0, 7797 /* oy */, MCK_GR32, 1 /* 0 */ },
7215 { 0, 7800 /* pack */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
7216 { 0, 7810 /* pc */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7217 { 0, 7823 /* pfd */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7218 { 0, 7827 /* pfdrl */, MCK_PCRel32, 2 /* 1 */ },
7219 { 0, 7833 /* pfmf */, MCK_GR32, 1 /* 0 */ },
7220 { 0, 7833 /* pfmf */, MCK_GR64, 2 /* 1 */ },
7221 { 0, 7843 /* pgin */, MCK_GR64, 3 /* 0, 1 */ },
7222 { 0, 7848 /* pgout */, MCK_GR64, 3 /* 0, 1 */ },
7223 { 0, 7854 /* pka */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7224 { 0, 7854 /* pka */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
7225 { 0, 7858 /* pku */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7226 { 0, 7858 /* pku */, MCK_BDLAddr64Disp12Len8, 2 /* 1 */ },
7227 { 0, 7862 /* plo */, MCK_BDAddr64Disp12, 10 /* 1, 3 */ },
7228 { 0, 7862 /* plo */, MCK_GR64, 5 /* 0, 2 */ },
7229 { Feature_FeaturePopulationCount, 7866 /* popcnt */, MCK_GR64, 3 /* 0, 1 */ },
7230 { Feature_FeatureProcessorAssist, 7873 /* ppa */, MCK_GR64, 3 /* 0, 1 */ },
7231 { Feature_FeatureMessageSecurityAssist5, 7877 /* ppno */, MCK_GR128, 3 /* 0, 1 */ },
7232 { Feature_FeatureMessageSecurityAssist7, 7885 /* prno */, MCK_GR128, 3 /* 0, 1 */ },
7233 { 0, 7890 /* pt */, MCK_GR32, 1 /* 0 */ },
7234 { 0, 7890 /* pt */, MCK_GR64, 2 /* 1 */ },
7235 { 0, 7893 /* ptf */, MCK_GR64, 1 /* 0 */ },
7236 { 0, 7902 /* pti */, MCK_GR64, 3 /* 0, 1 */ },
7237 { 0, 7911 /* qadtr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7238 { 0, 7917 /* qaxtr */, MCK_FP128, 7 /* 0, 1, 2 */ },
7239 { 0, 7923 /* qctri */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7240 { 0, 7929 /* qsi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7241 { 0, 7938 /* risbg */, MCK_GR64, 3 /* 0, 1 */ },
7242 { Feature_FeatureMiscellaneousExtensions, 7944 /* risbgn */, MCK_GR64, 3 /* 0, 1 */ },
7243 { Feature_FeatureHighWord, 7951 /* risbhg */, MCK_GR64, 2 /* 1 */ },
7244 { Feature_FeatureHighWord, 7951 /* risbhg */, MCK_GRH32, 1 /* 0 */ },
7245 { Feature_FeatureHighWord, 7958 /* risblg */, MCK_GR32, 1 /* 0 */ },
7246 { Feature_FeatureHighWord, 7958 /* risblg */, MCK_GR64, 2 /* 1 */ },
7247 { 0, 7965 /* rll */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7248 { 0, 7965 /* rll */, MCK_GR32, 3 /* 0, 1 */ },
7249 { 0, 7969 /* rllg */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7250 { 0, 7969 /* rllg */, MCK_GR64, 3 /* 0, 1 */ },
7251 { 0, 7974 /* rnsbg */, MCK_GR64, 3 /* 0, 1 */ },
7252 { 0, 7980 /* rosbg */, MCK_GR64, 3 /* 0, 1 */ },
7253 { 0, 7986 /* rp */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7254 { 0, 7989 /* rrbe */, MCK_GR32, 1 /* 0 */ },
7255 { 0, 7989 /* rrbe */, MCK_GR64, 2 /* 1 */ },
7256 { Feature_FeatureResetReferenceBitsMultiple, 7994 /* rrbm */, MCK_GR64, 3 /* 0, 1 */ },
7257 { 0, 7999 /* rrdtr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7258 { 0, 8005 /* rrxtr */, MCK_FP128, 7 /* 0, 1, 2 */ },
7259 { 0, 8016 /* rxsbg */, MCK_GR64, 3 /* 0, 1 */ },
7260 { 0, 8022 /* s */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7261 { 0, 8022 /* s */, MCK_GR32, 1 /* 0 */ },
7262 { 0, 8024 /* sac */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7263 { 0, 8028 /* sacf */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7264 { 0, 8055 /* sar */, MCK_AR32, 1 /* 0 */ },
7265 { 0, 8055 /* sar */, MCK_GR32, 2 /* 1 */ },
7266 { 0, 8059 /* scctr */, MCK_GR64, 3 /* 0, 1 */ },
7267 { 0, 8070 /* sck */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7268 { 0, 8074 /* sckc */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7269 { 0, 8085 /* sd */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7270 { 0, 8085 /* sd */, MCK_FP64, 1 /* 0 */ },
7271 { 0, 8088 /* sdb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7272 { 0, 8088 /* sdb */, MCK_FP64, 1 /* 0 */ },
7273 { 0, 8092 /* sdbr */, MCK_FP64, 3 /* 0, 1 */ },
7274 { 0, 8097 /* sdr */, MCK_FP64, 3 /* 0, 1 */ },
7275 { 0, 8101 /* sdtr */, MCK_FP64, 7 /* 0, 1, 2 */ },
7276 { Feature_FeatureFPExtension, 8106 /* sdtra */, MCK_FP64, 7 /* 0, 1, 2 */ },
7277 { 0, 8112 /* se */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7278 { 0, 8112 /* se */, MCK_FP32, 1 /* 0 */ },
7279 { 0, 8115 /* seb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7280 { 0, 8115 /* seb */, MCK_FP32, 1 /* 0 */ },
7281 { 0, 8119 /* sebr */, MCK_FP32, 3 /* 0, 1 */ },
7282 { 0, 8124 /* ser */, MCK_FP32, 3 /* 0, 1 */ },
7283 { 0, 8128 /* sfasr */, MCK_GR32, 1 /* 0 */ },
7284 { 0, 8134 /* sfpc */, MCK_GR32, 1 /* 0 */ },
7285 { 0, 8139 /* sg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7286 { 0, 8139 /* sg */, MCK_GR64, 1 /* 0 */ },
7287 { 0, 8142 /* sgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7288 { 0, 8142 /* sgf */, MCK_GR64, 1 /* 0 */ },
7289 { 0, 8146 /* sgfr */, MCK_GR32, 2 /* 1 */ },
7290 { 0, 8146 /* sgfr */, MCK_GR64, 1 /* 0 */ },
7291 { Feature_FeatureMiscellaneousExtensions2, 8151 /* sgh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7292 { Feature_FeatureMiscellaneousExtensions2, 8151 /* sgh */, MCK_GR64, 1 /* 0 */ },
7293 { 0, 8155 /* sgr */, MCK_GR64, 3 /* 0, 1 */ },
7294 { Feature_FeatureDistinctOps, 8159 /* sgrk */, MCK_GR64, 7 /* 0, 1, 2 */ },
7295 { 0, 8164 /* sh */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7296 { 0, 8164 /* sh */, MCK_GR32, 1 /* 0 */ },
7297 { Feature_FeatureHighWord, 8167 /* shhhr */, MCK_GRH32, 7 /* 0, 1, 2 */ },
7298 { Feature_FeatureHighWord, 8173 /* shhlr */, MCK_GR32, 4 /* 2 */ },
7299 { Feature_FeatureHighWord, 8173 /* shhlr */, MCK_GRH32, 3 /* 0, 1 */ },
7300 { 0, 8179 /* shy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7301 { 0, 8179 /* shy */, MCK_GR32, 1 /* 0 */ },
7302 { 0, 8183 /* sie */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7303 { 0, 8187 /* siga */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7304 { 0, 8192 /* sigp */, MCK_BDAddr64Disp12, 4 /* 2 */ },
7305 { 0, 8192 /* sigp */, MCK_GR64, 3 /* 0, 1 */ },
7306 { 0, 8197 /* sl */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7307 { 0, 8197 /* sl */, MCK_GR32, 1 /* 0 */ },
7308 { 0, 8200 /* sla */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7309 { 0, 8200 /* sla */, MCK_GR32, 1 /* 0 */ },
7310 { 0, 8204 /* slag */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7311 { 0, 8204 /* slag */, MCK_GR64, 3 /* 0, 1 */ },
7312 { Feature_FeatureDistinctOps, 8209 /* slak */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7313 { Feature_FeatureDistinctOps, 8209 /* slak */, MCK_GR32, 3 /* 0, 1 */ },
7314 { 0, 8214 /* slb */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7315 { 0, 8214 /* slb */, MCK_GR32, 1 /* 0 */ },
7316 { 0, 8218 /* slbg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7317 { 0, 8218 /* slbg */, MCK_GR64, 1 /* 0 */ },
7318 { 0, 8223 /* slbgr */, MCK_GR64, 3 /* 0, 1 */ },
7319 { 0, 8229 /* slbr */, MCK_GR32, 3 /* 0, 1 */ },
7320 { 0, 8234 /* slda */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7321 { 0, 8234 /* slda */, MCK_GR128, 1 /* 0 */ },
7322 { 0, 8239 /* sldl */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7323 { 0, 8239 /* sldl */, MCK_GR128, 1 /* 0 */ },
7324 { 0, 8244 /* sldt */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7325 { 0, 8244 /* sldt */, MCK_FP64, 3 /* 0, 1 */ },
7326 { 0, 8249 /* slfi */, MCK_GR32, 1 /* 0 */ },
7327 { 0, 8254 /* slg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7328 { 0, 8254 /* slg */, MCK_GR64, 1 /* 0 */ },
7329 { 0, 8258 /* slgf */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7330 { 0, 8258 /* slgf */, MCK_GR64, 1 /* 0 */ },
7331 { 0, 8263 /* slgfi */, MCK_GR64, 1 /* 0 */ },
7332 { 0, 8269 /* slgfr */, MCK_GR32, 2 /* 1 */ },
7333 { 0, 8269 /* slgfr */, MCK_GR64, 1 /* 0 */ },
7334 { 0, 8275 /* slgr */, MCK_GR64, 3 /* 0, 1 */ },
7335 { Feature_FeatureDistinctOps, 8280 /* slgrk */, MCK_GR64, 7 /* 0, 1, 2 */ },
7336 { Feature_FeatureHighWord, 8286 /* slhhhr */, MCK_GRH32, 7 /* 0, 1, 2 */ },
7337 { Feature_FeatureHighWord, 8293 /* slhhlr */, MCK_GR32, 4 /* 2 */ },
7338 { Feature_FeatureHighWord, 8293 /* slhhlr */, MCK_GRH32, 3 /* 0, 1 */ },
7339 { 0, 8300 /* sll */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7340 { 0, 8300 /* sll */, MCK_GR32, 1 /* 0 */ },
7341 { 0, 8304 /* sllg */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7342 { 0, 8304 /* sllg */, MCK_GR64, 3 /* 0, 1 */ },
7343 { Feature_FeatureDistinctOps, 8309 /* sllk */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7344 { Feature_FeatureDistinctOps, 8309 /* sllk */, MCK_GR32, 3 /* 0, 1 */ },
7345 { 0, 8314 /* slr */, MCK_GR32, 3 /* 0, 1 */ },
7346 { Feature_FeatureDistinctOps, 8318 /* slrk */, MCK_GR32, 7 /* 0, 1, 2 */ },
7347 { 0, 8323 /* slxt */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7348 { 0, 8323 /* slxt */, MCK_FP128, 3 /* 0, 1 */ },
7349 { 0, 8328 /* sly */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7350 { 0, 8328 /* sly */, MCK_GR32, 1 /* 0 */ },
7351 { 0, 8332 /* sp */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
7352 { 0, 8335 /* spctr */, MCK_GR64, 3 /* 0, 1 */ },
7353 { 0, 8341 /* spka */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7354 { 0, 8346 /* spm */, MCK_GR32, 1 /* 0 */ },
7355 { 0, 8350 /* spt */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7356 { 0, 8354 /* spx */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7357 { 0, 8358 /* sqd */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7358 { 0, 8358 /* sqd */, MCK_FP64, 1 /* 0 */ },
7359 { 0, 8362 /* sqdb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7360 { 0, 8362 /* sqdb */, MCK_FP64, 1 /* 0 */ },
7361 { 0, 8367 /* sqdbr */, MCK_FP64, 3 /* 0, 1 */ },
7362 { 0, 8373 /* sqdr */, MCK_FP64, 3 /* 0, 1 */ },
7363 { 0, 8378 /* sqe */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7364 { 0, 8378 /* sqe */, MCK_FP32, 1 /* 0 */ },
7365 { 0, 8382 /* sqeb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7366 { 0, 8382 /* sqeb */, MCK_FP32, 1 /* 0 */ },
7367 { 0, 8387 /* sqebr */, MCK_FP32, 3 /* 0, 1 */ },
7368 { 0, 8393 /* sqer */, MCK_FP32, 3 /* 0, 1 */ },
7369 { 0, 8398 /* sqxbr */, MCK_FP128, 3 /* 0, 1 */ },
7370 { 0, 8404 /* sqxr */, MCK_FP128, 3 /* 0, 1 */ },
7371 { 0, 8409 /* sr */, MCK_GR32, 3 /* 0, 1 */ },
7372 { 0, 8412 /* sra */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7373 { 0, 8412 /* sra */, MCK_GR32, 1 /* 0 */ },
7374 { 0, 8416 /* srag */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7375 { 0, 8416 /* srag */, MCK_GR64, 3 /* 0, 1 */ },
7376 { Feature_FeatureDistinctOps, 8421 /* srak */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7377 { Feature_FeatureDistinctOps, 8421 /* srak */, MCK_GR32, 3 /* 0, 1 */ },
7378 { 0, 8426 /* srda */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7379 { 0, 8426 /* srda */, MCK_GR128, 1 /* 0 */ },
7380 { 0, 8431 /* srdl */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7381 { 0, 8431 /* srdl */, MCK_GR128, 1 /* 0 */ },
7382 { 0, 8436 /* srdt */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7383 { 0, 8436 /* srdt */, MCK_FP64, 3 /* 0, 1 */ },
7384 { Feature_FeatureDistinctOps, 8441 /* srk */, MCK_GR32, 7 /* 0, 1, 2 */ },
7385 { 0, 8445 /* srl */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7386 { 0, 8445 /* srl */, MCK_GR32, 1 /* 0 */ },
7387 { 0, 8449 /* srlg */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7388 { 0, 8449 /* srlg */, MCK_GR64, 3 /* 0, 1 */ },
7389 { Feature_FeatureDistinctOps, 8454 /* srlk */, MCK_BDAddr32Disp20, 4 /* 2 */ },
7390 { Feature_FeatureDistinctOps, 8454 /* srlk */, MCK_GR32, 3 /* 0, 1 */ },
7391 { 0, 8459 /* srnm */, MCK_BDAddr32Disp12, 1 /* 0 */ },
7392 { Feature_FeatureFPExtension, 8464 /* srnmb */, MCK_BDAddr32Disp12, 1 /* 0 */ },
7393 { 0, 8470 /* srnmt */, MCK_BDAddr32Disp12, 1 /* 0 */ },
7394 { 0, 8476 /* srp */, MCK_BDAddr32Disp12, 2 /* 1 */ },
7395 { 0, 8476 /* srp */, MCK_BDLAddr64Disp12Len4, 1 /* 0 */ },
7396 { 0, 8480 /* srst */, MCK_GR64, 3 /* 0, 1 */ },
7397 { 0, 8485 /* srstu */, MCK_GR64, 3 /* 0, 1 */ },
7398 { 0, 8491 /* srxt */, MCK_BDXAddr64Disp12, 4 /* 2 */ },
7399 { 0, 8491 /* srxt */, MCK_FP128, 3 /* 0, 1 */ },
7400 { 0, 8496 /* ssair */, MCK_GR64, 1 /* 0 */ },
7401 { 0, 8502 /* ssar */, MCK_GR32, 1 /* 0 */ },
7402 { 0, 8507 /* ssch */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7403 { 0, 8512 /* sske */, MCK_GR32, 1 /* 0 */ },
7404 { 0, 8512 /* sske */, MCK_GR64, 2 /* 1 */ },
7405 { 0, 8512 /* sske */, MCK_GR32, 1 /* 0 */ },
7406 { 0, 8512 /* sske */, MCK_GR64, 2 /* 1 */ },
7407 { 0, 8517 /* ssm */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7408 { 0, 8521 /* st */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7409 { 0, 8521 /* st */, MCK_GR32, 1 /* 0 */ },
7410 { 0, 8524 /* stam */, MCK_AR32, 3 /* 0, 1 */ },
7411 { 0, 8524 /* stam */, MCK_BDAddr64Disp12, 4 /* 2 */ },
7412 { 0, 8529 /* stamy */, MCK_AR32, 3 /* 0, 1 */ },
7413 { 0, 8529 /* stamy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
7414 { 0, 8535 /* stap */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7415 { 0, 8540 /* stc */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7416 { 0, 8540 /* stc */, MCK_GR32, 1 /* 0 */ },
7417 { Feature_FeatureHighWord, 8544 /* stch */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7418 { Feature_FeatureHighWord, 8544 /* stch */, MCK_GRH32, 1 /* 0 */ },
7419 { 0, 8549 /* stck */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7420 { 0, 8554 /* stckc */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7421 { 0, 8560 /* stcke */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7422 { 0, 8566 /* stckf */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7423 { 0, 8572 /* stcm */, MCK_BDAddr64Disp12, 4 /* 2 */ },
7424 { 0, 8572 /* stcm */, MCK_GR32, 1 /* 0 */ },
7425 { 0, 8577 /* stcmh */, MCK_BDAddr64Disp20, 4 /* 2 */ },
7426 { 0, 8577 /* stcmh */, MCK_GRH32, 1 /* 0 */ },
7427 { 0, 8583 /* stcmy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
7428 { 0, 8583 /* stcmy */, MCK_GR32, 1 /* 0 */ },
7429 { 0, 8589 /* stcps */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7430 { 0, 8595 /* stcrw */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7431 { 0, 8601 /* stctg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
7432 { 0, 8601 /* stctg */, MCK_CR64, 3 /* 0, 1 */ },
7433 { 0, 8607 /* stctl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
7434 { 0, 8607 /* stctl */, MCK_CR64, 3 /* 0, 1 */ },
7435 { 0, 8613 /* stcy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7436 { 0, 8613 /* stcy */, MCK_GR32, 1 /* 0 */ },
7437 { 0, 8618 /* std */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7438 { 0, 8618 /* std */, MCK_FP64, 1 /* 0 */ },
7439 { 0, 8622 /* stdy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7440 { 0, 8622 /* stdy */, MCK_FP64, 1 /* 0 */ },
7441 { 0, 8627 /* ste */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7442 { 0, 8627 /* ste */, MCK_FP32, 1 /* 0 */ },
7443 { 0, 8631 /* stey */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7444 { 0, 8631 /* stey */, MCK_FP32, 1 /* 0 */ },
7445 { Feature_FeatureHighWord, 8636 /* stfh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7446 { Feature_FeatureHighWord, 8636 /* stfh */, MCK_GRH32, 1 /* 0 */ },
7447 { 0, 8641 /* stfl */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7448 { 0, 8646 /* stfle */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7449 { 0, 8652 /* stfpc */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7450 { 0, 8658 /* stg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7451 { 0, 8658 /* stg */, MCK_GR64, 1 /* 0 */ },
7452 { 0, 8662 /* stgrl */, MCK_GR64, 1 /* 0 */ },
7453 { 0, 8662 /* stgrl */, MCK_PCRel32, 2 /* 1 */ },
7454 { Feature_FeatureGuardedStorage, 8668 /* stgsc */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7455 { Feature_FeatureGuardedStorage, 8668 /* stgsc */, MCK_GR64, 1 /* 0 */ },
7456 { 0, 8674 /* sth */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7457 { 0, 8674 /* sth */, MCK_GR32, 1 /* 0 */ },
7458 { Feature_FeatureHighWord, 8678 /* sthh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7459 { Feature_FeatureHighWord, 8678 /* sthh */, MCK_GRH32, 1 /* 0 */ },
7460 { 0, 8683 /* sthrl */, MCK_GR32, 1 /* 0 */ },
7461 { 0, 8683 /* sthrl */, MCK_PCRel32, 2 /* 1 */ },
7462 { 0, 8689 /* sthy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7463 { 0, 8689 /* sthy */, MCK_GR32, 1 /* 0 */ },
7464 { 0, 8694 /* stidp */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7465 { 0, 8700 /* stm */, MCK_BDAddr64Disp12, 4 /* 2 */ },
7466 { 0, 8700 /* stm */, MCK_GR32, 3 /* 0, 1 */ },
7467 { 0, 8704 /* stmg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
7468 { 0, 8704 /* stmg */, MCK_GR64, 3 /* 0, 1 */ },
7469 { 0, 8709 /* stmh */, MCK_BDAddr64Disp20, 4 /* 2 */ },
7470 { 0, 8709 /* stmh */, MCK_GRH32, 3 /* 0, 1 */ },
7471 { 0, 8714 /* stmy */, MCK_BDAddr64Disp20, 4 /* 2 */ },
7472 { 0, 8714 /* stmy */, MCK_GR32, 3 /* 0, 1 */ },
7473 { 0, 8719 /* stnsm */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7474 { Feature_FeatureLoadStoreOnCond, 8725 /* stoc */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7475 { Feature_FeatureLoadStoreOnCond, 8725 /* stoc */, MCK_GR32, 1 /* 0 */ },
7476 { Feature_FeatureLoadStoreOnCond, 8730 /* stoce */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7477 { Feature_FeatureLoadStoreOnCond, 8730 /* stoce */, MCK_GR32, 1 /* 0 */ },
7478 { Feature_FeatureLoadStoreOnCond2, 8736 /* stocfh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7479 { Feature_FeatureLoadStoreOnCond2, 8736 /* stocfh */, MCK_GRH32, 1 /* 0 */ },
7480 { Feature_FeatureLoadStoreOnCond2, 8743 /* stocfhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7481 { Feature_FeatureLoadStoreOnCond2, 8743 /* stocfhe */, MCK_GRH32, 1 /* 0 */ },
7482 { Feature_FeatureLoadStoreOnCond2, 8751 /* stocfhh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7483 { Feature_FeatureLoadStoreOnCond2, 8751 /* stocfhh */, MCK_GRH32, 1 /* 0 */ },
7484 { Feature_FeatureLoadStoreOnCond2, 8759 /* stocfhhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7485 { Feature_FeatureLoadStoreOnCond2, 8759 /* stocfhhe */, MCK_GRH32, 1 /* 0 */ },
7486 { Feature_FeatureLoadStoreOnCond2, 8768 /* stocfhl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7487 { Feature_FeatureLoadStoreOnCond2, 8768 /* stocfhl */, MCK_GRH32, 1 /* 0 */ },
7488 { Feature_FeatureLoadStoreOnCond2, 8776 /* stocfhle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7489 { Feature_FeatureLoadStoreOnCond2, 8776 /* stocfhle */, MCK_GRH32, 1 /* 0 */ },
7490 { Feature_FeatureLoadStoreOnCond2, 8785 /* stocfhlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7491 { Feature_FeatureLoadStoreOnCond2, 8785 /* stocfhlh */, MCK_GRH32, 1 /* 0 */ },
7492 { Feature_FeatureLoadStoreOnCond2, 8794 /* stocfhm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7493 { Feature_FeatureLoadStoreOnCond2, 8794 /* stocfhm */, MCK_GRH32, 1 /* 0 */ },
7494 { Feature_FeatureLoadStoreOnCond2, 8802 /* stocfhne */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7495 { Feature_FeatureLoadStoreOnCond2, 8802 /* stocfhne */, MCK_GRH32, 1 /* 0 */ },
7496 { Feature_FeatureLoadStoreOnCond2, 8811 /* stocfhnh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7497 { Feature_FeatureLoadStoreOnCond2, 8811 /* stocfhnh */, MCK_GRH32, 1 /* 0 */ },
7498 { Feature_FeatureLoadStoreOnCond2, 8820 /* stocfhnhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7499 { Feature_FeatureLoadStoreOnCond2, 8820 /* stocfhnhe */, MCK_GRH32, 1 /* 0 */ },
7500 { Feature_FeatureLoadStoreOnCond2, 8830 /* stocfhnl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7501 { Feature_FeatureLoadStoreOnCond2, 8830 /* stocfhnl */, MCK_GRH32, 1 /* 0 */ },
7502 { Feature_FeatureLoadStoreOnCond2, 8839 /* stocfhnle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7503 { Feature_FeatureLoadStoreOnCond2, 8839 /* stocfhnle */, MCK_GRH32, 1 /* 0 */ },
7504 { Feature_FeatureLoadStoreOnCond2, 8849 /* stocfhnlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7505 { Feature_FeatureLoadStoreOnCond2, 8849 /* stocfhnlh */, MCK_GRH32, 1 /* 0 */ },
7506 { Feature_FeatureLoadStoreOnCond2, 8859 /* stocfhnm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7507 { Feature_FeatureLoadStoreOnCond2, 8859 /* stocfhnm */, MCK_GRH32, 1 /* 0 */ },
7508 { Feature_FeatureLoadStoreOnCond2, 8868 /* stocfhno */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7509 { Feature_FeatureLoadStoreOnCond2, 8868 /* stocfhno */, MCK_GRH32, 1 /* 0 */ },
7510 { Feature_FeatureLoadStoreOnCond2, 8877 /* stocfhnp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7511 { Feature_FeatureLoadStoreOnCond2, 8877 /* stocfhnp */, MCK_GRH32, 1 /* 0 */ },
7512 { Feature_FeatureLoadStoreOnCond2, 8886 /* stocfhnz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7513 { Feature_FeatureLoadStoreOnCond2, 8886 /* stocfhnz */, MCK_GRH32, 1 /* 0 */ },
7514 { Feature_FeatureLoadStoreOnCond2, 8895 /* stocfho */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7515 { Feature_FeatureLoadStoreOnCond2, 8895 /* stocfho */, MCK_GRH32, 1 /* 0 */ },
7516 { Feature_FeatureLoadStoreOnCond2, 8903 /* stocfhp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7517 { Feature_FeatureLoadStoreOnCond2, 8903 /* stocfhp */, MCK_GRH32, 1 /* 0 */ },
7518 { Feature_FeatureLoadStoreOnCond2, 8911 /* stocfhz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7519 { Feature_FeatureLoadStoreOnCond2, 8911 /* stocfhz */, MCK_GRH32, 1 /* 0 */ },
7520 { Feature_FeatureLoadStoreOnCond, 8919 /* stocg */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7521 { Feature_FeatureLoadStoreOnCond, 8919 /* stocg */, MCK_GR64, 1 /* 0 */ },
7522 { Feature_FeatureLoadStoreOnCond, 8925 /* stocge */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7523 { Feature_FeatureLoadStoreOnCond, 8925 /* stocge */, MCK_GR64, 1 /* 0 */ },
7524 { Feature_FeatureLoadStoreOnCond, 8932 /* stocgh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7525 { Feature_FeatureLoadStoreOnCond, 8932 /* stocgh */, MCK_GR64, 1 /* 0 */ },
7526 { Feature_FeatureLoadStoreOnCond, 8939 /* stocghe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7527 { Feature_FeatureLoadStoreOnCond, 8939 /* stocghe */, MCK_GR64, 1 /* 0 */ },
7528 { Feature_FeatureLoadStoreOnCond, 8947 /* stocgl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7529 { Feature_FeatureLoadStoreOnCond, 8947 /* stocgl */, MCK_GR64, 1 /* 0 */ },
7530 { Feature_FeatureLoadStoreOnCond, 8954 /* stocgle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7531 { Feature_FeatureLoadStoreOnCond, 8954 /* stocgle */, MCK_GR64, 1 /* 0 */ },
7532 { Feature_FeatureLoadStoreOnCond, 8962 /* stocglh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7533 { Feature_FeatureLoadStoreOnCond, 8962 /* stocglh */, MCK_GR64, 1 /* 0 */ },
7534 { Feature_FeatureLoadStoreOnCond, 8970 /* stocgm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7535 { Feature_FeatureLoadStoreOnCond, 8970 /* stocgm */, MCK_GR64, 1 /* 0 */ },
7536 { Feature_FeatureLoadStoreOnCond, 8977 /* stocgne */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7537 { Feature_FeatureLoadStoreOnCond, 8977 /* stocgne */, MCK_GR64, 1 /* 0 */ },
7538 { Feature_FeatureLoadStoreOnCond, 8985 /* stocgnh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7539 { Feature_FeatureLoadStoreOnCond, 8985 /* stocgnh */, MCK_GR64, 1 /* 0 */ },
7540 { Feature_FeatureLoadStoreOnCond, 8993 /* stocgnhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7541 { Feature_FeatureLoadStoreOnCond, 8993 /* stocgnhe */, MCK_GR64, 1 /* 0 */ },
7542 { Feature_FeatureLoadStoreOnCond, 9002 /* stocgnl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7543 { Feature_FeatureLoadStoreOnCond, 9002 /* stocgnl */, MCK_GR64, 1 /* 0 */ },
7544 { Feature_FeatureLoadStoreOnCond, 9010 /* stocgnle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7545 { Feature_FeatureLoadStoreOnCond, 9010 /* stocgnle */, MCK_GR64, 1 /* 0 */ },
7546 { Feature_FeatureLoadStoreOnCond, 9019 /* stocgnlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7547 { Feature_FeatureLoadStoreOnCond, 9019 /* stocgnlh */, MCK_GR64, 1 /* 0 */ },
7548 { Feature_FeatureLoadStoreOnCond, 9028 /* stocgnm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7549 { Feature_FeatureLoadStoreOnCond, 9028 /* stocgnm */, MCK_GR64, 1 /* 0 */ },
7550 { Feature_FeatureLoadStoreOnCond, 9036 /* stocgno */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7551 { Feature_FeatureLoadStoreOnCond, 9036 /* stocgno */, MCK_GR64, 1 /* 0 */ },
7552 { Feature_FeatureLoadStoreOnCond, 9044 /* stocgnp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7553 { Feature_FeatureLoadStoreOnCond, 9044 /* stocgnp */, MCK_GR64, 1 /* 0 */ },
7554 { Feature_FeatureLoadStoreOnCond, 9052 /* stocgnz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7555 { Feature_FeatureLoadStoreOnCond, 9052 /* stocgnz */, MCK_GR64, 1 /* 0 */ },
7556 { Feature_FeatureLoadStoreOnCond, 9060 /* stocgo */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7557 { Feature_FeatureLoadStoreOnCond, 9060 /* stocgo */, MCK_GR64, 1 /* 0 */ },
7558 { Feature_FeatureLoadStoreOnCond, 9067 /* stocgp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7559 { Feature_FeatureLoadStoreOnCond, 9067 /* stocgp */, MCK_GR64, 1 /* 0 */ },
7560 { Feature_FeatureLoadStoreOnCond, 9074 /* stocgz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7561 { Feature_FeatureLoadStoreOnCond, 9074 /* stocgz */, MCK_GR64, 1 /* 0 */ },
7562 { Feature_FeatureLoadStoreOnCond, 9081 /* stoch */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7563 { Feature_FeatureLoadStoreOnCond, 9081 /* stoch */, MCK_GR32, 1 /* 0 */ },
7564 { Feature_FeatureLoadStoreOnCond, 9087 /* stoche */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7565 { Feature_FeatureLoadStoreOnCond, 9087 /* stoche */, MCK_GR32, 1 /* 0 */ },
7566 { Feature_FeatureLoadStoreOnCond, 9094 /* stocl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7567 { Feature_FeatureLoadStoreOnCond, 9094 /* stocl */, MCK_GR32, 1 /* 0 */ },
7568 { Feature_FeatureLoadStoreOnCond, 9100 /* stocle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7569 { Feature_FeatureLoadStoreOnCond, 9100 /* stocle */, MCK_GR32, 1 /* 0 */ },
7570 { Feature_FeatureLoadStoreOnCond, 9107 /* stoclh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7571 { Feature_FeatureLoadStoreOnCond, 9107 /* stoclh */, MCK_GR32, 1 /* 0 */ },
7572 { Feature_FeatureLoadStoreOnCond, 9114 /* stocm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7573 { Feature_FeatureLoadStoreOnCond, 9114 /* stocm */, MCK_GR32, 1 /* 0 */ },
7574 { Feature_FeatureLoadStoreOnCond, 9120 /* stocne */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7575 { Feature_FeatureLoadStoreOnCond, 9120 /* stocne */, MCK_GR32, 1 /* 0 */ },
7576 { Feature_FeatureLoadStoreOnCond, 9127 /* stocnh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7577 { Feature_FeatureLoadStoreOnCond, 9127 /* stocnh */, MCK_GR32, 1 /* 0 */ },
7578 { Feature_FeatureLoadStoreOnCond, 9134 /* stocnhe */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7579 { Feature_FeatureLoadStoreOnCond, 9134 /* stocnhe */, MCK_GR32, 1 /* 0 */ },
7580 { Feature_FeatureLoadStoreOnCond, 9142 /* stocnl */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7581 { Feature_FeatureLoadStoreOnCond, 9142 /* stocnl */, MCK_GR32, 1 /* 0 */ },
7582 { Feature_FeatureLoadStoreOnCond, 9149 /* stocnle */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7583 { Feature_FeatureLoadStoreOnCond, 9149 /* stocnle */, MCK_GR32, 1 /* 0 */ },
7584 { Feature_FeatureLoadStoreOnCond, 9157 /* stocnlh */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7585 { Feature_FeatureLoadStoreOnCond, 9157 /* stocnlh */, MCK_GR32, 1 /* 0 */ },
7586 { Feature_FeatureLoadStoreOnCond, 9165 /* stocnm */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7587 { Feature_FeatureLoadStoreOnCond, 9165 /* stocnm */, MCK_GR32, 1 /* 0 */ },
7588 { Feature_FeatureLoadStoreOnCond, 9172 /* stocno */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7589 { Feature_FeatureLoadStoreOnCond, 9172 /* stocno */, MCK_GR32, 1 /* 0 */ },
7590 { Feature_FeatureLoadStoreOnCond, 9179 /* stocnp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7591 { Feature_FeatureLoadStoreOnCond, 9179 /* stocnp */, MCK_GR32, 1 /* 0 */ },
7592 { Feature_FeatureLoadStoreOnCond, 9186 /* stocnz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7593 { Feature_FeatureLoadStoreOnCond, 9186 /* stocnz */, MCK_GR32, 1 /* 0 */ },
7594 { Feature_FeatureLoadStoreOnCond, 9193 /* stoco */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7595 { Feature_FeatureLoadStoreOnCond, 9193 /* stoco */, MCK_GR32, 1 /* 0 */ },
7596 { Feature_FeatureLoadStoreOnCond, 9199 /* stocp */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7597 { Feature_FeatureLoadStoreOnCond, 9199 /* stocp */, MCK_GR32, 1 /* 0 */ },
7598 { Feature_FeatureLoadStoreOnCond, 9205 /* stocz */, MCK_BDAddr64Disp20, 2 /* 1 */ },
7599 { Feature_FeatureLoadStoreOnCond, 9205 /* stocz */, MCK_GR32, 1 /* 0 */ },
7600 { 0, 9211 /* stosm */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7601 { 0, 9217 /* stpq */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7602 { 0, 9217 /* stpq */, MCK_GR128, 1 /* 0 */ },
7603 { 0, 9222 /* stpt */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7604 { 0, 9227 /* stpx */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7605 { 0, 9232 /* strag */, MCK_BDAddr64Disp12, 3 /* 0, 1 */ },
7606 { 0, 9238 /* strl */, MCK_GR32, 1 /* 0 */ },
7607 { 0, 9238 /* strl */, MCK_PCRel32, 2 /* 1 */ },
7608 { 0, 9243 /* strv */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7609 { 0, 9243 /* strv */, MCK_GR32, 1 /* 0 */ },
7610 { 0, 9248 /* strvg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7611 { 0, 9248 /* strvg */, MCK_GR64, 1 /* 0 */ },
7612 { 0, 9254 /* strvh */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7613 { 0, 9254 /* strvh */, MCK_GR32, 1 /* 0 */ },
7614 { 0, 9260 /* stsch */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7615 { 0, 9266 /* stsi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7616 { 0, 9271 /* stura */, MCK_GR32, 1 /* 0 */ },
7617 { 0, 9271 /* stura */, MCK_GR64, 2 /* 1 */ },
7618 { 0, 9277 /* sturg */, MCK_GR64, 3 /* 0, 1 */ },
7619 { 0, 9283 /* sty */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7620 { 0, 9283 /* sty */, MCK_GR32, 1 /* 0 */ },
7621 { 0, 9287 /* su */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7622 { 0, 9287 /* su */, MCK_FP32, 1 /* 0 */ },
7623 { 0, 9290 /* sur */, MCK_FP32, 3 /* 0, 1 */ },
7624 { 0, 9298 /* sw */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7625 { 0, 9298 /* sw */, MCK_FP64, 1 /* 0 */ },
7626 { 0, 9301 /* swr */, MCK_FP64, 3 /* 0, 1 */ },
7627 { 0, 9305 /* sxbr */, MCK_FP128, 3 /* 0, 1 */ },
7628 { 0, 9310 /* sxr */, MCK_FP128, 3 /* 0, 1 */ },
7629 { 0, 9314 /* sxtr */, MCK_FP128, 7 /* 0, 1, 2 */ },
7630 { Feature_FeatureFPExtension, 9319 /* sxtra */, MCK_FP128, 7 /* 0, 1, 2 */ },
7631 { 0, 9325 /* sy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
7632 { 0, 9325 /* sy */, MCK_GR32, 1 /* 0 */ },
7633 { Feature_FeatureTransactionalExecution, 9328 /* tabort */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7634 { 0, 9339 /* tar */, MCK_AR32, 1 /* 0 */ },
7635 { 0, 9339 /* tar */, MCK_GR32, 2 /* 1 */ },
7636 { 0, 9343 /* tb */, MCK_GR64, 3 /* 0, 1 */ },
7637 { 0, 9346 /* tbdr */, MCK_FP64, 5 /* 0, 2 */ },
7638 { 0, 9351 /* tbedr */, MCK_FP32, 1 /* 0 */ },
7639 { 0, 9351 /* tbedr */, MCK_FP64, 4 /* 2 */ },
7640 { Feature_FeatureTransactionalExecution, 9357 /* tbegin */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7641 { Feature_FeatureTransactionalExecution, 9364 /* tbeginc */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7642 { 0, 9372 /* tcdb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7643 { 0, 9372 /* tcdb */, MCK_FP64, 1 /* 0 */ },
7644 { 0, 9377 /* tceb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7645 { 0, 9377 /* tceb */, MCK_FP32, 1 /* 0 */ },
7646 { 0, 9382 /* tcxb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7647 { 0, 9382 /* tcxb */, MCK_FP128, 1 /* 0 */ },
7648 { 0, 9387 /* tdcdt */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7649 { 0, 9387 /* tdcdt */, MCK_FP64, 1 /* 0 */ },
7650 { 0, 9393 /* tdcet */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7651 { 0, 9393 /* tdcet */, MCK_FP32, 1 /* 0 */ },
7652 { 0, 9399 /* tdcxt */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7653 { 0, 9399 /* tdcxt */, MCK_FP128, 1 /* 0 */ },
7654 { 0, 9405 /* tdgdt */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7655 { 0, 9405 /* tdgdt */, MCK_FP64, 1 /* 0 */ },
7656 { 0, 9411 /* tdget */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7657 { 0, 9411 /* tdget */, MCK_FP32, 1 /* 0 */ },
7658 { 0, 9417 /* tdgxt */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
7659 { 0, 9417 /* tdgxt */, MCK_FP128, 1 /* 0 */ },
7660 { 0, 9428 /* thder */, MCK_FP32, 2 /* 1 */ },
7661 { 0, 9428 /* thder */, MCK_FP64, 1 /* 0 */ },
7662 { 0, 9434 /* thdr */, MCK_FP64, 3 /* 0, 1 */ },
7663 { 0, 9439 /* tm */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7664 { 0, 9442 /* tmh */, MCK_GR32, 1 /* 0 */ },
7665 { 0, 9446 /* tmhh */, MCK_GRH32, 1 /* 0 */ },
7666 { 0, 9451 /* tmhl */, MCK_GRH32, 1 /* 0 */ },
7667 { 0, 9456 /* tml */, MCK_GR32, 1 /* 0 */ },
7668 { 0, 9460 /* tmlh */, MCK_GR32, 1 /* 0 */ },
7669 { 0, 9465 /* tmll */, MCK_GR32, 1 /* 0 */ },
7670 { 0, 9470 /* tmy */, MCK_BDAddr64Disp20, 1 /* 0 */ },
7671 { 0, 9474 /* tp */, MCK_BDLAddr64Disp12Len4, 1 /* 0 */ },
7672 { 0, 9477 /* tpi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7673 { 0, 9481 /* tprot */, MCK_BDAddr64Disp12, 3 /* 0, 1 */ },
7674 { 0, 9487 /* tr */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7675 { 0, 9487 /* tr */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7676 { 0, 9490 /* trace */, MCK_BDAddr64Disp12, 4 /* 2 */ },
7677 { 0, 9490 /* trace */, MCK_GR32, 3 /* 0, 1 */ },
7678 { 0, 9496 /* tracg */, MCK_BDAddr64Disp20, 4 /* 2 */ },
7679 { 0, 9496 /* tracg */, MCK_GR64, 3 /* 0, 1 */ },
7680 { 0, 9508 /* trap4 */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7681 { 0, 9514 /* tre */, MCK_GR128, 1 /* 0 */ },
7682 { 0, 9514 /* tre */, MCK_GR64, 2 /* 1 */ },
7683 { 0, 9518 /* troo */, MCK_GR128, 1 /* 0 */ },
7684 { 0, 9518 /* troo */, MCK_GR64, 2 /* 1 */ },
7685 { 0, 9518 /* troo */, MCK_GR128, 1 /* 0 */ },
7686 { 0, 9518 /* troo */, MCK_GR64, 2 /* 1 */ },
7687 { 0, 9523 /* trot */, MCK_GR128, 1 /* 0 */ },
7688 { 0, 9523 /* trot */, MCK_GR64, 2 /* 1 */ },
7689 { 0, 9523 /* trot */, MCK_GR128, 1 /* 0 */ },
7690 { 0, 9523 /* trot */, MCK_GR64, 2 /* 1 */ },
7691 { 0, 9528 /* trt */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7692 { 0, 9528 /* trt */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7693 { 0, 9532 /* trte */, MCK_GR128, 1 /* 0 */ },
7694 { 0, 9532 /* trte */, MCK_GR64, 2 /* 1 */ },
7695 { 0, 9532 /* trte */, MCK_GR128, 1 /* 0 */ },
7696 { 0, 9532 /* trte */, MCK_GR64, 2 /* 1 */ },
7697 { 0, 9537 /* trto */, MCK_GR128, 1 /* 0 */ },
7698 { 0, 9537 /* trto */, MCK_GR64, 2 /* 1 */ },
7699 { 0, 9537 /* trto */, MCK_GR128, 1 /* 0 */ },
7700 { 0, 9537 /* trto */, MCK_GR64, 2 /* 1 */ },
7701 { 0, 9542 /* trtr */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7702 { 0, 9542 /* trtr */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7703 { 0, 9547 /* trtre */, MCK_GR128, 1 /* 0 */ },
7704 { 0, 9547 /* trtre */, MCK_GR64, 2 /* 1 */ },
7705 { 0, 9547 /* trtre */, MCK_GR128, 1 /* 0 */ },
7706 { 0, 9547 /* trtre */, MCK_GR64, 2 /* 1 */ },
7707 { 0, 9553 /* trtt */, MCK_GR128, 1 /* 0 */ },
7708 { 0, 9553 /* trtt */, MCK_GR64, 2 /* 1 */ },
7709 { 0, 9553 /* trtt */, MCK_GR128, 1 /* 0 */ },
7710 { 0, 9553 /* trtt */, MCK_GR64, 2 /* 1 */ },
7711 { 0, 9558 /* ts */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7712 { 0, 9561 /* tsch */, MCK_BDAddr64Disp12, 1 /* 0 */ },
7713 { 0, 9566 /* unpk */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
7714 { 0, 9571 /* unpka */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7715 { 0, 9571 /* unpka */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7716 { 0, 9577 /* unpku */, MCK_BDAddr64Disp12, 2 /* 1 */ },
7717 { 0, 9577 /* unpku */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
7718 { Feature_FeatureVector, 9587 /* va */, MCK_VR128, 7 /* 0, 1, 2 */ },
7719 { Feature_FeatureVector, 9590 /* vab */, MCK_VR128, 7 /* 0, 1, 2 */ },
7720 { Feature_FeatureVector, 9594 /* vac */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7721 { Feature_FeatureVector, 9598 /* vacc */, MCK_VR128, 7 /* 0, 1, 2 */ },
7722 { Feature_FeatureVector, 9603 /* vaccb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7723 { Feature_FeatureVector, 9609 /* vaccc */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7724 { Feature_FeatureVector, 9615 /* vacccq */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7725 { Feature_FeatureVector, 9622 /* vaccf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7726 { Feature_FeatureVector, 9628 /* vaccg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7727 { Feature_FeatureVector, 9634 /* vacch */, MCK_VR128, 7 /* 0, 1, 2 */ },
7728 { Feature_FeatureVector, 9640 /* vaccq */, MCK_VR128, 7 /* 0, 1, 2 */ },
7729 { Feature_FeatureVector, 9646 /* vacq */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7730 { Feature_FeatureVector, 9651 /* vaf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7731 { Feature_FeatureVector, 9655 /* vag */, MCK_VR128, 7 /* 0, 1, 2 */ },
7732 { Feature_FeatureVector, 9659 /* vah */, MCK_VR128, 7 /* 0, 1, 2 */ },
7733 { Feature_FeatureVectorPackedDecimal, 9663 /* vap */, MCK_VR128, 7 /* 0, 1, 2 */ },
7734 { Feature_FeatureVector, 9667 /* vaq */, MCK_VR128, 7 /* 0, 1, 2 */ },
7735 { Feature_FeatureVector, 9671 /* vavg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7736 { Feature_FeatureVector, 9676 /* vavgb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7737 { Feature_FeatureVector, 9682 /* vavgf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7738 { Feature_FeatureVector, 9688 /* vavgg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7739 { Feature_FeatureVector, 9694 /* vavgh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7740 { Feature_FeatureVector, 9700 /* vavgl */, MCK_VR128, 7 /* 0, 1, 2 */ },
7741 { Feature_FeatureVector, 9706 /* vavglb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7742 { Feature_FeatureVector, 9713 /* vavglf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7743 { Feature_FeatureVector, 9720 /* vavglg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7744 { Feature_FeatureVector, 9727 /* vavglh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7745 { Feature_FeatureVectorEnhancements1, 9734 /* vbperm */, MCK_VR128, 7 /* 0, 1, 2 */ },
7746 { Feature_FeatureVector, 9741 /* vcdg */, MCK_VR128, 3 /* 0, 1 */ },
7747 { Feature_FeatureVector, 9746 /* vcdgb */, MCK_VR128, 3 /* 0, 1 */ },
7748 { Feature_FeatureVector, 9752 /* vcdlg */, MCK_VR128, 3 /* 0, 1 */ },
7749 { Feature_FeatureVector, 9758 /* vcdlgb */, MCK_VR128, 3 /* 0, 1 */ },
7750 { Feature_FeatureVector, 9765 /* vceq */, MCK_VR128, 7 /* 0, 1, 2 */ },
7751 { Feature_FeatureVector, 9770 /* vceqb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7752 { Feature_FeatureVector, 9776 /* vceqbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7753 { Feature_FeatureVector, 9783 /* vceqf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7754 { Feature_FeatureVector, 9789 /* vceqfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7755 { Feature_FeatureVector, 9796 /* vceqg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7756 { Feature_FeatureVector, 9802 /* vceqgs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7757 { Feature_FeatureVector, 9809 /* vceqh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7758 { Feature_FeatureVector, 9815 /* vceqhs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7759 { Feature_FeatureVector, 9822 /* vcgd */, MCK_VR128, 3 /* 0, 1 */ },
7760 { Feature_FeatureVector, 9827 /* vcgdb */, MCK_VR128, 3 /* 0, 1 */ },
7761 { Feature_FeatureVector, 9833 /* vch */, MCK_VR128, 7 /* 0, 1, 2 */ },
7762 { Feature_FeatureVector, 9837 /* vchb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7763 { Feature_FeatureVector, 9842 /* vchbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7764 { Feature_FeatureVector, 9848 /* vchf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7765 { Feature_FeatureVector, 9853 /* vchfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7766 { Feature_FeatureVector, 9859 /* vchg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7767 { Feature_FeatureVector, 9864 /* vchgs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7768 { Feature_FeatureVector, 9870 /* vchh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7769 { Feature_FeatureVector, 9875 /* vchhs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7770 { Feature_FeatureVector, 9881 /* vchl */, MCK_VR128, 7 /* 0, 1, 2 */ },
7771 { Feature_FeatureVector, 9886 /* vchlb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7772 { Feature_FeatureVector, 9892 /* vchlbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7773 { Feature_FeatureVector, 9899 /* vchlf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7774 { Feature_FeatureVector, 9905 /* vchlfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7775 { Feature_FeatureVector, 9912 /* vchlg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7776 { Feature_FeatureVector, 9918 /* vchlgs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7777 { Feature_FeatureVector, 9925 /* vchlh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7778 { Feature_FeatureVector, 9931 /* vchlhs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7779 { Feature_FeatureVector, 9938 /* vcksm */, MCK_VR128, 7 /* 0, 1, 2 */ },
7780 { Feature_FeatureVector, 9944 /* vclgd */, MCK_VR128, 3 /* 0, 1 */ },
7781 { Feature_FeatureVector, 9950 /* vclgdb */, MCK_VR128, 3 /* 0, 1 */ },
7782 { Feature_FeatureVector, 9957 /* vclz */, MCK_VR128, 3 /* 0, 1 */ },
7783 { Feature_FeatureVector, 9962 /* vclzb */, MCK_VR128, 3 /* 0, 1 */ },
7784 { Feature_FeatureVector, 9968 /* vclzf */, MCK_VR128, 3 /* 0, 1 */ },
7785 { Feature_FeatureVector, 9974 /* vclzg */, MCK_VR128, 3 /* 0, 1 */ },
7786 { Feature_FeatureVector, 9980 /* vclzh */, MCK_VR128, 3 /* 0, 1 */ },
7787 { Feature_FeatureVectorPackedDecimal, 9986 /* vcp */, MCK_VR128, 3 /* 0, 1 */ },
7788 { Feature_FeatureVector, 9990 /* vctz */, MCK_VR128, 3 /* 0, 1 */ },
7789 { Feature_FeatureVector, 9995 /* vctzb */, MCK_VR128, 3 /* 0, 1 */ },
7790 { Feature_FeatureVector, 10001 /* vctzf */, MCK_VR128, 3 /* 0, 1 */ },
7791 { Feature_FeatureVector, 10007 /* vctzg */, MCK_VR128, 3 /* 0, 1 */ },
7792 { Feature_FeatureVector, 10013 /* vctzh */, MCK_VR128, 3 /* 0, 1 */ },
7793 { Feature_FeatureVectorPackedDecimal, 10019 /* vcvb */, MCK_GR32, 1 /* 0 */ },
7794 { Feature_FeatureVectorPackedDecimal, 10019 /* vcvb */, MCK_VR128, 2 /* 1 */ },
7795 { Feature_FeatureVectorPackedDecimal, 10024 /* vcvbg */, MCK_GR64, 1 /* 0 */ },
7796 { Feature_FeatureVectorPackedDecimal, 10024 /* vcvbg */, MCK_VR128, 2 /* 1 */ },
7797 { Feature_FeatureVectorPackedDecimal, 10030 /* vcvd */, MCK_GR32, 2 /* 1 */ },
7798 { Feature_FeatureVectorPackedDecimal, 10030 /* vcvd */, MCK_VR128, 1 /* 0 */ },
7799 { Feature_FeatureVectorPackedDecimal, 10035 /* vcvdg */, MCK_GR64, 2 /* 1 */ },
7800 { Feature_FeatureVectorPackedDecimal, 10035 /* vcvdg */, MCK_VR128, 1 /* 0 */ },
7801 { Feature_FeatureVectorPackedDecimal, 10041 /* vdp */, MCK_VR128, 7 /* 0, 1, 2 */ },
7802 { Feature_FeatureVector, 10045 /* vec */, MCK_VR128, 3 /* 0, 1 */ },
7803 { Feature_FeatureVector, 10049 /* vecb */, MCK_VR128, 3 /* 0, 1 */ },
7804 { Feature_FeatureVector, 10054 /* vecf */, MCK_VR128, 3 /* 0, 1 */ },
7805 { Feature_FeatureVector, 10059 /* vecg */, MCK_VR128, 3 /* 0, 1 */ },
7806 { Feature_FeatureVector, 10064 /* vech */, MCK_VR128, 3 /* 0, 1 */ },
7807 { Feature_FeatureVector, 10069 /* vecl */, MCK_VR128, 3 /* 0, 1 */ },
7808 { Feature_FeatureVector, 10074 /* veclb */, MCK_VR128, 3 /* 0, 1 */ },
7809 { Feature_FeatureVector, 10080 /* veclf */, MCK_VR128, 3 /* 0, 1 */ },
7810 { Feature_FeatureVector, 10086 /* veclg */, MCK_VR128, 3 /* 0, 1 */ },
7811 { Feature_FeatureVector, 10092 /* veclh */, MCK_VR128, 3 /* 0, 1 */ },
7812 { Feature_FeatureVector, 10098 /* verim */, MCK_VR128, 7 /* 0, 1, 2 */ },
7813 { Feature_FeatureVector, 10104 /* verimb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7814 { Feature_FeatureVector, 10111 /* verimf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7815 { Feature_FeatureVector, 10118 /* verimg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7816 { Feature_FeatureVector, 10125 /* verimh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7817 { Feature_FeatureVector, 10132 /* verll */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7818 { Feature_FeatureVector, 10132 /* verll */, MCK_VR128, 3 /* 0, 1 */ },
7819 { Feature_FeatureVector, 10138 /* verllb */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7820 { Feature_FeatureVector, 10138 /* verllb */, MCK_VR128, 3 /* 0, 1 */ },
7821 { Feature_FeatureVector, 10145 /* verllf */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7822 { Feature_FeatureVector, 10145 /* verllf */, MCK_VR128, 3 /* 0, 1 */ },
7823 { Feature_FeatureVector, 10152 /* verllg */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7824 { Feature_FeatureVector, 10152 /* verllg */, MCK_VR128, 3 /* 0, 1 */ },
7825 { Feature_FeatureVector, 10159 /* verllh */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7826 { Feature_FeatureVector, 10159 /* verllh */, MCK_VR128, 3 /* 0, 1 */ },
7827 { Feature_FeatureVector, 10166 /* verllv */, MCK_VR128, 7 /* 0, 1, 2 */ },
7828 { Feature_FeatureVector, 10173 /* verllvb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7829 { Feature_FeatureVector, 10181 /* verllvf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7830 { Feature_FeatureVector, 10189 /* verllvg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7831 { Feature_FeatureVector, 10197 /* verllvh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7832 { Feature_FeatureVector, 10205 /* vesl */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7833 { Feature_FeatureVector, 10205 /* vesl */, MCK_VR128, 3 /* 0, 1 */ },
7834 { Feature_FeatureVector, 10210 /* veslb */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7835 { Feature_FeatureVector, 10210 /* veslb */, MCK_VR128, 3 /* 0, 1 */ },
7836 { Feature_FeatureVector, 10216 /* veslf */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7837 { Feature_FeatureVector, 10216 /* veslf */, MCK_VR128, 3 /* 0, 1 */ },
7838 { Feature_FeatureVector, 10222 /* veslg */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7839 { Feature_FeatureVector, 10222 /* veslg */, MCK_VR128, 3 /* 0, 1 */ },
7840 { Feature_FeatureVector, 10228 /* veslh */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7841 { Feature_FeatureVector, 10228 /* veslh */, MCK_VR128, 3 /* 0, 1 */ },
7842 { Feature_FeatureVector, 10234 /* veslv */, MCK_VR128, 7 /* 0, 1, 2 */ },
7843 { Feature_FeatureVector, 10240 /* veslvb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7844 { Feature_FeatureVector, 10247 /* veslvf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7845 { Feature_FeatureVector, 10254 /* veslvg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7846 { Feature_FeatureVector, 10261 /* veslvh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7847 { Feature_FeatureVector, 10268 /* vesra */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7848 { Feature_FeatureVector, 10268 /* vesra */, MCK_VR128, 3 /* 0, 1 */ },
7849 { Feature_FeatureVector, 10274 /* vesrab */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7850 { Feature_FeatureVector, 10274 /* vesrab */, MCK_VR128, 3 /* 0, 1 */ },
7851 { Feature_FeatureVector, 10281 /* vesraf */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7852 { Feature_FeatureVector, 10281 /* vesraf */, MCK_VR128, 3 /* 0, 1 */ },
7853 { Feature_FeatureVector, 10288 /* vesrag */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7854 { Feature_FeatureVector, 10288 /* vesrag */, MCK_VR128, 3 /* 0, 1 */ },
7855 { Feature_FeatureVector, 10295 /* vesrah */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7856 { Feature_FeatureVector, 10295 /* vesrah */, MCK_VR128, 3 /* 0, 1 */ },
7857 { Feature_FeatureVector, 10302 /* vesrav */, MCK_VR128, 7 /* 0, 1, 2 */ },
7858 { Feature_FeatureVector, 10309 /* vesravb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7859 { Feature_FeatureVector, 10317 /* vesravf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7860 { Feature_FeatureVector, 10325 /* vesravg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7861 { Feature_FeatureVector, 10333 /* vesravh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7862 { Feature_FeatureVector, 10341 /* vesrl */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7863 { Feature_FeatureVector, 10341 /* vesrl */, MCK_VR128, 3 /* 0, 1 */ },
7864 { Feature_FeatureVector, 10347 /* vesrlb */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7865 { Feature_FeatureVector, 10347 /* vesrlb */, MCK_VR128, 3 /* 0, 1 */ },
7866 { Feature_FeatureVector, 10354 /* vesrlf */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7867 { Feature_FeatureVector, 10354 /* vesrlf */, MCK_VR128, 3 /* 0, 1 */ },
7868 { Feature_FeatureVector, 10361 /* vesrlg */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7869 { Feature_FeatureVector, 10361 /* vesrlg */, MCK_VR128, 3 /* 0, 1 */ },
7870 { Feature_FeatureVector, 10368 /* vesrlh */, MCK_BDAddr32Disp12, 4 /* 2 */ },
7871 { Feature_FeatureVector, 10368 /* vesrlh */, MCK_VR128, 3 /* 0, 1 */ },
7872 { Feature_FeatureVector, 10375 /* vesrlv */, MCK_VR128, 7 /* 0, 1, 2 */ },
7873 { Feature_FeatureVector, 10382 /* vesrlvb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7874 { Feature_FeatureVector, 10390 /* vesrlvf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7875 { Feature_FeatureVector, 10398 /* vesrlvg */, MCK_VR128, 7 /* 0, 1, 2 */ },
7876 { Feature_FeatureVector, 10406 /* vesrlvh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7877 { Feature_FeatureVector, 10414 /* vfa */, MCK_VR128, 7 /* 0, 1, 2 */ },
7878 { Feature_FeatureVector, 10418 /* vfadb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7879 { Feature_FeatureVector, 10424 /* vfae */, MCK_VR128, 7 /* 0, 1, 2 */ },
7880 { Feature_FeatureVector, 10424 /* vfae */, MCK_VR128, 7 /* 0, 1, 2 */ },
7881 { Feature_FeatureVector, 10429 /* vfaeb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7882 { Feature_FeatureVector, 10429 /* vfaeb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7883 { Feature_FeatureVector, 10435 /* vfaebs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7884 { Feature_FeatureVector, 10435 /* vfaebs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7885 { Feature_FeatureVector, 10442 /* vfaef */, MCK_VR128, 7 /* 0, 1, 2 */ },
7886 { Feature_FeatureVector, 10442 /* vfaef */, MCK_VR128, 7 /* 0, 1, 2 */ },
7887 { Feature_FeatureVector, 10448 /* vfaefs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7888 { Feature_FeatureVector, 10448 /* vfaefs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7889 { Feature_FeatureVector, 10455 /* vfaeh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7890 { Feature_FeatureVector, 10455 /* vfaeh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7891 { Feature_FeatureVector, 10461 /* vfaehs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7892 { Feature_FeatureVector, 10461 /* vfaehs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7893 { Feature_FeatureVector, 10468 /* vfaezb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7894 { Feature_FeatureVector, 10468 /* vfaezb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7895 { Feature_FeatureVector, 10475 /* vfaezbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7896 { Feature_FeatureVector, 10475 /* vfaezbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7897 { Feature_FeatureVector, 10483 /* vfaezf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7898 { Feature_FeatureVector, 10483 /* vfaezf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7899 { Feature_FeatureVector, 10490 /* vfaezfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7900 { Feature_FeatureVector, 10490 /* vfaezfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7901 { Feature_FeatureVector, 10498 /* vfaezh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7902 { Feature_FeatureVector, 10498 /* vfaezh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7903 { Feature_FeatureVector, 10505 /* vfaezhs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7904 { Feature_FeatureVector, 10505 /* vfaezhs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7905 { Feature_FeatureVectorEnhancements1, 10513 /* vfasb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7906 { Feature_FeatureVector, 10519 /* vfce */, MCK_VR128, 7 /* 0, 1, 2 */ },
7907 { Feature_FeatureVector, 10524 /* vfcedb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7908 { Feature_FeatureVector, 10531 /* vfcedbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7909 { Feature_FeatureVectorEnhancements1, 10539 /* vfcesb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7910 { Feature_FeatureVectorEnhancements1, 10546 /* vfcesbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7911 { Feature_FeatureVector, 10554 /* vfch */, MCK_VR128, 7 /* 0, 1, 2 */ },
7912 { Feature_FeatureVector, 10559 /* vfchdb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7913 { Feature_FeatureVector, 10566 /* vfchdbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7914 { Feature_FeatureVector, 10574 /* vfche */, MCK_VR128, 7 /* 0, 1, 2 */ },
7915 { Feature_FeatureVector, 10580 /* vfchedb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7916 { Feature_FeatureVector, 10588 /* vfchedbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7917 { Feature_FeatureVectorEnhancements1, 10597 /* vfchesb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7918 { Feature_FeatureVectorEnhancements1, 10605 /* vfchesbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7919 { Feature_FeatureVectorEnhancements1, 10614 /* vfchsb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7920 { Feature_FeatureVectorEnhancements1, 10621 /* vfchsbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7921 { Feature_FeatureVector, 10629 /* vfd */, MCK_VR128, 7 /* 0, 1, 2 */ },
7922 { Feature_FeatureVector, 10633 /* vfddb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7923 { Feature_FeatureVectorEnhancements1, 10639 /* vfdsb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7924 { Feature_FeatureVector, 10645 /* vfee */, MCK_VR128, 7 /* 0, 1, 2 */ },
7925 { Feature_FeatureVector, 10645 /* vfee */, MCK_VR128, 7 /* 0, 1, 2 */ },
7926 { Feature_FeatureVector, 10650 /* vfeeb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7927 { Feature_FeatureVector, 10650 /* vfeeb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7928 { Feature_FeatureVector, 10656 /* vfeebs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7929 { Feature_FeatureVector, 10663 /* vfeef */, MCK_VR128, 7 /* 0, 1, 2 */ },
7930 { Feature_FeatureVector, 10663 /* vfeef */, MCK_VR128, 7 /* 0, 1, 2 */ },
7931 { Feature_FeatureVector, 10669 /* vfeefs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7932 { Feature_FeatureVector, 10676 /* vfeeh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7933 { Feature_FeatureVector, 10676 /* vfeeh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7934 { Feature_FeatureVector, 10682 /* vfeehs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7935 { Feature_FeatureVector, 10689 /* vfeezb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7936 { Feature_FeatureVector, 10696 /* vfeezbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7937 { Feature_FeatureVector, 10704 /* vfeezf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7938 { Feature_FeatureVector, 10711 /* vfeezfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7939 { Feature_FeatureVector, 10719 /* vfeezh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7940 { Feature_FeatureVector, 10726 /* vfeezhs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7941 { Feature_FeatureVector, 10734 /* vfene */, MCK_VR128, 7 /* 0, 1, 2 */ },
7942 { Feature_FeatureVector, 10734 /* vfene */, MCK_VR128, 7 /* 0, 1, 2 */ },
7943 { Feature_FeatureVector, 10740 /* vfeneb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7944 { Feature_FeatureVector, 10740 /* vfeneb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7945 { Feature_FeatureVector, 10747 /* vfenebs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7946 { Feature_FeatureVector, 10755 /* vfenef */, MCK_VR128, 7 /* 0, 1, 2 */ },
7947 { Feature_FeatureVector, 10755 /* vfenef */, MCK_VR128, 7 /* 0, 1, 2 */ },
7948 { Feature_FeatureVector, 10762 /* vfenefs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7949 { Feature_FeatureVector, 10770 /* vfeneh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7950 { Feature_FeatureVector, 10770 /* vfeneh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7951 { Feature_FeatureVector, 10777 /* vfenehs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7952 { Feature_FeatureVector, 10785 /* vfenezb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7953 { Feature_FeatureVector, 10793 /* vfenezbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7954 { Feature_FeatureVector, 10802 /* vfenezf */, MCK_VR128, 7 /* 0, 1, 2 */ },
7955 { Feature_FeatureVector, 10810 /* vfenezfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7956 { Feature_FeatureVector, 10819 /* vfenezh */, MCK_VR128, 7 /* 0, 1, 2 */ },
7957 { Feature_FeatureVector, 10827 /* vfenezhs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7958 { Feature_FeatureVector, 10836 /* vfi */, MCK_VR128, 3 /* 0, 1 */ },
7959 { Feature_FeatureVector, 10840 /* vfidb */, MCK_VR128, 3 /* 0, 1 */ },
7960 { Feature_FeatureVectorEnhancements1, 10846 /* vfisb */, MCK_VR128, 3 /* 0, 1 */ },
7961 { Feature_FeatureVectorEnhancements1, 10852 /* vfkedb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7962 { Feature_FeatureVectorEnhancements1, 10859 /* vfkedbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7963 { Feature_FeatureVectorEnhancements1, 10867 /* vfkesb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7964 { Feature_FeatureVectorEnhancements1, 10874 /* vfkesbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7965 { Feature_FeatureVectorEnhancements1, 10882 /* vfkhdb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7966 { Feature_FeatureVectorEnhancements1, 10889 /* vfkhdbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7967 { Feature_FeatureVectorEnhancements1, 10897 /* vfkhedb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7968 { Feature_FeatureVectorEnhancements1, 10905 /* vfkhedbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7969 { Feature_FeatureVectorEnhancements1, 10914 /* vfkhesb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7970 { Feature_FeatureVectorEnhancements1, 10922 /* vfkhesbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7971 { Feature_FeatureVectorEnhancements1, 10931 /* vfkhsb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7972 { Feature_FeatureVectorEnhancements1, 10938 /* vfkhsbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
7973 { Feature_FeatureVector, 10946 /* vflcdb */, MCK_VR128, 3 /* 0, 1 */ },
7974 { Feature_FeatureVectorEnhancements1, 10953 /* vflcsb */, MCK_VR128, 3 /* 0, 1 */ },
7975 { Feature_FeatureVectorEnhancements1, 10960 /* vfll */, MCK_VR128, 3 /* 0, 1 */ },
7976 { Feature_FeatureVectorEnhancements1, 10965 /* vflls */, MCK_VR128, 3 /* 0, 1 */ },
7977 { Feature_FeatureVector, 10971 /* vflndb */, MCK_VR128, 3 /* 0, 1 */ },
7978 { Feature_FeatureVectorEnhancements1, 10978 /* vflnsb */, MCK_VR128, 3 /* 0, 1 */ },
7979 { Feature_FeatureVector, 10985 /* vflpdb */, MCK_VR128, 3 /* 0, 1 */ },
7980 { Feature_FeatureVectorEnhancements1, 10992 /* vflpsb */, MCK_VR128, 3 /* 0, 1 */ },
7981 { Feature_FeatureVectorEnhancements1, 10999 /* vflr */, MCK_VR128, 3 /* 0, 1 */ },
7982 { Feature_FeatureVectorEnhancements1, 11004 /* vflrd */, MCK_VR128, 3 /* 0, 1 */ },
7983 { Feature_FeatureVector, 11010 /* vfm */, MCK_VR128, 7 /* 0, 1, 2 */ },
7984 { Feature_FeatureVector, 11014 /* vfma */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7985 { Feature_FeatureVector, 11019 /* vfmadb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7986 { Feature_FeatureVectorEnhancements1, 11026 /* vfmasb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7987 { Feature_FeatureVectorEnhancements1, 11033 /* vfmax */, MCK_VR128, 7 /* 0, 1, 2 */ },
7988 { Feature_FeatureVectorEnhancements1, 11039 /* vfmaxdb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7989 { Feature_FeatureVectorEnhancements1, 11047 /* vfmaxsb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7990 { Feature_FeatureVector, 11055 /* vfmdb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7991 { Feature_FeatureVectorEnhancements1, 11061 /* vfmin */, MCK_VR128, 7 /* 0, 1, 2 */ },
7992 { Feature_FeatureVectorEnhancements1, 11067 /* vfmindb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7993 { Feature_FeatureVectorEnhancements1, 11075 /* vfminsb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7994 { Feature_FeatureVector, 11083 /* vfms */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7995 { Feature_FeatureVectorEnhancements1, 11088 /* vfmsb */, MCK_VR128, 7 /* 0, 1, 2 */ },
7996 { Feature_FeatureVector, 11094 /* vfmsdb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7997 { Feature_FeatureVectorEnhancements1, 11101 /* vfmssb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7998 { Feature_FeatureVectorEnhancements1, 11108 /* vfnma */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
7999 { Feature_FeatureVectorEnhancements1, 11114 /* vfnmadb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8000 { Feature_FeatureVectorEnhancements1, 11122 /* vfnmasb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8001 { Feature_FeatureVectorEnhancements1, 11130 /* vfnms */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8002 { Feature_FeatureVectorEnhancements1, 11136 /* vfnmsdb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8003 { Feature_FeatureVectorEnhancements1, 11144 /* vfnmssb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8004 { Feature_FeatureVector, 11152 /* vfpso */, MCK_VR128, 3 /* 0, 1 */ },
8005 { Feature_FeatureVector, 11158 /* vfpsodb */, MCK_VR128, 3 /* 0, 1 */ },
8006 { Feature_FeatureVectorEnhancements1, 11166 /* vfpsosb */, MCK_VR128, 3 /* 0, 1 */ },
8007 { Feature_FeatureVector, 11174 /* vfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8008 { Feature_FeatureVector, 11178 /* vfsdb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8009 { Feature_FeatureVector, 11184 /* vfsq */, MCK_VR128, 3 /* 0, 1 */ },
8010 { Feature_FeatureVector, 11189 /* vfsqdb */, MCK_VR128, 3 /* 0, 1 */ },
8011 { Feature_FeatureVectorEnhancements1, 11196 /* vfsqsb */, MCK_VR128, 3 /* 0, 1 */ },
8012 { Feature_FeatureVectorEnhancements1, 11203 /* vfssb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8013 { Feature_FeatureVector, 11209 /* vftci */, MCK_VR128, 3 /* 0, 1 */ },
8014 { Feature_FeatureVector, 11215 /* vftcidb */, MCK_VR128, 3 /* 0, 1 */ },
8015 { Feature_FeatureVectorEnhancements1, 11223 /* vftcisb */, MCK_VR128, 3 /* 0, 1 */ },
8016 { Feature_FeatureVector, 11231 /* vgbm */, MCK_VR128, 1 /* 0 */ },
8017 { Feature_FeatureVector, 11236 /* vgef */, MCK_BDVAddr64Disp12, 2 /* 1 */ },
8018 { Feature_FeatureVector, 11236 /* vgef */, MCK_VR128, 1 /* 0 */ },
8019 { Feature_FeatureVector, 11241 /* vgeg */, MCK_BDVAddr64Disp12, 2 /* 1 */ },
8020 { Feature_FeatureVector, 11241 /* vgeg */, MCK_VR128, 1 /* 0 */ },
8021 { Feature_FeatureVector, 11246 /* vgfm */, MCK_VR128, 7 /* 0, 1, 2 */ },
8022 { Feature_FeatureVector, 11251 /* vgfma */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8023 { Feature_FeatureVector, 11257 /* vgfmab */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8024 { Feature_FeatureVector, 11264 /* vgfmaf */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8025 { Feature_FeatureVector, 11271 /* vgfmag */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8026 { Feature_FeatureVector, 11278 /* vgfmah */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8027 { Feature_FeatureVector, 11285 /* vgfmb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8028 { Feature_FeatureVector, 11291 /* vgfmf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8029 { Feature_FeatureVector, 11297 /* vgfmg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8030 { Feature_FeatureVector, 11303 /* vgfmh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8031 { Feature_FeatureVector, 11309 /* vgm */, MCK_VR128, 1 /* 0 */ },
8032 { Feature_FeatureVector, 11313 /* vgmb */, MCK_VR128, 1 /* 0 */ },
8033 { Feature_FeatureVector, 11318 /* vgmf */, MCK_VR128, 1 /* 0 */ },
8034 { Feature_FeatureVector, 11323 /* vgmg */, MCK_VR128, 1 /* 0 */ },
8035 { Feature_FeatureVector, 11328 /* vgmh */, MCK_VR128, 1 /* 0 */ },
8036 { Feature_FeatureVector, 11333 /* vistr */, MCK_VR128, 3 /* 0, 1 */ },
8037 { Feature_FeatureVector, 11333 /* vistr */, MCK_VR128, 3 /* 0, 1 */ },
8038 { Feature_FeatureVector, 11339 /* vistrb */, MCK_VR128, 3 /* 0, 1 */ },
8039 { Feature_FeatureVector, 11339 /* vistrb */, MCK_VR128, 3 /* 0, 1 */ },
8040 { Feature_FeatureVector, 11346 /* vistrbs */, MCK_VR128, 3 /* 0, 1 */ },
8041 { Feature_FeatureVector, 11354 /* vistrf */, MCK_VR128, 3 /* 0, 1 */ },
8042 { Feature_FeatureVector, 11354 /* vistrf */, MCK_VR128, 3 /* 0, 1 */ },
8043 { Feature_FeatureVector, 11361 /* vistrfs */, MCK_VR128, 3 /* 0, 1 */ },
8044 { Feature_FeatureVector, 11369 /* vistrh */, MCK_VR128, 3 /* 0, 1 */ },
8045 { Feature_FeatureVector, 11369 /* vistrh */, MCK_VR128, 3 /* 0, 1 */ },
8046 { Feature_FeatureVector, 11376 /* vistrhs */, MCK_VR128, 3 /* 0, 1 */ },
8047 { Feature_FeatureVector, 11384 /* vl */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8048 { Feature_FeatureVector, 11384 /* vl */, MCK_VR128, 1 /* 0 */ },
8049 { Feature_FeatureVector, 11387 /* vlbb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8050 { Feature_FeatureVector, 11387 /* vlbb */, MCK_VR128, 1 /* 0 */ },
8051 { Feature_FeatureVector, 11392 /* vlc */, MCK_VR128, 3 /* 0, 1 */ },
8052 { Feature_FeatureVector, 11396 /* vlcb */, MCK_VR128, 3 /* 0, 1 */ },
8053 { Feature_FeatureVector, 11401 /* vlcf */, MCK_VR128, 3 /* 0, 1 */ },
8054 { Feature_FeatureVector, 11406 /* vlcg */, MCK_VR128, 3 /* 0, 1 */ },
8055 { Feature_FeatureVector, 11411 /* vlch */, MCK_VR128, 3 /* 0, 1 */ },
8056 { Feature_FeatureVector, 11416 /* vlde */, MCK_VR128, 3 /* 0, 1 */ },
8057 { Feature_FeatureVector, 11421 /* vldeb */, MCK_VR128, 3 /* 0, 1 */ },
8058 { Feature_FeatureVector, 11427 /* vleb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8059 { Feature_FeatureVector, 11427 /* vleb */, MCK_VR128, 1 /* 0 */ },
8060 { Feature_FeatureVector, 11432 /* vled */, MCK_VR128, 3 /* 0, 1 */ },
8061 { Feature_FeatureVector, 11437 /* vledb */, MCK_VR128, 3 /* 0, 1 */ },
8062 { Feature_FeatureVector, 11443 /* vlef */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8063 { Feature_FeatureVector, 11443 /* vlef */, MCK_VR128, 1 /* 0 */ },
8064 { Feature_FeatureVector, 11448 /* vleg */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8065 { Feature_FeatureVector, 11448 /* vleg */, MCK_VR128, 1 /* 0 */ },
8066 { Feature_FeatureVector, 11453 /* vleh */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8067 { Feature_FeatureVector, 11453 /* vleh */, MCK_VR128, 1 /* 0 */ },
8068 { Feature_FeatureVector, 11458 /* vleib */, MCK_VR128, 1 /* 0 */ },
8069 { Feature_FeatureVector, 11464 /* vleif */, MCK_VR128, 1 /* 0 */ },
8070 { Feature_FeatureVector, 11470 /* vleig */, MCK_VR128, 1 /* 0 */ },
8071 { Feature_FeatureVector, 11476 /* vleih */, MCK_VR128, 1 /* 0 */ },
8072 { Feature_FeatureVector, 11482 /* vlgv */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8073 { Feature_FeatureVector, 11482 /* vlgv */, MCK_GR64, 1 /* 0 */ },
8074 { Feature_FeatureVector, 11482 /* vlgv */, MCK_VR128, 2 /* 1 */ },
8075 { Feature_FeatureVector, 11487 /* vlgvb */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8076 { Feature_FeatureVector, 11487 /* vlgvb */, MCK_GR64, 1 /* 0 */ },
8077 { Feature_FeatureVector, 11487 /* vlgvb */, MCK_VR128, 2 /* 1 */ },
8078 { Feature_FeatureVector, 11493 /* vlgvf */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8079 { Feature_FeatureVector, 11493 /* vlgvf */, MCK_GR64, 1 /* 0 */ },
8080 { Feature_FeatureVector, 11493 /* vlgvf */, MCK_VR128, 2 /* 1 */ },
8081 { Feature_FeatureVector, 11499 /* vlgvg */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8082 { Feature_FeatureVector, 11499 /* vlgvg */, MCK_GR64, 1 /* 0 */ },
8083 { Feature_FeatureVector, 11499 /* vlgvg */, MCK_VR128, 2 /* 1 */ },
8084 { Feature_FeatureVector, 11505 /* vlgvh */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8085 { Feature_FeatureVector, 11505 /* vlgvh */, MCK_GR64, 1 /* 0 */ },
8086 { Feature_FeatureVector, 11505 /* vlgvh */, MCK_VR128, 2 /* 1 */ },
8087 { Feature_FeatureVectorPackedDecimal, 11511 /* vlip */, MCK_VR128, 1 /* 0 */ },
8088 { Feature_FeatureVector, 11516 /* vll */, MCK_BDAddr64Disp12, 4 /* 2 */ },
8089 { Feature_FeatureVector, 11516 /* vll */, MCK_GR32, 2 /* 1 */ },
8090 { Feature_FeatureVector, 11516 /* vll */, MCK_VR128, 1 /* 0 */ },
8091 { Feature_FeatureVector, 11520 /* vllez */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8092 { Feature_FeatureVector, 11520 /* vllez */, MCK_VR128, 1 /* 0 */ },
8093 { Feature_FeatureVector, 11526 /* vllezb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8094 { Feature_FeatureVector, 11526 /* vllezb */, MCK_VR128, 1 /* 0 */ },
8095 { Feature_FeatureVector, 11533 /* vllezf */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8096 { Feature_FeatureVector, 11533 /* vllezf */, MCK_VR128, 1 /* 0 */ },
8097 { Feature_FeatureVector, 11540 /* vllezg */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8098 { Feature_FeatureVector, 11540 /* vllezg */, MCK_VR128, 1 /* 0 */ },
8099 { Feature_FeatureVector, 11547 /* vllezh */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8100 { Feature_FeatureVector, 11547 /* vllezh */, MCK_VR128, 1 /* 0 */ },
8101 { Feature_FeatureVectorEnhancements1, 11554 /* vllezlf */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8102 { Feature_FeatureVectorEnhancements1, 11554 /* vllezlf */, MCK_VR128, 1 /* 0 */ },
8103 { Feature_FeatureVector, 11562 /* vlm */, MCK_BDAddr64Disp12, 4 /* 2 */ },
8104 { Feature_FeatureVector, 11562 /* vlm */, MCK_VR128, 3 /* 0, 1 */ },
8105 { Feature_FeatureVector, 11566 /* vlp */, MCK_VR128, 3 /* 0, 1 */ },
8106 { Feature_FeatureVector, 11570 /* vlpb */, MCK_VR128, 3 /* 0, 1 */ },
8107 { Feature_FeatureVector, 11575 /* vlpf */, MCK_VR128, 3 /* 0, 1 */ },
8108 { Feature_FeatureVector, 11580 /* vlpg */, MCK_VR128, 3 /* 0, 1 */ },
8109 { Feature_FeatureVector, 11585 /* vlph */, MCK_VR128, 3 /* 0, 1 */ },
8110 { Feature_FeatureVector, 11590 /* vlr */, MCK_VR128, 3 /* 0, 1 */ },
8111 { Feature_FeatureVector, 11594 /* vlrep */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8112 { Feature_FeatureVector, 11594 /* vlrep */, MCK_VR128, 1 /* 0 */ },
8113 { Feature_FeatureVector, 11600 /* vlrepb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8114 { Feature_FeatureVector, 11600 /* vlrepb */, MCK_VR128, 1 /* 0 */ },
8115 { Feature_FeatureVector, 11607 /* vlrepf */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8116 { Feature_FeatureVector, 11607 /* vlrepf */, MCK_VR128, 1 /* 0 */ },
8117 { Feature_FeatureVector, 11614 /* vlrepg */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8118 { Feature_FeatureVector, 11614 /* vlrepg */, MCK_VR128, 1 /* 0 */ },
8119 { Feature_FeatureVector, 11621 /* vlreph */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8120 { Feature_FeatureVector, 11621 /* vlreph */, MCK_VR128, 1 /* 0 */ },
8121 { Feature_FeatureVectorPackedDecimal, 11628 /* vlrl */, MCK_BDAddr64Disp12, 2 /* 1 */ },
8122 { Feature_FeatureVectorPackedDecimal, 11628 /* vlrl */, MCK_VR128, 1 /* 0 */ },
8123 { Feature_FeatureVectorPackedDecimal, 11633 /* vlrlr */, MCK_BDAddr64Disp12, 4 /* 2 */ },
8124 { Feature_FeatureVectorPackedDecimal, 11633 /* vlrlr */, MCK_GR32, 2 /* 1 */ },
8125 { Feature_FeatureVectorPackedDecimal, 11633 /* vlrlr */, MCK_VR128, 1 /* 0 */ },
8126 { Feature_FeatureVector, 11639 /* vlvg */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8127 { Feature_FeatureVector, 11639 /* vlvg */, MCK_GR64, 2 /* 1 */ },
8128 { Feature_FeatureVector, 11639 /* vlvg */, MCK_VR128, 1 /* 0 */ },
8129 { Feature_FeatureVector, 11644 /* vlvgb */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8130 { Feature_FeatureVector, 11644 /* vlvgb */, MCK_GR32, 2 /* 1 */ },
8131 { Feature_FeatureVector, 11644 /* vlvgb */, MCK_VR128, 1 /* 0 */ },
8132 { Feature_FeatureVector, 11650 /* vlvgf */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8133 { Feature_FeatureVector, 11650 /* vlvgf */, MCK_GR32, 2 /* 1 */ },
8134 { Feature_FeatureVector, 11650 /* vlvgf */, MCK_VR128, 1 /* 0 */ },
8135 { Feature_FeatureVector, 11656 /* vlvgg */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8136 { Feature_FeatureVector, 11656 /* vlvgg */, MCK_GR64, 2 /* 1 */ },
8137 { Feature_FeatureVector, 11656 /* vlvgg */, MCK_VR128, 1 /* 0 */ },
8138 { Feature_FeatureVector, 11662 /* vlvgh */, MCK_BDAddr32Disp12, 4 /* 2 */ },
8139 { Feature_FeatureVector, 11662 /* vlvgh */, MCK_GR32, 2 /* 1 */ },
8140 { Feature_FeatureVector, 11662 /* vlvgh */, MCK_VR128, 1 /* 0 */ },
8141 { Feature_FeatureVector, 11668 /* vlvgp */, MCK_GR64, 6 /* 1, 2 */ },
8142 { Feature_FeatureVector, 11668 /* vlvgp */, MCK_VR128, 1 /* 0 */ },
8143 { Feature_FeatureVector, 11674 /* vmae */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8144 { Feature_FeatureVector, 11679 /* vmaeb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8145 { Feature_FeatureVector, 11685 /* vmaef */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8146 { Feature_FeatureVector, 11691 /* vmaeh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8147 { Feature_FeatureVector, 11697 /* vmah */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8148 { Feature_FeatureVector, 11702 /* vmahb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8149 { Feature_FeatureVector, 11708 /* vmahf */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8150 { Feature_FeatureVector, 11714 /* vmahh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8151 { Feature_FeatureVector, 11720 /* vmal */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8152 { Feature_FeatureVector, 11725 /* vmalb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8153 { Feature_FeatureVector, 11731 /* vmale */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8154 { Feature_FeatureVector, 11737 /* vmaleb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8155 { Feature_FeatureVector, 11744 /* vmalef */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8156 { Feature_FeatureVector, 11751 /* vmaleh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8157 { Feature_FeatureVector, 11758 /* vmalf */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8158 { Feature_FeatureVector, 11764 /* vmalh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8159 { Feature_FeatureVector, 11770 /* vmalhb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8160 { Feature_FeatureVector, 11777 /* vmalhf */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8161 { Feature_FeatureVector, 11784 /* vmalhh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8162 { Feature_FeatureVector, 11791 /* vmalhw */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8163 { Feature_FeatureVector, 11798 /* vmalo */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8164 { Feature_FeatureVector, 11804 /* vmalob */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8165 { Feature_FeatureVector, 11811 /* vmalof */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8166 { Feature_FeatureVector, 11818 /* vmaloh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8167 { Feature_FeatureVector, 11825 /* vmao */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8168 { Feature_FeatureVector, 11830 /* vmaob */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8169 { Feature_FeatureVector, 11836 /* vmaof */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8170 { Feature_FeatureVector, 11842 /* vmaoh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8171 { Feature_FeatureVector, 11848 /* vme */, MCK_VR128, 7 /* 0, 1, 2 */ },
8172 { Feature_FeatureVector, 11852 /* vmeb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8173 { Feature_FeatureVector, 11857 /* vmef */, MCK_VR128, 7 /* 0, 1, 2 */ },
8174 { Feature_FeatureVector, 11862 /* vmeh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8175 { Feature_FeatureVector, 11867 /* vmh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8176 { Feature_FeatureVector, 11871 /* vmhb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8177 { Feature_FeatureVector, 11876 /* vmhf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8178 { Feature_FeatureVector, 11881 /* vmhh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8179 { Feature_FeatureVector, 11886 /* vml */, MCK_VR128, 7 /* 0, 1, 2 */ },
8180 { Feature_FeatureVector, 11890 /* vmlb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8181 { Feature_FeatureVector, 11895 /* vmle */, MCK_VR128, 7 /* 0, 1, 2 */ },
8182 { Feature_FeatureVector, 11900 /* vmleb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8183 { Feature_FeatureVector, 11906 /* vmlef */, MCK_VR128, 7 /* 0, 1, 2 */ },
8184 { Feature_FeatureVector, 11912 /* vmleh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8185 { Feature_FeatureVector, 11918 /* vmlf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8186 { Feature_FeatureVector, 11923 /* vmlh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8187 { Feature_FeatureVector, 11928 /* vmlhb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8188 { Feature_FeatureVector, 11934 /* vmlhf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8189 { Feature_FeatureVector, 11940 /* vmlhh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8190 { Feature_FeatureVector, 11946 /* vmlhw */, MCK_VR128, 7 /* 0, 1, 2 */ },
8191 { Feature_FeatureVector, 11952 /* vmlo */, MCK_VR128, 7 /* 0, 1, 2 */ },
8192 { Feature_FeatureVector, 11957 /* vmlob */, MCK_VR128, 7 /* 0, 1, 2 */ },
8193 { Feature_FeatureVector, 11963 /* vmlof */, MCK_VR128, 7 /* 0, 1, 2 */ },
8194 { Feature_FeatureVector, 11969 /* vmloh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8195 { Feature_FeatureVector, 11975 /* vmn */, MCK_VR128, 7 /* 0, 1, 2 */ },
8196 { Feature_FeatureVector, 11979 /* vmnb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8197 { Feature_FeatureVector, 11984 /* vmnf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8198 { Feature_FeatureVector, 11989 /* vmng */, MCK_VR128, 7 /* 0, 1, 2 */ },
8199 { Feature_FeatureVector, 11994 /* vmnh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8200 { Feature_FeatureVector, 11999 /* vmnl */, MCK_VR128, 7 /* 0, 1, 2 */ },
8201 { Feature_FeatureVector, 12004 /* vmnlb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8202 { Feature_FeatureVector, 12010 /* vmnlf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8203 { Feature_FeatureVector, 12016 /* vmnlg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8204 { Feature_FeatureVector, 12022 /* vmnlh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8205 { Feature_FeatureVector, 12028 /* vmo */, MCK_VR128, 7 /* 0, 1, 2 */ },
8206 { Feature_FeatureVector, 12032 /* vmob */, MCK_VR128, 7 /* 0, 1, 2 */ },
8207 { Feature_FeatureVector, 12037 /* vmof */, MCK_VR128, 7 /* 0, 1, 2 */ },
8208 { Feature_FeatureVector, 12042 /* vmoh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8209 { Feature_FeatureVectorPackedDecimal, 12047 /* vmp */, MCK_VR128, 7 /* 0, 1, 2 */ },
8210 { Feature_FeatureVector, 12051 /* vmrh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8211 { Feature_FeatureVector, 12056 /* vmrhb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8212 { Feature_FeatureVector, 12062 /* vmrhf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8213 { Feature_FeatureVector, 12068 /* vmrhg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8214 { Feature_FeatureVector, 12074 /* vmrhh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8215 { Feature_FeatureVector, 12080 /* vmrl */, MCK_VR128, 7 /* 0, 1, 2 */ },
8216 { Feature_FeatureVector, 12085 /* vmrlb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8217 { Feature_FeatureVector, 12091 /* vmrlf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8218 { Feature_FeatureVector, 12097 /* vmrlg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8219 { Feature_FeatureVector, 12103 /* vmrlh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8220 { Feature_FeatureVectorEnhancements1, 12109 /* vmsl */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8221 { Feature_FeatureVectorEnhancements1, 12114 /* vmslg */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8222 { Feature_FeatureVectorPackedDecimal, 12120 /* vmsp */, MCK_VR128, 7 /* 0, 1, 2 */ },
8223 { Feature_FeatureVector, 12125 /* vmx */, MCK_VR128, 7 /* 0, 1, 2 */ },
8224 { Feature_FeatureVector, 12129 /* vmxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8225 { Feature_FeatureVector, 12134 /* vmxf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8226 { Feature_FeatureVector, 12139 /* vmxg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8227 { Feature_FeatureVector, 12144 /* vmxh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8228 { Feature_FeatureVector, 12149 /* vmxl */, MCK_VR128, 7 /* 0, 1, 2 */ },
8229 { Feature_FeatureVector, 12154 /* vmxlb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8230 { Feature_FeatureVector, 12160 /* vmxlf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8231 { Feature_FeatureVector, 12166 /* vmxlg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8232 { Feature_FeatureVector, 12172 /* vmxlh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8233 { Feature_FeatureVector, 12178 /* vn */, MCK_VR128, 7 /* 0, 1, 2 */ },
8234 { Feature_FeatureVector, 12181 /* vnc */, MCK_VR128, 7 /* 0, 1, 2 */ },
8235 { Feature_FeatureVectorEnhancements1, 12185 /* vnn */, MCK_VR128, 7 /* 0, 1, 2 */ },
8236 { Feature_FeatureVector, 12189 /* vno */, MCK_VR128, 7 /* 0, 1, 2 */ },
8237 { Feature_FeatureVector, 12193 /* vnot */, MCK_VR128, 3 /* 0, 1 */ },
8238 { Feature_FeatureVectorEnhancements1, 12198 /* vnx */, MCK_VR128, 7 /* 0, 1, 2 */ },
8239 { Feature_FeatureVector, 12202 /* vo */, MCK_VR128, 7 /* 0, 1, 2 */ },
8240 { Feature_FeatureVectorEnhancements1, 12205 /* voc */, MCK_VR128, 7 /* 0, 1, 2 */ },
8241 { Feature_FeatureVector, 12209 /* vone */, MCK_VR128, 1 /* 0 */ },
8242 { Feature_FeatureVector, 12214 /* vpdi */, MCK_VR128, 7 /* 0, 1, 2 */ },
8243 { Feature_FeatureVector, 12219 /* vperm */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8244 { Feature_FeatureVector, 12225 /* vpk */, MCK_VR128, 7 /* 0, 1, 2 */ },
8245 { Feature_FeatureVector, 12229 /* vpkf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8246 { Feature_FeatureVector, 12234 /* vpkg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8247 { Feature_FeatureVector, 12239 /* vpkh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8248 { Feature_FeatureVector, 12244 /* vpkls */, MCK_VR128, 7 /* 0, 1, 2 */ },
8249 { Feature_FeatureVector, 12250 /* vpklsf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8250 { Feature_FeatureVector, 12257 /* vpklsfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8251 { Feature_FeatureVector, 12265 /* vpklsg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8252 { Feature_FeatureVector, 12272 /* vpklsgs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8253 { Feature_FeatureVector, 12280 /* vpklsh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8254 { Feature_FeatureVector, 12287 /* vpklshs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8255 { Feature_FeatureVector, 12295 /* vpks */, MCK_VR128, 7 /* 0, 1, 2 */ },
8256 { Feature_FeatureVector, 12300 /* vpksf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8257 { Feature_FeatureVector, 12306 /* vpksfs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8258 { Feature_FeatureVector, 12313 /* vpksg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8259 { Feature_FeatureVector, 12319 /* vpksgs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8260 { Feature_FeatureVector, 12326 /* vpksh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8261 { Feature_FeatureVector, 12332 /* vpkshs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8262 { Feature_FeatureVectorPackedDecimal, 12339 /* vpkz */, MCK_BDAddr64Disp12, 2 /* 1 */ },
8263 { Feature_FeatureVectorPackedDecimal, 12339 /* vpkz */, MCK_VR128, 1 /* 0 */ },
8264 { Feature_FeatureVector, 12344 /* vpopct */, MCK_VR128, 3 /* 0, 1 */ },
8265 { Feature_FeatureVectorEnhancements1, 12351 /* vpopctb */, MCK_VR128, 3 /* 0, 1 */ },
8266 { Feature_FeatureVectorEnhancements1, 12359 /* vpopctf */, MCK_VR128, 3 /* 0, 1 */ },
8267 { Feature_FeatureVectorEnhancements1, 12367 /* vpopctg */, MCK_VR128, 3 /* 0, 1 */ },
8268 { Feature_FeatureVectorEnhancements1, 12375 /* vpopcth */, MCK_VR128, 3 /* 0, 1 */ },
8269 { Feature_FeatureVectorPackedDecimal, 12383 /* vpsop */, MCK_VR128, 3 /* 0, 1 */ },
8270 { Feature_FeatureVector, 12389 /* vrep */, MCK_VR128, 3 /* 0, 1 */ },
8271 { Feature_FeatureVector, 12394 /* vrepb */, MCK_VR128, 3 /* 0, 1 */ },
8272 { Feature_FeatureVector, 12400 /* vrepf */, MCK_VR128, 3 /* 0, 1 */ },
8273 { Feature_FeatureVector, 12406 /* vrepg */, MCK_VR128, 3 /* 0, 1 */ },
8274 { Feature_FeatureVector, 12412 /* vreph */, MCK_VR128, 3 /* 0, 1 */ },
8275 { Feature_FeatureVector, 12418 /* vrepi */, MCK_VR128, 1 /* 0 */ },
8276 { Feature_FeatureVector, 12424 /* vrepib */, MCK_VR128, 1 /* 0 */ },
8277 { Feature_FeatureVector, 12431 /* vrepif */, MCK_VR128, 1 /* 0 */ },
8278 { Feature_FeatureVector, 12438 /* vrepig */, MCK_VR128, 1 /* 0 */ },
8279 { Feature_FeatureVector, 12445 /* vrepih */, MCK_VR128, 1 /* 0 */ },
8280 { Feature_FeatureVectorPackedDecimal, 12452 /* vrp */, MCK_VR128, 7 /* 0, 1, 2 */ },
8281 { Feature_FeatureVector, 12456 /* vs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8282 { Feature_FeatureVector, 12459 /* vsb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8283 { Feature_FeatureVector, 12463 /* vsbcbi */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8284 { Feature_FeatureVector, 12470 /* vsbcbiq */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8285 { Feature_FeatureVector, 12478 /* vsbi */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8286 { Feature_FeatureVector, 12483 /* vsbiq */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8287 { Feature_FeatureVector, 12489 /* vscbi */, MCK_VR128, 7 /* 0, 1, 2 */ },
8288 { Feature_FeatureVector, 12495 /* vscbib */, MCK_VR128, 7 /* 0, 1, 2 */ },
8289 { Feature_FeatureVector, 12502 /* vscbif */, MCK_VR128, 7 /* 0, 1, 2 */ },
8290 { Feature_FeatureVector, 12509 /* vscbig */, MCK_VR128, 7 /* 0, 1, 2 */ },
8291 { Feature_FeatureVector, 12516 /* vscbih */, MCK_VR128, 7 /* 0, 1, 2 */ },
8292 { Feature_FeatureVector, 12523 /* vscbiq */, MCK_VR128, 7 /* 0, 1, 2 */ },
8293 { Feature_FeatureVector, 12530 /* vscef */, MCK_BDVAddr64Disp12, 2 /* 1 */ },
8294 { Feature_FeatureVector, 12530 /* vscef */, MCK_VR128, 1 /* 0 */ },
8295 { Feature_FeatureVector, 12536 /* vsceg */, MCK_BDVAddr64Disp12, 2 /* 1 */ },
8296 { Feature_FeatureVector, 12536 /* vsceg */, MCK_VR128, 1 /* 0 */ },
8297 { Feature_FeatureVectorPackedDecimal, 12542 /* vsdp */, MCK_VR128, 7 /* 0, 1, 2 */ },
8298 { Feature_FeatureVector, 12547 /* vseg */, MCK_VR128, 3 /* 0, 1 */ },
8299 { Feature_FeatureVector, 12552 /* vsegb */, MCK_VR128, 3 /* 0, 1 */ },
8300 { Feature_FeatureVector, 12558 /* vsegf */, MCK_VR128, 3 /* 0, 1 */ },
8301 { Feature_FeatureVector, 12564 /* vsegh */, MCK_VR128, 3 /* 0, 1 */ },
8302 { Feature_FeatureVector, 12570 /* vsel */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8303 { Feature_FeatureVector, 12575 /* vsf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8304 { Feature_FeatureVector, 12579 /* vsg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8305 { Feature_FeatureVector, 12583 /* vsh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8306 { Feature_FeatureVector, 12587 /* vsl */, MCK_VR128, 7 /* 0, 1, 2 */ },
8307 { Feature_FeatureVector, 12591 /* vslb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8308 { Feature_FeatureVector, 12596 /* vsldb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8309 { Feature_FeatureVectorPackedDecimal, 12602 /* vsp */, MCK_VR128, 7 /* 0, 1, 2 */ },
8310 { Feature_FeatureVector, 12606 /* vsq */, MCK_VR128, 7 /* 0, 1, 2 */ },
8311 { Feature_FeatureVector, 12610 /* vsra */, MCK_VR128, 7 /* 0, 1, 2 */ },
8312 { Feature_FeatureVector, 12615 /* vsrab */, MCK_VR128, 7 /* 0, 1, 2 */ },
8313 { Feature_FeatureVector, 12621 /* vsrl */, MCK_VR128, 7 /* 0, 1, 2 */ },
8314 { Feature_FeatureVector, 12626 /* vsrlb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8315 { Feature_FeatureVectorPackedDecimal, 12632 /* vsrp */, MCK_VR128, 3 /* 0, 1 */ },
8316 { Feature_FeatureVector, 12637 /* vst */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8317 { Feature_FeatureVector, 12637 /* vst */, MCK_VR128, 1 /* 0 */ },
8318 { Feature_FeatureVector, 12641 /* vsteb */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8319 { Feature_FeatureVector, 12641 /* vsteb */, MCK_VR128, 1 /* 0 */ },
8320 { Feature_FeatureVector, 12647 /* vstef */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8321 { Feature_FeatureVector, 12647 /* vstef */, MCK_VR128, 1 /* 0 */ },
8322 { Feature_FeatureVector, 12653 /* vsteg */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8323 { Feature_FeatureVector, 12653 /* vsteg */, MCK_VR128, 1 /* 0 */ },
8324 { Feature_FeatureVector, 12659 /* vsteh */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8325 { Feature_FeatureVector, 12659 /* vsteh */, MCK_VR128, 1 /* 0 */ },
8326 { Feature_FeatureVector, 12665 /* vstl */, MCK_BDAddr64Disp12, 4 /* 2 */ },
8327 { Feature_FeatureVector, 12665 /* vstl */, MCK_GR32, 2 /* 1 */ },
8328 { Feature_FeatureVector, 12665 /* vstl */, MCK_VR128, 1 /* 0 */ },
8329 { Feature_FeatureVector, 12670 /* vstm */, MCK_BDAddr64Disp12, 4 /* 2 */ },
8330 { Feature_FeatureVector, 12670 /* vstm */, MCK_VR128, 3 /* 0, 1 */ },
8331 { Feature_FeatureVector, 12675 /* vstrc */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8332 { Feature_FeatureVector, 12675 /* vstrc */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8333 { Feature_FeatureVector, 12681 /* vstrcb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8334 { Feature_FeatureVector, 12681 /* vstrcb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8335 { Feature_FeatureVector, 12688 /* vstrcbs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8336 { Feature_FeatureVector, 12688 /* vstrcbs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8337 { Feature_FeatureVector, 12696 /* vstrcf */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8338 { Feature_FeatureVector, 12696 /* vstrcf */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8339 { Feature_FeatureVector, 12703 /* vstrcfs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8340 { Feature_FeatureVector, 12703 /* vstrcfs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8341 { Feature_FeatureVector, 12711 /* vstrch */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8342 { Feature_FeatureVector, 12711 /* vstrch */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8343 { Feature_FeatureVector, 12718 /* vstrchs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8344 { Feature_FeatureVector, 12718 /* vstrchs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8345 { Feature_FeatureVector, 12726 /* vstrczb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8346 { Feature_FeatureVector, 12726 /* vstrczb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8347 { Feature_FeatureVector, 12734 /* vstrczbs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8348 { Feature_FeatureVector, 12734 /* vstrczbs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8349 { Feature_FeatureVector, 12743 /* vstrczf */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8350 { Feature_FeatureVector, 12743 /* vstrczf */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8351 { Feature_FeatureVector, 12751 /* vstrczfs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8352 { Feature_FeatureVector, 12751 /* vstrczfs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8353 { Feature_FeatureVector, 12760 /* vstrczh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8354 { Feature_FeatureVector, 12760 /* vstrczh */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8355 { Feature_FeatureVector, 12768 /* vstrczhs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8356 { Feature_FeatureVector, 12768 /* vstrczhs */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8357 { Feature_FeatureVectorPackedDecimal, 12777 /* vstrl */, MCK_BDAddr64Disp12, 2 /* 1 */ },
8358 { Feature_FeatureVectorPackedDecimal, 12777 /* vstrl */, MCK_VR128, 1 /* 0 */ },
8359 { Feature_FeatureVectorPackedDecimal, 12783 /* vstrlr */, MCK_BDAddr64Disp12, 4 /* 2 */ },
8360 { Feature_FeatureVectorPackedDecimal, 12783 /* vstrlr */, MCK_GR32, 2 /* 1 */ },
8361 { Feature_FeatureVectorPackedDecimal, 12783 /* vstrlr */, MCK_VR128, 1 /* 0 */ },
8362 { Feature_FeatureVector, 12790 /* vsum */, MCK_VR128, 7 /* 0, 1, 2 */ },
8363 { Feature_FeatureVector, 12795 /* vsumb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8364 { Feature_FeatureVector, 12801 /* vsumg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8365 { Feature_FeatureVector, 12807 /* vsumgf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8366 { Feature_FeatureVector, 12814 /* vsumgh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8367 { Feature_FeatureVector, 12821 /* vsumh */, MCK_VR128, 7 /* 0, 1, 2 */ },
8368 { Feature_FeatureVector, 12827 /* vsumq */, MCK_VR128, 7 /* 0, 1, 2 */ },
8369 { Feature_FeatureVector, 12833 /* vsumqf */, MCK_VR128, 7 /* 0, 1, 2 */ },
8370 { Feature_FeatureVector, 12840 /* vsumqg */, MCK_VR128, 7 /* 0, 1, 2 */ },
8371 { Feature_FeatureVector, 12847 /* vtm */, MCK_VR128, 3 /* 0, 1 */ },
8372 { Feature_FeatureVectorPackedDecimal, 12851 /* vtp */, MCK_VR128, 1 /* 0 */ },
8373 { Feature_FeatureVector, 12855 /* vuph */, MCK_VR128, 3 /* 0, 1 */ },
8374 { Feature_FeatureVector, 12860 /* vuphb */, MCK_VR128, 3 /* 0, 1 */ },
8375 { Feature_FeatureVector, 12866 /* vuphf */, MCK_VR128, 3 /* 0, 1 */ },
8376 { Feature_FeatureVector, 12872 /* vuphh */, MCK_VR128, 3 /* 0, 1 */ },
8377 { Feature_FeatureVectorPackedDecimal, 12878 /* vupkz */, MCK_BDAddr64Disp12, 2 /* 1 */ },
8378 { Feature_FeatureVectorPackedDecimal, 12878 /* vupkz */, MCK_VR128, 1 /* 0 */ },
8379 { Feature_FeatureVector, 12884 /* vupl */, MCK_VR128, 3 /* 0, 1 */ },
8380 { Feature_FeatureVector, 12889 /* vuplb */, MCK_VR128, 3 /* 0, 1 */ },
8381 { Feature_FeatureVector, 12895 /* vuplf */, MCK_VR128, 3 /* 0, 1 */ },
8382 { Feature_FeatureVector, 12901 /* vuplh */, MCK_VR128, 3 /* 0, 1 */ },
8383 { Feature_FeatureVector, 12907 /* vuplhb */, MCK_VR128, 3 /* 0, 1 */ },
8384 { Feature_FeatureVector, 12914 /* vuplhf */, MCK_VR128, 3 /* 0, 1 */ },
8385 { Feature_FeatureVector, 12921 /* vuplhh */, MCK_VR128, 3 /* 0, 1 */ },
8386 { Feature_FeatureVector, 12928 /* vuplhw */, MCK_VR128, 3 /* 0, 1 */ },
8387 { Feature_FeatureVector, 12935 /* vupll */, MCK_VR128, 3 /* 0, 1 */ },
8388 { Feature_FeatureVector, 12941 /* vupllb */, MCK_VR128, 3 /* 0, 1 */ },
8389 { Feature_FeatureVector, 12948 /* vupllf */, MCK_VR128, 3 /* 0, 1 */ },
8390 { Feature_FeatureVector, 12955 /* vupllh */, MCK_VR128, 3 /* 0, 1 */ },
8391 { Feature_FeatureVector, 12962 /* vx */, MCK_VR128, 7 /* 0, 1, 2 */ },
8392 { Feature_FeatureVector, 12965 /* vzero */, MCK_VR128, 1 /* 0 */ },
8393 { Feature_FeatureVector, 12971 /* wcdgb */, MCK_VR64, 3 /* 0, 1 */ },
8394 { Feature_FeatureVector, 12977 /* wcdlgb */, MCK_VR64, 3 /* 0, 1 */ },
8395 { Feature_FeatureVector, 12984 /* wcgdb */, MCK_VR64, 3 /* 0, 1 */ },
8396 { Feature_FeatureVector, 12990 /* wclgdb */, MCK_VR64, 3 /* 0, 1 */ },
8397 { Feature_FeatureVector, 12997 /* wfadb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8398 { Feature_FeatureVectorEnhancements1, 13003 /* wfasb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8399 { Feature_FeatureVectorEnhancements1, 13009 /* wfaxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8400 { Feature_FeatureVector, 13015 /* wfc */, MCK_VR64, 3 /* 0, 1 */ },
8401 { Feature_FeatureVector, 13019 /* wfcdb */, MCK_VR64, 3 /* 0, 1 */ },
8402 { Feature_FeatureVector, 13025 /* wfcedb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8403 { Feature_FeatureVector, 13032 /* wfcedbs */, MCK_VR64, 7 /* 0, 1, 2 */ },
8404 { Feature_FeatureVectorEnhancements1, 13040 /* wfcesb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8405 { Feature_FeatureVectorEnhancements1, 13047 /* wfcesbs */, MCK_VR32, 7 /* 0, 1, 2 */ },
8406 { Feature_FeatureVectorEnhancements1, 13055 /* wfcexb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8407 { Feature_FeatureVectorEnhancements1, 13062 /* wfcexbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8408 { Feature_FeatureVector, 13070 /* wfchdb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8409 { Feature_FeatureVector, 13077 /* wfchdbs */, MCK_VR64, 7 /* 0, 1, 2 */ },
8410 { Feature_FeatureVector, 13085 /* wfchedb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8411 { Feature_FeatureVector, 13093 /* wfchedbs */, MCK_VR64, 7 /* 0, 1, 2 */ },
8412 { Feature_FeatureVectorEnhancements1, 13102 /* wfchesb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8413 { Feature_FeatureVectorEnhancements1, 13110 /* wfchesbs */, MCK_VR32, 7 /* 0, 1, 2 */ },
8414 { Feature_FeatureVectorEnhancements1, 13119 /* wfchexb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8415 { Feature_FeatureVectorEnhancements1, 13127 /* wfchexbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8416 { Feature_FeatureVectorEnhancements1, 13136 /* wfchsb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8417 { Feature_FeatureVectorEnhancements1, 13143 /* wfchsbs */, MCK_VR32, 7 /* 0, 1, 2 */ },
8418 { Feature_FeatureVectorEnhancements1, 13151 /* wfchxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8419 { Feature_FeatureVectorEnhancements1, 13158 /* wfchxbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8420 { Feature_FeatureVectorEnhancements1, 13166 /* wfcsb */, MCK_VR32, 3 /* 0, 1 */ },
8421 { Feature_FeatureVectorEnhancements1, 13172 /* wfcxb */, MCK_VR128, 3 /* 0, 1 */ },
8422 { Feature_FeatureVector, 13178 /* wfddb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8423 { Feature_FeatureVectorEnhancements1, 13184 /* wfdsb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8424 { Feature_FeatureVectorEnhancements1, 13190 /* wfdxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8425 { Feature_FeatureVector, 13196 /* wfidb */, MCK_VR64, 3 /* 0, 1 */ },
8426 { Feature_FeatureVectorEnhancements1, 13202 /* wfisb */, MCK_VR32, 3 /* 0, 1 */ },
8427 { Feature_FeatureVectorEnhancements1, 13208 /* wfixb */, MCK_VR128, 3 /* 0, 1 */ },
8428 { Feature_FeatureVector, 13214 /* wfk */, MCK_VR64, 3 /* 0, 1 */ },
8429 { Feature_FeatureVector, 13218 /* wfkdb */, MCK_VR64, 3 /* 0, 1 */ },
8430 { Feature_FeatureVectorEnhancements1, 13224 /* wfkedb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8431 { Feature_FeatureVectorEnhancements1, 13231 /* wfkedbs */, MCK_VR64, 7 /* 0, 1, 2 */ },
8432 { Feature_FeatureVectorEnhancements1, 13239 /* wfkesb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8433 { Feature_FeatureVectorEnhancements1, 13246 /* wfkesbs */, MCK_VR32, 7 /* 0, 1, 2 */ },
8434 { Feature_FeatureVectorEnhancements1, 13254 /* wfkexb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8435 { Feature_FeatureVectorEnhancements1, 13261 /* wfkexbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8436 { Feature_FeatureVectorEnhancements1, 13269 /* wfkhdb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8437 { Feature_FeatureVectorEnhancements1, 13276 /* wfkhdbs */, MCK_VR64, 7 /* 0, 1, 2 */ },
8438 { Feature_FeatureVectorEnhancements1, 13284 /* wfkhedb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8439 { Feature_FeatureVectorEnhancements1, 13292 /* wfkhedbs */, MCK_VR64, 7 /* 0, 1, 2 */ },
8440 { Feature_FeatureVectorEnhancements1, 13301 /* wfkhesb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8441 { Feature_FeatureVectorEnhancements1, 13309 /* wfkhesbs */, MCK_VR32, 7 /* 0, 1, 2 */ },
8442 { Feature_FeatureVectorEnhancements1, 13318 /* wfkhexb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8443 { Feature_FeatureVectorEnhancements1, 13326 /* wfkhexbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8444 { Feature_FeatureVectorEnhancements1, 13335 /* wfkhsb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8445 { Feature_FeatureVectorEnhancements1, 13342 /* wfkhsbs */, MCK_VR32, 7 /* 0, 1, 2 */ },
8446 { Feature_FeatureVectorEnhancements1, 13350 /* wfkhxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8447 { Feature_FeatureVectorEnhancements1, 13357 /* wfkhxbs */, MCK_VR128, 7 /* 0, 1, 2 */ },
8448 { Feature_FeatureVectorEnhancements1, 13365 /* wfksb */, MCK_VR32, 3 /* 0, 1 */ },
8449 { Feature_FeatureVectorEnhancements1, 13371 /* wfkxb */, MCK_VR128, 3 /* 0, 1 */ },
8450 { Feature_FeatureVector, 13377 /* wflcdb */, MCK_VR64, 3 /* 0, 1 */ },
8451 { Feature_FeatureVectorEnhancements1, 13384 /* wflcsb */, MCK_VR32, 3 /* 0, 1 */ },
8452 { Feature_FeatureVectorEnhancements1, 13391 /* wflcxb */, MCK_VR128, 3 /* 0, 1 */ },
8453 { Feature_FeatureVectorEnhancements1, 13398 /* wflld */, MCK_VR128, 1 /* 0 */ },
8454 { Feature_FeatureVectorEnhancements1, 13398 /* wflld */, MCK_VR64, 2 /* 1 */ },
8455 { Feature_FeatureVectorEnhancements1, 13404 /* wflls */, MCK_VR32, 2 /* 1 */ },
8456 { Feature_FeatureVectorEnhancements1, 13404 /* wflls */, MCK_VR64, 1 /* 0 */ },
8457 { Feature_FeatureVector, 13410 /* wflndb */, MCK_VR64, 3 /* 0, 1 */ },
8458 { Feature_FeatureVectorEnhancements1, 13417 /* wflnsb */, MCK_VR32, 3 /* 0, 1 */ },
8459 { Feature_FeatureVectorEnhancements1, 13424 /* wflnxb */, MCK_VR128, 3 /* 0, 1 */ },
8460 { Feature_FeatureVector, 13431 /* wflpdb */, MCK_VR64, 3 /* 0, 1 */ },
8461 { Feature_FeatureVectorEnhancements1, 13438 /* wflpsb */, MCK_VR32, 3 /* 0, 1 */ },
8462 { Feature_FeatureVectorEnhancements1, 13445 /* wflpxb */, MCK_VR128, 3 /* 0, 1 */ },
8463 { Feature_FeatureVectorEnhancements1, 13452 /* wflrd */, MCK_VR32, 1 /* 0 */ },
8464 { Feature_FeatureVectorEnhancements1, 13452 /* wflrd */, MCK_VR64, 2 /* 1 */ },
8465 { Feature_FeatureVectorEnhancements1, 13458 /* wflrx */, MCK_VR128, 2 /* 1 */ },
8466 { Feature_FeatureVectorEnhancements1, 13458 /* wflrx */, MCK_VR64, 1 /* 0 */ },
8467 { Feature_FeatureVector, 13464 /* wfmadb */, MCK_VR64, 15 /* 0, 1, 2, 3 */ },
8468 { Feature_FeatureVectorEnhancements1, 13471 /* wfmasb */, MCK_VR32, 15 /* 0, 1, 2, 3 */ },
8469 { Feature_FeatureVectorEnhancements1, 13478 /* wfmaxb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8470 { Feature_FeatureVectorEnhancements1, 13485 /* wfmaxdb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8471 { Feature_FeatureVectorEnhancements1, 13493 /* wfmaxsb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8472 { Feature_FeatureVectorEnhancements1, 13501 /* wfmaxxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8473 { Feature_FeatureVector, 13509 /* wfmdb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8474 { Feature_FeatureVectorEnhancements1, 13515 /* wfmindb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8475 { Feature_FeatureVectorEnhancements1, 13523 /* wfminsb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8476 { Feature_FeatureVectorEnhancements1, 13531 /* wfminxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8477 { Feature_FeatureVectorEnhancements1, 13539 /* wfmsb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8478 { Feature_FeatureVector, 13545 /* wfmsdb */, MCK_VR64, 15 /* 0, 1, 2, 3 */ },
8479 { Feature_FeatureVectorEnhancements1, 13552 /* wfmssb */, MCK_VR32, 15 /* 0, 1, 2, 3 */ },
8480 { Feature_FeatureVectorEnhancements1, 13559 /* wfmsxb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8481 { Feature_FeatureVectorEnhancements1, 13566 /* wfmxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8482 { Feature_FeatureVectorEnhancements1, 13572 /* wfnmadb */, MCK_VR64, 15 /* 0, 1, 2, 3 */ },
8483 { Feature_FeatureVectorEnhancements1, 13580 /* wfnmasb */, MCK_VR32, 15 /* 0, 1, 2, 3 */ },
8484 { Feature_FeatureVectorEnhancements1, 13588 /* wfnmaxb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8485 { Feature_FeatureVectorEnhancements1, 13596 /* wfnmsdb */, MCK_VR64, 15 /* 0, 1, 2, 3 */ },
8486 { Feature_FeatureVectorEnhancements1, 13604 /* wfnmssb */, MCK_VR32, 15 /* 0, 1, 2, 3 */ },
8487 { Feature_FeatureVectorEnhancements1, 13612 /* wfnmsxb */, MCK_VR128, 15 /* 0, 1, 2, 3 */ },
8488 { Feature_FeatureVector, 13620 /* wfpsodb */, MCK_VR64, 3 /* 0, 1 */ },
8489 { Feature_FeatureVectorEnhancements1, 13628 /* wfpsosb */, MCK_VR32, 3 /* 0, 1 */ },
8490 { Feature_FeatureVectorEnhancements1, 13636 /* wfpsoxb */, MCK_VR128, 3 /* 0, 1 */ },
8491 { Feature_FeatureVector, 13644 /* wfsdb */, MCK_VR64, 7 /* 0, 1, 2 */ },
8492 { Feature_FeatureVector, 13650 /* wfsqdb */, MCK_VR64, 3 /* 0, 1 */ },
8493 { Feature_FeatureVectorEnhancements1, 13657 /* wfsqsb */, MCK_VR32, 3 /* 0, 1 */ },
8494 { Feature_FeatureVectorEnhancements1, 13664 /* wfsqxb */, MCK_VR128, 3 /* 0, 1 */ },
8495 { Feature_FeatureVectorEnhancements1, 13671 /* wfssb */, MCK_VR32, 7 /* 0, 1, 2 */ },
8496 { Feature_FeatureVectorEnhancements1, 13677 /* wfsxb */, MCK_VR128, 7 /* 0, 1, 2 */ },
8497 { Feature_FeatureVector, 13683 /* wftcidb */, MCK_VR64, 3 /* 0, 1 */ },
8498 { Feature_FeatureVectorEnhancements1, 13691 /* wftcisb */, MCK_VR32, 3 /* 0, 1 */ },
8499 { Feature_FeatureVectorEnhancements1, 13699 /* wftcixb */, MCK_VR128, 3 /* 0, 1 */ },
8500 { Feature_FeatureVector, 13707 /* wldeb */, MCK_VR32, 2 /* 1 */ },
8501 { Feature_FeatureVector, 13707 /* wldeb */, MCK_VR64, 1 /* 0 */ },
8502 { Feature_FeatureVector, 13713 /* wledb */, MCK_VR32, 1 /* 0 */ },
8503 { Feature_FeatureVector, 13713 /* wledb */, MCK_VR64, 2 /* 1 */ },
8504 { 0, 13719 /* x */, MCK_BDXAddr64Disp12, 2 /* 1 */ },
8505 { 0, 13719 /* x */, MCK_GR32, 1 /* 0 */ },
8506 { 0, 13721 /* xc */, MCK_BDAddr64Disp12, 2 /* 1 */ },
8507 { 0, 13721 /* xc */, MCK_BDLAddr64Disp12Len8, 1 /* 0 */ },
8508 { 0, 13724 /* xg */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
8509 { 0, 13724 /* xg */, MCK_GR64, 1 /* 0 */ },
8510 { 0, 13727 /* xgr */, MCK_GR64, 3 /* 0, 1 */ },
8511 { Feature_FeatureDistinctOps, 13731 /* xgrk */, MCK_GR64, 7 /* 0, 1, 2 */ },
8512 { 0, 13736 /* xi */, MCK_BDAddr64Disp12, 1 /* 0 */ },
8513 { 0, 13739 /* xihf */, MCK_GRH32, 1 /* 0 */ },
8514 { 0, 13744 /* xilf */, MCK_GR32, 1 /* 0 */ },
8515 { 0, 13749 /* xiy */, MCK_BDAddr64Disp20, 1 /* 0 */ },
8516 { 0, 13753 /* xr */, MCK_GR32, 3 /* 0, 1 */ },
8517 { Feature_FeatureDistinctOps, 13756 /* xrk */, MCK_GR32, 7 /* 0, 1, 2 */ },
8518 { 0, 13765 /* xy */, MCK_BDXAddr64Disp20, 2 /* 1 */ },
8519 { 0, 13765 /* xy */, MCK_GR32, 1 /* 0 */ },
8520 { 0, 13768 /* zap */, MCK_BDLAddr64Disp12Len4, 3 /* 0, 1 */ },
8521};
8522
8523OperandMatchResultTy SystemZAsmParser::
8524tryCustomParseOperand(OperandVector &Operands,
8525 unsigned MCK) {
8526
8527 switch(MCK) {
8528 case MCK_ADDR128:
8529 return parseADDR128(Operands);
8530 case MCK_ADDR32:
8531 return parseADDR32(Operands);
8532 case MCK_ADDR64:
8533 return parseADDR64(Operands);
8534 case MCK_AR32:
8535 return parseAR32(Operands);
8536 case MCK_AnyReg:
8537 return parseAnyReg(Operands);
8538 case MCK_BDAddr32Disp12:
8539 return parseBDAddr32(Operands);
8540 case MCK_BDAddr32Disp20:
8541 return parseBDAddr32(Operands);
8542 case MCK_BDAddr64Disp12:
8543 return parseBDAddr64(Operands);
8544 case MCK_BDAddr64Disp20:
8545 return parseBDAddr64(Operands);
8546 case MCK_BDLAddr64Disp12Len4:
8547 return parseBDLAddr64(Operands);
8548 case MCK_BDLAddr64Disp12Len8:
8549 return parseBDLAddr64(Operands);
8550 case MCK_BDRAddr64Disp12:
8551 return parseBDRAddr64(Operands);
8552 case MCK_BDVAddr64Disp12:
8553 return parseBDVAddr64(Operands);
8554 case MCK_BDXAddr64Disp12:
8555 return parseBDXAddr64(Operands);
8556 case MCK_BDXAddr64Disp20:
8557 return parseBDXAddr64(Operands);
8558 case MCK_CR64:
8559 return parseCR64(Operands);
8560 case MCK_FP128:
8561 return parseFP128(Operands);
8562 case MCK_FP32:
8563 return parseFP32(Operands);
8564 case MCK_FP64:
8565 return parseFP64(Operands);
8566 case MCK_GR128:
8567 return parseGR128(Operands);
8568 case MCK_GR32:
8569 return parseGR32(Operands);
8570 case MCK_GR64:
8571 return parseGR64(Operands);
8572 case MCK_GRH32:
8573 return parseGRH32(Operands);
8574 case MCK_GRX32:
8575 return parseGRX32(Operands);
8576 case MCK_PCRel12:
8577 return parsePCRel12(Operands);
8578 case MCK_PCRel16:
8579 return parsePCRel16(Operands);
8580 case MCK_PCRel24:
8581 return parsePCRel24(Operands);
8582 case MCK_PCRel32:
8583 return parsePCRel32(Operands);
8584 case MCK_PCRelTLS16:
8585 return parsePCRelTLS16(Operands);
8586 case MCK_PCRelTLS32:
8587 return parsePCRelTLS32(Operands);
8588 case MCK_VF128:
8589 return parseVF128(Operands);
8590 case MCK_VR128:
8591 return parseVR128(Operands);
8592 case MCK_VR32:
8593 return parseVR32(Operands);
8594 case MCK_VR64:
8595 return parseVR64(Operands);
8596 default:
8597 return MatchOperand_NoMatch;
8598 }
8599 return MatchOperand_NoMatch;
8600}
8601
8602OperandMatchResultTy SystemZAsmParser::
8603MatchOperandParserImpl(OperandVector &Operands,
8604 StringRef Mnemonic,
8605 bool ParseForAllFeatures) {
8606 // Get the current feature set.
8607 uint64_t AvailableFeatures = getAvailableFeatures();
8608
8609 // Get the next operand index.
8610 unsigned NextOpNum = Operands.size() - 1;
8611 // Search the table.
8612 auto MnemonicRange =
8613 std::equal_range(std::begin(OperandMatchTable), std::end(OperandMatchTable),
8614 Mnemonic, LessOpcodeOperand());
8615
8616 if (MnemonicRange.first == MnemonicRange.second)
8617 return MatchOperand_NoMatch;
8618
8619 for (const OperandMatchEntry *it = MnemonicRange.first,
8620 *ie = MnemonicRange.second; it != ie; ++it) {
8621 // equal_range guarantees that instruction mnemonic matches.
8622 assert(Mnemonic == it->getMnemonic())(static_cast <bool> (Mnemonic == it->getMnemonic()) ?
void (0) : __assert_fail ("Mnemonic == it->getMnemonic()"
, "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 8622, __extension__ __PRETTY_FUNCTION__))
;
8623
8624 // check if the available features match
8625 if (!ParseForAllFeatures && (AvailableFeatures & it->RequiredFeatures) != it->RequiredFeatures)
8626 continue;
8627
8628 // check if the operand in question has a custom parser.
8629 if (!(it->OperandMask & (1 << NextOpNum)))
8630 continue;
8631
8632 // call custom parse method to handle the operand
8633 OperandMatchResultTy Result = tryCustomParseOperand(Operands, it->Class);
8634 if (Result != MatchOperand_NoMatch)
8635 return Result;
8636 }
8637
8638 // Okay, we had no match.
8639 return MatchOperand_NoMatch;
8640}
8641
8642#endif // GET_MATCHER_IMPLEMENTATION
8643
8644
8645#ifdef GET_MNEMONIC_SPELL_CHECKER
8646#undef GET_MNEMONIC_SPELL_CHECKER
8647
8648static std::string SystemZMnemonicSpellCheck(StringRef S, uint64_t FBS, unsigned VariantID) {
8649 const unsigned MaxEditDist = 2;
8650 std::vector<StringRef> Candidates;
8651 StringRef Prev = "";
8652
8653 // Find the appropriate table for this asm variant.
8654 const MatchEntry *Start, *End;
8655 switch (VariantID) {
8656 default: llvm_unreachable("invalid variant!")::llvm::llvm_unreachable_internal("invalid variant!", "/build/llvm-toolchain-snapshot-7~svn329677/build-llvm/lib/Target/SystemZ/SystemZGenAsmMatcher.inc"
, 8656)
;
8657 case 0: Start = std::begin(MatchTable0); End = std::end(MatchTable0); break;
8658 }
8659
8660 for (auto I = Start; I < End; I++) {
8661 // Ignore unsupported instructions.
8662 if ((FBS & I->RequiredFeatures) != I->RequiredFeatures)
8663 continue;
8664
8665 StringRef T = I->getMnemonic();
8666 // Avoid recomputing the edit distance for the same string.
8667 if (T.equals(Prev))
8668 continue;
8669
8670 Prev = T;
8671 unsigned Dist = S.edit_distance(T, false, MaxEditDist);
8672 if (Dist <= MaxEditDist)
8673 Candidates.push_back(T);
8674 }
8675
8676 if (Candidates.empty())
8677 return "";
8678
8679 std::string Res = ", did you mean: ";
8680 unsigned i = 0;
8681 for( ; i < Candidates.size() - 1; i++)
8682 Res += Candidates[i].str() + ", ";
8683 return Res + Candidates[i].str() + "?";
8684}
8685
8686#endif // GET_MNEMONIC_SPELL_CHECKER
8687